JP2020136301A - 基板処理装置、半導体装置の製造方法、およびプログラム - Google Patents

基板処理装置、半導体装置の製造方法、およびプログラム Download PDF

Info

Publication number
JP2020136301A
JP2020136301A JP2019023380A JP2019023380A JP2020136301A JP 2020136301 A JP2020136301 A JP 2020136301A JP 2019023380 A JP2019023380 A JP 2019023380A JP 2019023380 A JP2019023380 A JP 2019023380A JP 2020136301 A JP2020136301 A JP 2020136301A
Authority
JP
Japan
Prior art keywords
gas
substrate
gas supply
processing
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019023380A
Other languages
English (en)
Other versions
JP6902060B2 (ja
Inventor
奥田 和幸
Kazuyuki Okuda
和幸 奥田
修三 桜井
Shuzo Sakurai
修三 桜井
井ノ口 泰啓
Yasuhiro Inokuchi
泰啓 井ノ口
南 政克
Masayoshi Minami
南  政克
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Kokusai Electric Corp
Original Assignee
Kokusai Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Kokusai Electric Corp filed Critical Kokusai Electric Corp
Priority to JP2019023380A priority Critical patent/JP6902060B2/ja
Priority to TW108145663A priority patent/TWI741445B/zh
Priority to KR1020200002926A priority patent/KR102374386B1/ko
Priority to US16/787,896 priority patent/US11361961B2/en
Priority to CN202010088914.3A priority patent/CN111564388B/zh
Publication of JP2020136301A publication Critical patent/JP2020136301A/ja
Application granted granted Critical
Publication of JP6902060B2 publication Critical patent/JP6902060B2/ja
Priority to US17/744,380 priority patent/US11915927B2/en
Priority to US18/417,555 priority patent/US20240153760A1/en
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

【課題】基板上に形成される膜の基板面内膜厚分布の制御性を高める。【解決手段】基板処理装置のガス供給系において、処理炉202は、第1処理ガスを一時的に貯留する第1貯留部と、第1処理ガスを一時的に貯留する第2貯留部と、第1貯留部内に貯留された第1処理ガスを、基板の外周から、基板の中心に向かう方向に向けて供給する第1ガス供給口411と、第2貯留部内に貯留された第1処理ガスを、基板の外周から、基板の外周から基板の中心に向かう方向よりも基板の外周側の方向に向けて供給するように構成された第2ガス供給口421と、を備える。【選択図】図2

Description

本開示は、基板処理装置、半導体装置の製造方法、およびプログラムに関する。
半導体装置の製造工程の一工程として、基板上に膜を形成する工程が行われることがある(例えば特許文献1参照)。
特開2012−094652号公報
本開示の目的は、基板上に形成される膜の基板面内膜厚分布の制御性を高めることが可能な技術を提供することにある。
本開示の一態様によれば、
基板が収容される処理室と、
前記処理室内へ所定元素を含む第1処理ガスを供給する第1ガス供給系と、
前記処理室内へ前記第1処理ガスとは化学構造が異なる第2処理ガスを供給する第2ガス供給系と、
前記処理室内を排気する排気系と、
前記処理室内の基板に対して前記第1処理ガスを供給する第1処理と、前記処理室内の前記基板に対して前記第2処理ガスを供給する第2処理と、を非同時に所定回数行うことで、前記基板上に前記所定元素を含む膜を形成するように、前記第1ガス供給系、前記第2ガス供給系、および前記排気系を制御するよう構成される制御部と、を有し、
前記第1ガス供給系は、
前記第1処理を行うごとに前記第1処理ガスを一時的に貯留する第1貯留部と、
前記第1処理を行うごとに前記第1処理ガスを一時的に貯留する第2貯留部と、
前記第1貯留部内に貯留された前記第1処理ガスを、前記基板の外周から、前記基板の中心に向けて供給するように構成された第1ガス供給口と、
前記第2貯留部内に貯留された前記第1処理ガスを、前記基板の外周から、前記基板の外周から前記基板の中心に向かう方向よりも前記基板の外周側の方向に向けて供給するように構成された第2ガス供給口と、
を備える技術が提供される。
本開示によれば、基板上に形成される膜の基板面内膜厚分布の制御性を高めることが可能となる。
本開示の一態様で好適に用いられる基板処理装置の縦型処理炉の概略構成図であり、処理炉部分を縦断面図で示す図である。 本開示の一態様で好適に用いられる基板処理装置の縦型処理炉の概略構成図であり、処理炉部分を図1のA−A線断面図で示す図である。 本開示の一態様で好適に用いられる基板処理装置のコントローラの概略構成図であり、コントローラの制御系をブロック図で示す図である。 本開示の一態様における成膜シーケンスを示す図である。 (a)および(b)は、それぞれ、基板上に形成された膜の基板面内膜厚分布の測定結果を示す図である。 基板上に形成された膜の基板面内膜厚分布の測定結果を示す図である。
<本開示の一態様>
以下、本開示の一態様について、主に、図1〜図4を用いて説明する。
(1)基板処理装置の構成
本態様の基板処理装置は、縦型の処理炉202を備えている。処理炉202は、石英(SiO)や炭化珪素(SiC)等の耐熱性材料で構成された反応管203を備えている。反応管203の外側には、加熱手段としてのヒータ207が、反応管203と同心円状に設けられている。ヒータ207には、加熱用電源250が接続されている。反応管203の下方には、炉口蓋体としてのシールキャップ219が設けられている。反応管203下端部とシールキャップ219上面との間には、気密部材としてのOリング220が配置されている。シールキャップ219は、ステンレス(SUS)等の金属から構成され、反応管203の下端開口を気密に閉塞可能なように構成されている。反応管203の内部は、基板としてのウエハ200を収容する処理室201として構成されている。
シールキャップ219上には、基板保持具としてのボート217を支持するボート支持台218が設けられている。ボート217は、ボート支持台218上に固定された底板と、その上方に配置された天板と、を有している。底板と天板との間には、複数本の支柱が架設されている。支柱には、複数のウエハ200が、互いに所定の間隔をあけながら、水平姿勢で、かつ、互いに中心を揃えた状態で、反応管203の管軸方向に多段に積載(装填)される。ボート支持台218、底板、天板、および支柱は、それぞれ、例えば上述の耐熱性材料により構成されている。シールキャップ219の処理室201と反対側には、回転機構267が設けられている。回転機構267の回転軸265は、シールキャップ219を貫通してボート支持台218に接続されている。回転機構267は、回転軸265を回転させることでボート217およびウエハ200を回転させる。シールキャップ219は、ボートエレベータ115によって垂直方向に昇降される。ボートエレベータ115は、ボート217およびウエハ200を処理室201の内外へ搬送する搬送機構として構成されている。
処理室201内には、ノズル410,420,430が、反応管203の下部側壁を貫通するように設けられている。ノズル410,420,430には、ガス供給管310,320,330がそれぞれ接続されている。
ガス供給管310,320には、ガス流の上流側から順に、流量制御部であるマスフローコントローラ(MFC)312,322、開閉弁であるバルブ314,324、貯留部であるバッファタンク315,325、および、バルブ313,323がそれぞれ設けられている。ガス供給管310,320におけるMFC312,322とバルブ314,324との間には、ベントガス管610,620が接続されている。ベントガス管610,620は、後述する排気管231におけるAPCバルブ243の下流側に接続されている。ベントガス管610,620には、バルブ612,622がそれぞれ設けられている。ガス供給管310,320におけるバルブ313,323の下流側には、不活性ガスを供給するガス供給管510,520がそれぞれ接続されている。ガス供給管510,520には、ガス流の上流側から順に、MFC512,522およびバルブ513,523がそれぞれ設けられている。
ガス供給管310,320の下流端は、ノズル410,420の上流端にそれぞれ接続されている。ノズル410,420は、反応管203の内壁とウエハ200との間における円環状の空間に、反応管203の内壁の下部より上部に沿って、すなわち、ウエハ200が積載される方向に沿って立ち上がるようにそれぞれ設けられている。ノズル410,420は、それぞれ、L字型のロングノズルとして構成されている。ノズル410,420の側部(側面)には、それぞれ、ガス供給口411,421が複数設けられている。ガス供給口411,421は、それぞれ、下部から上部にわたって同一または大きさに傾斜をつけた開口面積を有し、同じピッチで、複数のウエハ200の各々に対応する位置に設けられている。
バッファタンク315,325は、通常の配管よりもガス容量の大きなガスタンク、或いは、螺旋配管等として構成されている。バッファタンク315,325の上流側のバルブ314,324、および、下流側のバルブ313,323を開閉することにより、ガス供給管310,320から供給されるガスを、バッファタンク315,325内のそれぞれに一時的に充填(貯留)することや、バッファタンク315,325内のそれぞれに一時的に貯留されたガスを処理室201内へ供給することができる。バッファタンク315,325と処理室201との間のコンダクタンスは、例えば、1.5×10−3/s以上とするのが好ましい。反応管203の容積が100L(リットル)の場合は、バッファタンク315の容積を、例えば、100cc以上300cc以下の範囲内の所定の大きさとすることが好ましい。
バルブ313,323,612,622を閉じ、バルブ314,324を開くことにより、MFC312,322で流量調整されたガスを、バッファタンク315,325内に一時的に貯留することができる。バッファタンク315,325内に所定量のガスが貯留され、バッファタンク315,325内の圧力が所定の圧力に到達した後、バルブ314,324を閉じ、バルブ313,323を開くことにより、バッファタンク315,325内のそれぞれに貯留された高圧のガスを、ガス供給管310,320、ノズル410,420を介して処理室201内へ一気に(短時間で)供給することができる。このとき、バルブ513,523を開くことにより、MFC512,522で流量調整された不活性ガスを、ガス供給管310,320、ノズル410,420を介して処理室201内へ供給することができる。また、バルブ314,324を閉じ、バルブ612,622を開くことにより、MFC312,322で流量調整されたガスを、処理室201内へ供給することなくバイパスし、ベントガス管610,620を介して排気管231へ排気することができる。また、バルブ313,323を閉じ、バルブ513,523を開くことにより、MFC512,522で流量調整された不活性ガスを、それぞれ、ガス供給管310,320およびノズル410,420を介して処理室201内へ供給し、処理室201内をパージすることができる。
ガス供給管310,320からは、第1処理ガスとして、例えば、膜を構成する主元素(所定元素)であるシリコン(Si)を含む原料ガスが、MFC312,322、バルブ314,324、バッファタンク315,325、バルブ313,323およびノズル410,420を介して処理室201内へそれぞれ供給される。
Siを含む原料ガスとしては、例えば、ジクロロシラン(SiHCl、略称:DCS)、トリクロロシラン(SiHCl、略称:TCS)、テトラクロロシラン(SiCl、略称:STC)、ヘキサクロロジシラン(SiCl、略称:HCDS)等のクロロシラン系ガスや、テトラフルオロシラン(SiF)ガス等のフルオロシラン系ガスや、ジシラン(Si、略称:DS)等の無機シラン系ガスや、トリスジメチルアミノシラン(Si[N(CHH、略称:3DMAS)等のアミノシラン系ガスを用いることができる。
ガス供給管510,520からは、不活性ガスとして、例えば、窒素(N)ガスや、Ar、He、Ne、Xe等の希ガスが、MFC512,522、バルブ513,523、ガス供給管310,320、ノズル410,420を介して処理室201内へそれぞれ供給される。
主に、ガス供給管310,320、MFC312,322、バルブ314,324、バッファタンク315,325、バルブ313,323およびノズル410,420により、第1ガス供給系(原料ガス供給系)301,302が構成されている。また、主に、ガス供給管510,520、MFC512,522およびバルブ513,523により、第1不活性ガス供給系501,502が構成されている。
ガス供給管330には、ガス流の上流側から順に、MFC332およびバルブ333が設けられている。ガス供給管330のMFC332とバルブ333との間には、ベントガス管630が接続されている。ベントガス管630は、後述する排気管231のAPCバルブ243の下流側に接続されている。ベントガス管630にはバルブ632が設けられている。ガス供給管330のバルブ333の下流側には、不活性ガスを供給するガス供給管530が接続されている。ガス供給管530には、上流側から順に、MFC532およびバルブ533が設けられている。
ガス供給管330は、ノズル430に接続されている。ノズル430は、ガス分散空間であるバッファ室433内に設けられている。バッファ室433内には、後述する電極保護管451,452が設けられている。バッファ室433内には、ノズル430および電極保護管451,452が、反応管203の内壁に沿うようにこの順に配置されている。
バッファ室433は、反応管203の内壁とバッファ室壁434とにより形成されている。バッファ室壁434は、反応管203の内壁とウエハ200との間における円環状の空間に、反応管203内壁の下部より上部にわたる部分に、ウエハ200の積載方向に沿って設けられている。バッファ室壁434のウエハ200と隣接する壁には、ガスを供給するガス供給口435が設けられている。ガス供給口435は、電極保護管451と電極保護管452との間に設けられている。ガス供給口435は、反応管203の中心を向くように開口している。ガス供給口435は、反応管203の下部から上部にわたって複数設けられ、それぞれが同一の開口面積を有し、さらに同じピッチで設けられている。
ノズル430は、バッファ室433の一端側に、反応管203の内壁の下部より上部に沿って、ウエハ200の積載方向上方に向かって立ち上がるように設けられている。ノズル430は、L字型のロングノズルとして構成されている。ノズル430の側面には、ガスを供給するガス供給口431が設けられている。ガス供給口431はバッファ室433の中心を向くように開口している。ガス供給口431は、バッファ室433のガス供給口435と同様に、反応管203の下部から上部にわたって複数設けられている。
バルブ333を開くことにより、MFC332で流量調整されたガスを、ガス供給管330、ノズル430およびバッファ室433を介して処理室201内へ供給することができる。このとき、バルブ533を開くことにより、MFC532で流量調整された不活性ガスを、ガス供給管330、ノズル430およびバッファ室433を介して処理室201内へ供給することができる。また、バルブ333を閉じ、バルブ632を開くことにより、MFC332で流量調整されたガスを、処理室201内へ供給することなくバイパスし、ベントガス管630を介して排気管231へ排気することができる。また、バルブ333を閉じ、バルブ533を開くことにより、MFC532で流量調整された不活性ガスを、ガス供給管330、ノズル430およびバッファ室433を介して処理室201内へ供給し、処理室201内をパージすることができる。
ガス供給管330からは、第1処理ガスとは化学構造(分子構造)が異なる第2処理ガスとして、窒化ガス(窒化剤)である窒素(N)含有ガスが、MFC332、バルブ333、ノズル430およびバッファ室433を介して処理室201内へ供給される。窒化ガスとしては、例えば、アンモニア(NH)ガス、ジアゼン(N)ガス、ヒドラジン(N)ガス、Nガス等の窒化水素系ガスを用いることができる。
ガス供給管530からは、例えば、Nガスや上述の希ガスが、MFC532、バルブ533、ガス供給管330、ノズル430、バッファ室433を介して処理室201内へ供給される。
主に、ガス供給管330、MFC332、バルブ333、ノズル430およびバッファ室433により、第2ガス供給系(窒化ガス供給系)303が構成されている。また、主に、ガス供給管530、MFC532およびバルブ533により、第2不活性ガス供給系503が構成されている。
バッファ室433内には、細長い構造を有する棒状電極471,472が、反応管203の下部より上部にわたりウエハ200の積載方向に沿って配設されている。棒状電極471,472は、それぞれ、ノズル430と平行に設けられている。棒状電極471,472は、それぞれ、電極保護管451,452により覆われることで保護されている。棒状電極471は、整合器271を介して高周波電源270に接続され、棒状電極472は、基準電位であるアース272に接続されている。整合器271を介して高周波電源270から棒状電極471,472間に高周波電力を印加することで、棒状電極471,472間のプラズマ生成領域にプラズマが生成される。主に、棒状電極471,472、電極保護管451,452、バッファ室433により、プラズマ発生機構が構成される。整合器271、高周波電源270をプラズマ発生機構に含めてもよい。プラズマ発生機構は、ガスをプラズマで活性化(励起)させる活性化機構(励起機構)として機能する。
本態様では、棒状電極471,472を取り囲むように電場が発生し、プラズマが生成される。プラズマに含まれる活性種は、ガス供給口435を介して処理室201内へ流れ、ウエハ200の外周からウエハ200の中心方向へ供給される。本態様のような縦型のバッチ装置であれば、処理すべきウエハ200に近い位置にバッファ室433が配置されることから、活性種が失活せずにウエハ200の表面に到達しやすい。また、棒状電極471,472がバッファ室433内に収容されていることから、ウエハ200にダメージを与えるイオンが処理室201内に漏れにくい。
反応管203の下部には、排気口230が設けられている。排気口230には、処理室201内の雰囲気を排気する排気管231が接続されている。排気管231には、上流側から順に、処理室201内の圧力を検出する圧力検出部としての圧力センサ245、圧力調整部としてのAPC(Auto Pressure Controller)バルブ243、および、真空排気装置としての真空ポンプ246が設けられている。APCバルブ243は、真空ポンプ246を作動させた状態で弁を開閉することで、処理室201内の真空排気および真空排気停止を行うことができ、さらに、真空ポンプ246を作動させた状態で弁開度を調節することで、処理室201内の圧力を調整することができるように構成されている。主に、排気管231、APCバルブ243、圧力センサ245により、排気系が構成される。真空ポンプ246を排気系に含めてもよい。
ノズル410は、処理室201内に収容されたウエハ200の中心200cを挟んで、排気口230と対向する位置、すなわち、排気口230と180°反対側の位置に設けられている。
ガス供給口411は、バッファタンク315内に貯留された第1処理ガスを、ウエハ200の外周から、ウエハ200の中心200cに向けて供給するように構成されている。図2に示す直線L1は、ガス供給口411と、ウエハ200の中心200cと、を結ぶ直線である。ガス供給口411から供給されるガスは、図2に示す直線L1に沿って、ウエハ200の中心200cに向かって流れる。以下、ガス供給口411から供給されるこのガス流の方向を、単に「L1方向」ともいう。
ノズル420は、処理室201の内壁に沿ってノズル410と隣接するように、すなわち、処理室201内に収容されたウエハ200の外周方向に沿ってノズル410と隣接するように設けられている。すなわち、ガス供給口411とガス供給口421とは、処理室201内に収容されたウエハ200の外周方向において、互いに隣り合う位置に設けられている。
ガス供給口421は、バッファタンク325内に貯留された第1処理ガスを、ウエハ200の外周から、ウエハ200の外周からウエハ200の中心200cに向かう方向よりも外周側に向けて供給するように構成されている。図2に示す直線L3は、ガス供給口421とウエハ200の中心200cとを結ぶ直線であり、直線L4は、ガス供給口421とウエハ200の外周とを結ぶ直線(接線)である。ガス供給口421から供給されるガスは、図2に示す直線L2に沿って、すなわち、直線L3に沿う方向(以下、単に「L3方向」ともいう)よりも直線L4に沿う方向(以下、単に「L4方向」ともいう)に向かって流れる。以下、ガス供給口421から供給されるこのガス流の方向を、単に「L2方向」ともいう。L2方向は、L3方向とL4方向との間の所定の方向となる。
具体的には、ガス供給口421は、バッファタンク325内に貯留された第1処理ガスを、L3方向に対してウエハ200の外周側に向かって例えば24°以上30°以下の範囲内の所定の角度の方向へ向けて供給するように構成されている。すなわち、L3方向とL2方向とのなす角(中心角)θの大きさは、例えば24°以上30°以下の範囲内の所定の大きさとなっている。
なお、ガス供給口421は、バッファタンク325内に貯留された第1処理ガスを、ガス供給口411から第1処理ガスが供給される上述のL1方向と平行な方向(図2に示す直線L1’に沿う方向であって、以下、単に「L1’方向」ともいう)よりも、ウエハ200の外周側の方向に向けて供給するようにも構成されている。
プラズマ発生構造439のガス供給口435は、処理室201の内壁に沿って、すなわち、処理室201内に収容されたウエハ200の外周方向に沿って、ノズル410,420から所定距離だけ離れた位置に設けられている。ガス供給口435とウエハ200の中心200cとを結ぶ直線と、上述の直線L1と、のなす角(中心角)の大きさは、例えば90°以上180°以下の範囲内の所定の大きさとなっている。
反応管203内には、温度検出器としての温度センサ263が設置されている。温度センサ263により検出された温度情報に基づき、加熱用電源250からのヒータ207に対する供給電力を調整することで、処理室201内の温度が所望の温度分布となるように構成されている。
図3に示すように、制御部としてのコントローラ280は、CPU(Central Processing Unit)280a、RAM(Random Access Memory)280b、記憶装置280c、I/Oポート280dを備えたコンピュータとして構成されている。RAM280b、記憶装置280c、I/Oポート280dは、内部バス280eを介して、CPU280aとデータ交換可能なように構成されている。コントローラ280には、例えばタッチパネル等として構成された入出力装置282が接続されている。
記憶装置280cは、フラッシュメモリやHDD(Hard Disk Drive)等で構成されている。記憶装置280c内には、基板処理装置の動作を制御する制御プログラムや、後述する基板処理の手順や条件等が記載されたプロセスレシピ等が、読み出し可能に格納されている。プロセスレシピは、後述する基板処理における各手順をコントローラ280に実行させ、所定の結果を得ることができるように組み合わされたものであり、プログラムとして機能する。以下、プロセスレシピや制御プログラム等を総称して、単に、プログラムともいう。また、プロセスレシピを、単に、レシピともいう。本明細書においてプログラムという言葉を用いた場合は、レシピ単体のみを含む場合、制御プログラム単体のみを含む場合、または、それらの両方を含む場合がある。RAM280bは、CPU280aによって読み出されたプログラムやデータ等が一時的に保持されるメモリ領域(ワークエリア)として構成されている。
I/Oポート280dは、MFC312,322,332,512,522,532、バルブ313,314,323,324,333,513,523,533,612,622,632、圧力センサ245、APCバルブ243、真空ポンプ246、ヒータ207、加熱用電源250、温度センサ263、高周波電源270、整合器271、回転機構267、ボートエレベータ115等に接続されている。
CPU280aは、記憶装置280cから制御プログラムを読み出して実行すると共に、入出力装置282からの操作コマンドの入力等に応じて記憶装置280cからレシピを読み出すように構成されている。CPU280aは、読み出したレシピの内容に沿うように、MFC312,322,332,512,522,532による各種ガスの流量調整動作、バルブ313,314,323,324,333,513,523,533,612,622,632の開閉動作、APCバルブ243の開閉動作および圧力センサ245に基づくAPCバルブ243による圧力調整動作、真空ポンプ246の起動および停止、温度センサ263に基づくヒータ207の温度調整(加熱用電源250の出力調整)動作、高周波電源270の電力供給、整合器271によるインピーダンス調整動作、回転機構267によるボート217の回転および回転速度調節動作、ボートエレベータ115によるボート217の昇降動作等を制御するように構成されている。
コントローラ280は、外部記憶装置281に格納された上述のプログラムを、コンピュータにインストールすることにより構成することができる。外部記憶装置281は、例えば、HDD等の磁気ディスク、CD等の光ディスク、MO等の光磁気ディスク、USBメモリ等の半導体メモリ等を含む。記憶装置280cや外部記憶装置281は、コンピュータ読み取り可能な記録媒体として構成されている。以下、これらを総称して、単に、記録媒体ともいう。本明細書において記録媒体という言葉を用いた場合は、記憶装置280c単体のみを含む場合、外部記憶装置281単体のみを含む場合、または、それらの両方を含む場合がある。コンピュータへのプログラムの提供は、外部記憶装置281を用いず、インターネットや専用回線等の通信手段を用いて行ってもよい。
(2)基板処理工程
上述の基板処理装置を用い、半導体装置の製造工程の一工程として、基板としてのウエハ200上に膜を形成する基板処理シーケンス例、すなわち、成膜シーケンス例について、図4を用いて説明する。以下の説明において、基板処理装置を構成する各部の動作はコントローラ280により制御される。
図4に示す成膜シーケンスでは、
処理室201内のウエハ200に対して第1処理ガスとしてDCSガスを供給する第1工程としてのステップAと、処理室201内のウエハ200に対して第1処理ガスとは化学構造が異なる第2処理ガスとしてNHガスを供給する第2工程としてのステップBと、を非同時に所定回数(n回、nは1以上の整数)行うことで、ウエハ200上に、膜として、SiおよびNを含む膜、すなわち、シリコン窒化膜(SiN膜)を形成する。
ステップAは、バッファタンク315内に一時的に貯留されたDCSガスを、ガス供給口411を介してウエハ200に向けて供給する第1ガス供給工程としてのサブステップA1と、バッファタンク325内に一時的に貯留されたDCSガスを、ガス供給口421を介してウエハ200に向けて供給する第2ガス供給工程としてのサブステップA2と、を含んでいる。
サブステップA1では、ウエハ200の外周から、ウエハ200の中心200cに向けてDCSガスを供給する。すなわち、サブステップA1では、ガス供給口411からL1方向へ向けてDCSガスを供給する。また、サブステップA2では、ウエハ200の外周から、ウエハ200の外周からウエハ200の中心200cに向かう方向よりもウエハ200の外周側の方向に向けてDCSガスを供給する。すなわち、サブステップA2では、ガス供給口421からL2方向へ向けてDCSガスを供給する。
ステップA、すなわち、サブステップA1,A2では、処理室201内が所定の圧力以下となるまで排気した後、APCバルブ243を閉じて排気系を閉塞した状態で、バッファタンク315内に貯留された高圧のDCSガス、および、バッファタンク325内に貯留された高圧のDCSガスのそれぞれを、処理室201内へ一気に供給して処理室201内に封じ込める。
本明細書では、図4に示す成膜シーケンスを、便宜上、以下のように示すこともある。この点は、後述する変形例や他の態様においても同様である。
(DCS→NH)×n ⇒ SiN
本明細書において「ウエハ」という言葉を用いた場合は、ウエハそのものを意味する場合や、ウエハとその表面に形成された所定の層や膜との積層体を意味する場合がある。本明細書において「ウエハの表面」という言葉を用いた場合は、ウエハそのものの表面を意味する場合や、ウエハ上に形成された所定の層等の表面を意味する場合がある。本明細書において「ウエハ上に所定の層を形成する」と記載した場合は、ウエハそのものの表面上に所定の層を直接形成することを意味する場合や、ウエハ上に形成されている層等の上に所定の層を形成することを意味する場合がある。本明細書において「基板」という言葉を用いた場合も、「ウエハ」という言葉を用いた場合と同義である。
(ウエハチャージおよびボートロード)
複数のウエハ200をボート217に装填(ウエハチャージ)し、反応管203の下端開口を開放する。その後、ウエハ200を支持したボート217を、ボートエレベータ115によって持ち上げて処理室201内へ搬入(ボートロード)する。図1に示すように、反応管203の下端は、Oリング220を介してシールキャップ219によりシールされる。
(圧力調整および温度調整)
処理室201内が所望の圧力となるように、真空ポンプ246によって真空排気される(圧力調整)。また、処理室201内が所望の温度となるように、ヒータ207によって加熱される(温度調整)。また、回転機構267によるウエハ200の回転を開始する(回転)。これらの各種動作は、少なくともウエハ200に対する処理が完了するまでの間は、継続して行われる。
(成膜)
その後、次のステップA,Bを順次実施する。ステップAでは、一例として、上述のサブステップA1,A2を同時に行う。
[ステップA]
バルブ313,323,612,622を閉じた状態で、バルブ314,324を開き、MFC312,322で流量調整されたDCSガスを、バッファタンク315,325内にそれぞれ充填する。バッファタンク315,325内には、バッファタンク315,325内の圧力が例えば20000Pa以上の圧力となるように、DCSガスを充填する。バッファタンク315,325内に充填するDCSガスの量は、例えば100〜250ccとする。バッファタンク315,325内のそれぞれに、所定圧、所定量のDCSガスが充填されたら、バルブ314,324を閉じる。
バッファタンク315,325内にDCSガスを充填する間、処理室201内の圧力を例えば20Pa以下の所定の圧力とするように、処理室201内を排気しておく。バッファタンク315,325内へのDCSガスの充填および処理室201内の排気が完了した後、APCバルブ243を閉じて排気系を閉塞し、バルブ313,323を開く。これにより、バッファタンク315,325内に貯留された高圧のDCSガスを、処理室201内へ一気に供給(フラッシュ供給)することができる。処理室201内の圧力は急激に上昇し、例えば800〜1200Paの範囲内の圧力へ到達する。その後、処理室201内にDCSガスを封じ込めた状態を維持し、DCSガスの雰囲気中にウエハ200を所定時間暴露する(DCSガス供給)。このとき、バルブ513,523,533を開き、処理室201内へNガスを流し、ノズル410,420,430内へのDCSガスの侵入を防止する。
ステップAでは、バッファタンク315内と処理室201内との圧力差、および、バッファタンク325内と処理室201内との圧力差を利用して、ガス供給口411,421を介して処理室201内へ供給されるDCSガスの流速を、それぞれ増加させることができる。ガス供給口411,421を介して処理室201内へ供給されるDCSガスの流速は、それぞれ、DCSガスがノズル410,420内で滞留することなく、ごく短時間でノズル410,420内を通過して、一度にウエハ200上に拡散するような大きさとなる。具体的には、ガス供給口411,421から処理室201内へ噴出させるDCSガスのそれぞれを、例えば音速(340m/sec)程度にまで加速させ、ウエハ200上を流れるDCSガスの速度を、例えば数十m/sec程度にまで高めることが可能となる。その結果、DCSガスを、処理室201の全域に効率的に拡散させることが可能となる。以下、この供給方法をフラッシュフローとも称する。
ステップAでは、バッファタンク315内と処理室201内との圧力差、および、バッファタンク325内と処理室201内との圧力差を利用してガス供給を行うことから、ガス供給口421から処理室201内へ供給されるDCSガスの流速と、ガス供給口411から処理室201内へ供給されるDCSガスの流速とは、実質的に同等の速度となる。例えば、ガス供給口421から処理室201内へ供給されるDCSガスの流速は、ガス供給口411から処理室201内へ供給されるDCSガスの流速の0.8倍以上1.2倍以下の速度となる。
なお、ステップAにおいては、ヒータ207の温度を、例えば350〜650℃の範囲内の温度とする。本明細書における「350〜650℃」のような数値範囲の表記は、下限値および上限値がその範囲に含まれることを意味する。よって、例えば、「350〜650℃」とは「350℃以上650℃以下」を意味する。他の数値範囲についても同様である。MFC512,522,532で制御するNガスの供給流量を、それぞれ、例えば300〜10000sccmの範囲内の流量とする。DCSガスを処理室201内に封じ込める時間を、例えば1〜30秒の範囲内の時間とする。
上述の条件下でウエハ200に対してDCSガスを供給することにより、ウエハ200(表面の下地膜)上に、シリコン(Si)含有層を形成することができる。
ウエハ200上にSi含有層が形成された後、バルブ313,323を閉じる。そして、APCバルブ243を開いて排気系を開放し、処理室201内を真空排気する(残留ガス除去)。このときバルブ513,523,533を開き、ガス供給管510,520,530内にNガスを流し、処理室201内をパージする(パージ)。
[ステップB]
ステップAが終了した後、バルブ632を閉じた状態でバルブ333を開き、ガス供給管330内にNHガスを流す。NHガスは、MFC332により流量調整され、ガス供給口431からバッファ室433内へ供給される。このとき、棒状電極471,472間に高周波電力を印加することで、バッファ室433内へ供給されたNHガスはプラズマ励起(プラズマ状態に励起)され、ガス供給口435から処理室201内へ供給され、排気管231から排気される。このとき、ウエハ200に対してプラズマで活性化されたNHガス(NH )が供給される(NHガス供給)。このとき、少なくともバルブ513,523を開き、処理室201内へNガスを流し、ノズル410,420内へのNHガスの侵入を防止する。
ステップBにおいては、処理室201内の圧力を、例えば10〜100Paの範囲内の圧力とする。プラズマを用いることで、処理室201内の圧力をこのような比較的低い圧力帯としても、NHガスを活性化させることが可能となる。処理室201内におけるNHガスの分圧を、例えば6〜100Paの範囲内の圧力とする。NHガスの供給流量は、例えば10〜10000sccmの範囲内の流量とする。NHガス供給時間は、例えば1〜120秒の範囲内の時間とする。棒状電極471,472間に印加する高周波電力は、例えば50〜1000Wの範囲内の大きさとする。他の処理条件は、ステップAにおける処理条件と同様とする。
上述の条件下でウエハ200に対してNHガスを供給することにより、ウエハ200上に形成されたSi含有層の少なくとも一部を改質(窒化)させることができる。これにより、ウエハ200上に、Si、Nを含む層、すなわち、シリコン窒化層(SiN層)を形成することができる。
ウエハ200上にSiN層が形成された後、バルブ333を閉じ、バッファ室433を介した処理室201内へのNHガスの供給を停止する。また、棒状電極471,472間への高周波電力の印加を停止する。そして、APCバルブ243を開いて排気系を開放し、処理室201内を真空排気する(残留ガス除去)。このときバルブ513,523,533を開き、ガス供給管510,520,530内にNガスを流し、処理室201内をパージする(パージ)。
[所定回数実施]
ステップA,Bを非同時に、すなわち、同期させることなく行うサイクルを1回以上(n回)行うことにより、ウエハ200上に、所望膜厚、所望組成のSiN膜を形成することができる。上述のサイクルは、複数回繰り返すのが好ましい。すなわち、1サイクルあたりに形成されるSiN層の厚さを所望の膜厚よりも小さくし、SiN層を積層することで形成されるSiN膜の膜厚が所望の膜厚になるまで、上述のサイクルを複数回繰り返すのが好ましい。
(パージおよび大気圧復帰)
ウエハ200上への成膜が終了した後、Nガスを処理室201内へ供給し排気管231から排気する。Nガスはパージガスとして作用し、これにより、処理室201内が不活性ガスでパージされ、処理室201内に残留するガスや反応副生成物が処理室201内から除去される(パージ)。その後、処理室201内の雰囲気が不活性ガスに置換され(不活性ガス置換)、処理室201内の圧力が常圧に復帰される(大気圧復帰)。
(ボートアンロードおよびウエハディスチャージ)
ボートエレベータ115によりシールキャップ219を下降させ、反応管203の下端を開口させる。そして、処理済のウエハ200を、ボート217に支持させた状態で反応管203の外部に搬出(ボートアンロード)させる。処理済のウエハ200は、反応管203の外部に搬出された後、ボート217より取出される(ウエハディスチャージ)。
(3)本態様による効果
本態様によれば、以下に示す1つ又は複数の効果を奏する。
(a)ステップAでは、DCSガスをフラッシュフローにより供給する際、ガス供給口411を用い、ウエハ200の外周から、ウエハ200の中心200cに向けて、すなわち、L1方向に向けてDCSガスを供給するとともに、ガス供給口421を用い、ウエハ200の外周から、ウエハ200の外周からウエハ200の中心200cに向かう方向よりも外周側に向けて、すなわち、L2方向に向けてDCSガスを供給する。これにより、ウエハ200上に形成されるSiN膜のウエハ面内膜厚分布(以下、単に面内膜厚分布ともいう)の制御性を高めることが可能となる。
仮に、ウエハ200に対するDCSガスの供給を、ガス供給口411のみを用い、L1方向に対してのみ実施した場合、ウエハ200上に形成されるSiN膜の面内膜厚分布は、例えば、ウエハ200の中心近傍で最も厚く、ウエハ200の外周近傍で最も薄くなる分布(以下、中央凸分布ともいう)となることがある。この場合、DCSガスの供給量や、処理室201内にDCSガスを封じ込める時間、DCSガスと同時に流すNガスの流量、などの条件を変化させることにより面内膜厚分布を変化させることも可能である。しかし後述するように、特にウエハ200上の特定の領域においてその変化量は小さく限定的となることから、面内膜厚分布を所望の分布に調整することが実質的に困難であることが多い。すなわち、パーティクルの発生抑制や各ウエハ間の均一性(面間均一性)確保等の要素を考慮した場合、ウエハ200に対するDCSガスの供給を、ガス供給口411のみを用い、L1方向に対してのみ実施した場合、面内膜厚分布を中央凸分布か、ウエハ200の中心近傍から外周近傍にわたって膜厚変化の少ない平坦な膜厚分布(以下、フラット分布ともいう)か、ウエハ200の中央で最も薄く外周に行くほど厚くなる分布(以下、中央凹分布ともいう)か、のいずれかの分布の間で任意に(自由に)変化させることは現実的に難しい。
これに対し、本態様のように、ガス供給口411からのDCSガス供給に加え、ガス供給口421からのL2方向へ向けたDCSガス供給を行うようにすれば、ウエハ200の外周部におけるSiN膜の形成を任意に制御することが可能となり、結果として、ウエハ200上に形成されるSiN膜の面内膜厚分布を所望の分布となるように調整することが容易となる。より具体的には、ガス供給口411とガス供給口421からそれぞれ供給されるDCSガスの供給量の比率を変化させることや、L2方向とL3方向とのなす角θの大きさを変化させることにより、ウエハ200上に形成されるSiN膜の面内膜厚分布を所望の分布となるように調整することが可能である。例えば、中央凸分布をフラット分布に近づける方向に制御することや、さらにフラット分布を中央凹分布に近づける方向に制御することが容易となる。
なお、上述の効果は、ガス供給口421からのDCSガスの供給を、ウエハ200の中心200cではなく、L2方向に向けて行うことによって顕著に得られることを、発明者らは確認済みである。理論的には、ガス供給口421からのDCSガスの供給を、ウエハ200の中心200cに向けて行う場合であっても、このガスの流速を適正に調整することにより、本態様と同様の効果が得られるようにも考えられる。しかしながら、本態様のように、バッファタンク325内と処理室201内との圧力差を利用し、DCSガスの供給をフラッシュフローにより行う場合には、ガス供給口421から供給されるDCSガスの流速を任意の大きさに調整することは困難となる。このため、ウエハ200上に形成されるSiN膜の面内膜厚分布を任意の分布となるように制御すること(例えば、中央凸分布からフラット分布へ近づけるように制御すること)は難しくなる。
(b)L2方向を、L3方向とL4方向との間の所定の方向とすることにより、上述の効果が確実に得られるようになる。また、L2方向を、L1’方向とL4方向との間の所定の方向とすることにより、上述の効果がより確実に得られるようになる。また、L2方向とL3方向とのなす角θの大きさを、24°以上30°以下の範囲内の所定の大きさとすることにより、上述の効果がより顕著に得られるようになる。24°未満の場合、ガス供給口411とガス供給口421からそれぞれ供給されるDCSガスの供給量の比率を変化させて、面内膜厚分布を中央凹分布に近づけることが難しくなり、30°超の場合、ガス供給口411とガス供給口421からそれぞれ供給されるDCSガスの供給量の比率を変化させて、面内膜厚分布を中央凸分布に近づけることが難しくなる。
(c)ステップAにおいて、バッファタンク315内に貯留されるDCSガスの量と、バッファタンク325内に貯留されるDCSガスの量と、を個別に調整することにより、ウエハ200の中心近傍におけるSiN膜の形成効率と、ウエハ200の外周近傍におけるSiN膜の形成効率と、を独立して制御し、ウエハ200上に形成されるSiN膜の面内膜厚分布の制御性を高めることが可能となる。
例えば、ステップAにおいて、バッファタンク315内に貯留されるDCSガスの量に対する、バッファタンク325内に貯留されるDCSガスの量の比率を、より小さくなるような方向に第1ガス供給系を制御することにより、ウエハ200の中心近傍におけるSiN膜の形成効率を相対的に高くする方向に、また、ウエハ200の外周近傍におけるSiN膜の形成効率を相対的に低くする方向に、それぞれ制御することが可能となる。すなわち、ウエハ200上に形成されるSiN膜の面内膜厚分布を、中央凹分布からフラット分布へ、フラット分布から中央凸分布へ近づける方向に制御することが可能となる。
バッファタンク315,325内にそれぞれ貯留されるDCSガスの量の比率は、ステップAにおいてバッファタンク315,325内にそれぞれDCSガスを充填する際に、例えば、MFC312,322を制御してそれぞれのバッファタンクに供給されるDCSガスの流量の比率を調整することや、それぞれのバッファタンクにDCSガスを充填する時間(すなわち、バルブ314,324を開いてDCSガスを各バッファタンクに供給している時間)の比率を調整することにより調整することができる。
また例えば、ステップAにおいて、バッファタンク315内に貯留されるDCSガスの量に対する、バッファタンク325内に貯留されるDCSガスの量の比率を、より大きくなるような方向に第1ガス供給系を制御することにより、ウエハ200の中心近傍におけるSiN膜の形成効率を相対的に低くする方向に、また、ウエハ200の外周近傍におけるSiN膜の形成効率を相対的に高くする方向に、それぞれ制御することが可能となる。すなわち、ウエハ200上に形成されるSiN膜の面内膜厚分布を、中央凸分布からフラット分布へ、フラット分布から中央凹分布へ近づける方向に制御することが可能となる。
以上述べたように、バッファタンク315内に貯留されるDCSガスの量と、バッファタンク325内に貯留されるDCSガスの量と、の比率を所定の比率とするように制御することにより、ウエハ200の面内膜厚分布を所望の分布とする等、その制御性を高めることが可能となる。
(d)ステップAにおいて、バッファタンク315内に貯留されるDCSガスの量と、バッファタンク325内に貯留されるDCSガスの量と、を個別に調整することで、ウエハ200上に形成されるSiN膜の面内膜厚分布を制御するだけでなく、ウエハ200間における膜厚分布を制御することも可能となる。
例えば、バッファタンク315に貯留されるDCSガスの量に対するバッファタンク325に貯留されるDCSガスの量の比率が大きくなる方向に調整されることで、反応管203内の上部に配置されたウエハ200(以下、上部ウエハともいう)におけるSiN膜の面内平均膜厚が、反応管203の下部に配置されたウエハ200(以下、下部ウエハともいう)におけるSiN膜の面内平均膜厚よりも大きくなる方向に、ウエハ200間における膜厚分布を制御することができることを発明者は確認している。
また例えば、バッファタンク315に貯留されるDCSガスの量に対するバッファタンク325に貯留されるDCSガスの量の比率が小さくなる方向に調整されることで、下部ウエハにおけるSiN膜の面内平均膜厚が上部ウエハにおけるSiN膜の面内平均膜厚よりも大きくなる方向に、ウエハ200間における膜厚分布を制御することができることを発明者は確認している。
(e)上述の効果は、第1処理ガスとしてDCSガス以外の上述の原料ガスを用いる場合や、第2処理ガスとしてNHガス以外の上述の窒化水素系ガスを用いる場合や、Nガス以外の上述の不活性ガスを用いる場合にも、同様に得ることができる。
<本開示の他の態様>
以上、本開示の態様を具体的に説明した。但し、本開示は上述の態様に限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能である。
例えば、第2処理ガスとして、酸素(O)ガス等の酸素(O)含有ガス、プロピレン(C)ガス等の炭素(C)含有ガス、トリエチルアミン((CN、略称:TEA)ガス等のNおよびCを含むガス等を用い、以下に示す成膜シーケンスにより、基板上に、シリコン酸化膜(SiO膜)、シリコン窒化膜(SiN膜)、シリコン炭窒化膜(SiCN膜)、シリコン酸窒化膜(SiON膜)、シリコン酸炭化膜(SiOC膜)、シリコン酸炭窒化膜(SiOCN膜)等を形成するようにしてもよい。これらの場合においても、上述の態様と同様の効果が得られる。各種処理ガスを供給する際の処理手順、処理条件は、例えば、上述の態様と同様とすることができる。これらの場合においても、上述の態様における効果と同様の効果が得られる。
(DCS→O)×n ⇒ SiO
(DCS→NH→O)×n ⇒ SiON
(DCS→C→NH)×n ⇒ SiCN
(DCS→C→O)×n ⇒ SiOC
(DCS→C→NH→O)×n ⇒ SiOCN
(DCS→TEA)×n ⇒ SiCN
(DCS→TEA→O)×n ⇒ SiOCN
基板処理に用いられるレシピは、処理内容に応じて個別に用意し、電気通信回線や外部記憶装置を介して記憶装置内に格納しておくことが好ましい。そして、処理を開始する際、CPUが、記憶装置内に格納された複数のレシピの中から、基板処理の内容に応じて、適正なレシピを適宜選択することが好ましい。これにより、1台の基板処理装置で様々な膜種、組成比、膜質、膜厚の膜を、再現性よく形成することができるようになる。また、オペレータの負担を低減でき、操作ミスを回避しつつ、処理を迅速に開始できるようになる。
上述のレシピは、新たに作成する場合に限らず、例えば、基板処理装置に既にインストールされていた既存のレシピを変更することで用意してもよい。レシピを変更する場合は、変更後のレシピを、電気通信回線や当該レシピを記録した記録媒体を介して、基板処理装置にインストールしてもよい。また、既存の基板処理装置が備える入出力装置を操作し、基板処理装置に既にインストールされていた既存のレシピを直接変更するようにしてもよい。
上述の態様では、一度に複数枚の基板を処理するバッチ式の基板処理装置を用いて膜を形成する例について説明した。本開示は上述の態様に限定されず、例えば、一度に1枚または数枚の基板を処理する枚葉式の基板処理装置を用いて膜を形成する場合にも適用できる。また、上述の態様では、ホットウォール型の処理炉を有する基板処理装置を用いて膜を形成する例について説明した。本開示は上述の態様に限定されず、コールドウォール型の処理炉を有する基板処理装置を用いて膜を形成する場合にも適用できる。これらの基板処理装置を用いる場合においても、上述の態様や変形例と同様なシーケンス、処理条件にて成膜を行うことができ、上述の態様と同様の効果が得られる。
また、上述の態様では、ステップAにおいてサブステップA1,A2を同時に行う例について説明した。本開示は上述の態様に限定されず、例えば、ステップAにおいて、サブステップA1,A2のそれぞれの開始タイミング及び終了タイミングの少なくとも一方を互いに異ならせるようにしてもよく、また、サブステップA1,A2を非同時(非同期)に行うようにしてもよい。
また、これらの各種の態様は、適宜組み合わせて用いることができる。このときの処理手順、処理条件は、例えば、上述の態様の処理手順、処理条件と同様とすることができる。
サンプル11〜16として、図1に示す基板処理装置を用い、図4に示す成膜シーケンスにより、ウエハ上にSiN膜を形成した。L2方向とL3方向とのなす角θの大きさは、サンプル11〜16の順に、12°,21°,24°,27°,30°,38°とした。また、サンプル11〜16では、バッファタンク315内に貯留したDCSガスの量と、バッファタンク325に貯留したDCSガスの量を同量とした(すなわち、DCSガスの量の比率は1:1とした)。そして、ウエハ上に形成されたSiN膜の面内膜厚分布を測定した。図5(a)にその測定結果を示す。
図5(a)の縦軸は、ウエハ上における測定個所に形成されたSiN膜の厚さの平均膜厚に対する比率(測定個所の膜厚/平均膜厚)を示している。図5の横軸はウエハ上における測定個所を順に示しており、1はウエハ中心を、2はウエハ中心からウエハ半径の1/3分だけ離れた個所を、3はウエハ中心からウエハ半径の2/3分だけ離れた個所を、4はウエハ外周を示している。
図5(a)によれば、L2方向とL3方向とのなす角θを変更することにより、ウエハ上に形成されるSiN膜の面内膜厚分布を制御することが可能であることが分かる。例えば、θを小さくするほど、ウエハ上に形成されるSiN膜の面内膜厚分布をフラット分布から中央凸分布へと近づけることが可能となることが分かる。また例えば、θを大きくするほど、ウエハ上に形成されるSiN膜の面内膜厚分布をフラット分布から中央凹分布へと近づけることが可能となることが分かる。
また、サブステップA2を不実施とする場合(すなわち、ガス供給口421からDCSガスの供給を行わない場合)や、サブステップA2においてガス供給口421からL3方向へ向けてDCSガスを供給する場合(すなわち、角θを0°とする場合)には、特にウエハ中心からウエハ半径の2/3だけ離れた円周上やその近傍領域におけるSiN膜の厚さの分布(平均膜厚に対する厚さの比率)を、所望の値となるように制御することが実質的に難しいことを発明者らは確認している。すなわち、DCSガスの供給量や、処理室201内にDCSガスを封じ込める時間、DCSガスと同時に流すN2ガスの流量、などの条件を変化させたとしても、このような領域におけるSiN膜の厚さの分布の変化量が限定的であることが確認されている。一方、本実施例によれば、L2方向とL3方向とのなす角θを変更することにより、このような領域におけるSiN膜の厚さの分布を十分な変化量をもって制御することができる。したがって、SiN膜の面内膜厚分布の制御性を向上させることが可能となる。
サンプル20〜24として、図1に示す基板処理装置を用い、ウエハ上にSiN膜を形成した。サンプル20では、ステップAにおいて、L1方向へのDCSガスの供給を行い、L2方向へのDCSガスの供給を行わないようにした。すなわち、ステップAにおいて、サブステップA1のみを実施し、サブステップA2を不実施とした。サンプル21〜24を作製する際には、図4に示す成膜シーケンスの通り、ステップAを実施する際、サブステップA1、A2を実施した。バッファタンク315内に貯留したDCSガスの量(サブステップA1で供給するDCSガスの量)をS1(cc)、バッファタンク325に貯留したDCSガスの量(サブステップA2で供給するDCSガスの量)をS2(cc)としたとき、S2/S1により得られる比率Rを、サンプル21〜24の順に、10,20,30,50(%)とした。また、サンプル20〜24では、L2方向とL3方向とのなす角θの大きさを27°とした。そして、ウエハ上に形成されたSiN膜の面内膜厚分布を測定した。図5(b)にその測定結果を示す。
図5(b)の縦軸は、ウエハ上における測定個所に形成されたSiN膜の厚さの平均膜厚に対する比率(測定個所の膜厚/平均膜厚)を示している。図5の横軸はウエハ上における測定個所を順に示しており、1はウエハ中心を、2はウエハ中心からウエハ半径の1/3分だけ離れた個所を、3はウエハ中心からウエハ半径の2/3分だけ離れた個所を、4はウエハ外周を示している。
図5(b)によれば、ステップAにおいて、L2方向へのDCSガスの供給、すなわち、サブステップA2を実施したサンプル21〜24では、これを不実施としたサンプル20よりも、ウエハ上に形成されたSiN膜の面内膜厚均一性が良好となることが分かる。また、サブステップA2を実施する場合、上述の比率Rを調整することにより、ウエハ上に形成されるSiN膜の面内膜厚分布を広域に制御できることも分かる。例えば、上述の比率Rを小さくすることにより、ウエハ上に形成されるSiN膜の面内膜厚分布を、中央凹分布からフラット分布へ、さらには中央凸分布へと近づけることが可能となることが分かる。また例えば、上述の比率Rを大きくすることにより、ウエハ上に形成されるSiN膜の面内膜厚分布を、中央凸分布からフラット分布へ、さらには中央凹分布へと近づけることが可能となることが分かる。
また本実施例によれば、L2方向とL3方向とのなす角θの大きさを27°として比率Rを変更することにより、特にウエハ中心からウエハ半径の2/3だけ離れた円周上やその近傍領域におけるSiN膜の厚さの分布(平均膜厚に対する厚さの比率)を、十分な変化量をもって制御することができるため、SiN膜の面内膜厚分布の制御性を向上させることが可能となる。
サンプル31〜34として、図1に示す基板処理装置を用い、図4に示す成膜シーケンスにより、ウエハ上にSiN膜を形成した。サンプル31〜34を作製する際には、上述の比率Rを順に20,40,50,80%とし、それぞれの比率Rにおいて、上述のθを12°,21°,24°,27°,30°,38°と変化させた。そして、ウエハ上に形成されたSiN膜の面内膜厚分布を測定した。図6にその結果を示す。
図6の縦軸は、ウエハ上に形成されたSiN膜の面内膜厚均一性(WiW Unif.)を示している。面内膜厚均一性の値は、ウエハ上におけるSiN膜の最大膜厚値、最小膜厚値、及び平均膜厚値に基づき、(最大膜厚値−最小膜厚値)/(2×平均膜厚値)×100で算出される。この面内膜厚均一性の値が0より大きくなるほど中央凸分布の度合いが強くなり、また、0より小さくなるほど中央凹分布の度合いが強くなることを意味している。図6の横軸は、上述のθを示している。
図6によれば、θの大きさを24〜30°の範囲内に設定して比率Rの値を20〜80%の範囲で変化させることにより、ウエハ上に形成されるSiN膜の面内膜厚均一性を、中央凹分布、フラット分布、及び中央凸分布のいずれの傾向の分布にもなるように制御することが可能であることが分かる。すなわち、θの大きさを24〜30°の範囲内の角度に設定することにより、ウエハ上に形成されるSiN膜の面内膜厚分布を所望の分布となるように制御することが可能となることが分かる。
<本開示の好ましい態様>
以下、本開示の好ましい態様について付記する。
(付記1)
本開示の一態様によれば、
基板が収容される処理室と、
前記処理室内へ所定元素を含む第1処理ガスを供給する第1ガス供給系と、
前記処理室内へ前記第1処理ガスとは化学構造が異なる第2処理ガスを供給する第2ガス供給系と、
前記処理室内を排気する排気系と、
前記処理室内の基板に対して前記第1処理ガスを供給する第1処理と、前記処理室内の前記基板に対して前記第2処理ガスを供給する第2処理と、を非同時に所定回数行うことで、前記基板上に前記所定元素を含む膜を形成するように、前記第1ガス供給系、前記第2ガス供給系、および前記排気系を制御するよう構成される制御部と、を備え、
前記第1ガス供給系は、
前記第1処理を行うごとに前記第1処理ガスを一時的に貯留する第1貯留部と、
前記第1処理を行うごとに前記第1処理ガスを一時的に貯留する第2貯留部と、
前記第1貯留部内に貯留された前記第1処理ガスを、前記基板の外周から、前記基板の中心に向けて供給するように構成された第1ガス供給口と、
前記第2貯留部内に貯留された前記第1処理ガスを、前記基板の外周から、前記基板の外周から前記基板の中心に向かう方向よりも前記基板の外周側に向けて供給するように構成された第2ガス供給口と、
を備える基板処理装置が提供される。
(付記2)
付記1に記載の装置であって、好ましくは、
前記第1処理では、前記処理室内を排気した後、前記排気系を閉塞した状態で、前記第1貯留部内に貯留された前記第1処理ガス、および、前記第2貯留部内に貯留された前記第1処理ガスのそれぞれを、前記処理室内へ供給して封じ込める。
(付記3)
付記1または2に記載の装置であって、好ましくは、
前記第1処理では、前記第1貯留部内と前記処理室内との圧力差、および、前記第2貯留部内と前記処理室内との圧力差を利用して、前記第1ガス供給口および前記第2ガス供給口を介して前記処理室内へ供給される前記第1処理ガスの流速をそれぞれ増加させる。
(付記4)
付記1から3のいずれかに記載の装置であって、
前記第1ガス供給口および前記第2ガス供給口を介して前記処理室内へ供給される前記第1処理ガスの流速が、それぞれ、前記第1処理ガスが前記基板上で滞留することなく前記基板上を通過するような大きさとなる。
(付記5)
付記1から4のいずれかに記載の装置であって、好ましくは、
前記第2ガス供給口から前記処理室内へ供給される前記第1処理ガスの流速が、前記第1ガス供給口から前記処理室内へ供給される前記第1処理ガスの流速の0.8倍以上1.2倍以内の大きさである。より好ましくは、前記第2ガス供給口から前記処理室内へ供給される前記第1処理ガスの流速と、前記第1ガス供給口から前記処理室内へ供給される前記第1処理ガスの流速と、が実質的に同等である。
(付記6)
付記1から5のいずれかに記載の装置であって、好ましくは、
前記第2ガス供給口は、前記第2貯留部内に貯留された前記第1処理ガスを、前記第2ガス供給口から前記基板の中心へ向かう方向と、前記第2ガス供給口から前記基板の外周へ向かう方向と、の間の所定の方向へ向けて供給する。
(付記7)
付記1から6のいずれかに記載の装置であって、好ましくは、
前記第1ガス供給口と前記第2ガス供給口とは、前記基板の外周方向において互いに隣り合う位置に設けられている。
(付記8)
付記1から7のいずれかに記載の装置であって、好ましくは、
前記第2ガス供給口は、前記第2貯留部内に貯留された前記第1処理ガスを、前記第2ガス供給口から前記基板の中心に向かう方向に対して前記基板の外周側に向かって24°以上30°以下の範囲内の所定の角度の方向へ向けて供給するように構成されている。すなわち、前記第2ガス供給口から前記基板の中心に向かう方向と、前記第2ガス供給口から供給されるガス流の方向と、のなす角θの大きさが、24°以上30°以下の範囲内の所定の大きさとなっている。
(付記9)
付記1から8のいずれかに記載の装置であって、好ましくは、
前記第2ガス供給口は、前記第2貯留部内に貯留された前記第1処理ガスを、記第1ガス供給口から前記第1処理ガスが供給される方向と平行な方向よりも、前記基板の外周側の方向に向けて供給するように構成されている。
(付記10)
付記1から9のいずれかに記載の装置であって、好ましくは、
前記処理室内には複数の前記基板が所定の間隔で積載して収容され、
前記第1ガス供給口は、前記基板が積載される方向に沿って設けられた第1ノズルの側部に複数設けられており、
前記第2ガス供給口は、前記基板が積載される方向に沿って設けられた第2ノズルの側部に複数設けられている。
(付記11)
付記10に記載の装置であって、好ましくは、
前記第1ノズルには、複数の前記基板に対応する位置に、前記第1ガス供給口がそれぞれ設けられている。
(付記12)
付記10または11に記載の装置であって、好ましくは、
前記第1ガス供給系は、
前記第1貯留部と前記第1ノズルとの間に設けられた第1バルブと、前記第2貯留部と前記第2ノズルとの間に設けられた第2バルブと、を備え、
前記制御部は、
前記第1処理において、前記第1バルブおよび前記第2バルブを開くことにより、前記第1貯留部内および前記第2貯留部内のそれぞれに貯留されていた前記第1処理ガスを前記処理室内へ供給するように、前記第1ガス供給系を制御する。
(付記13)
付記1から12のいずれかに記載の装置であって、好ましくは、
前記制御部は、
前記第1貯留部内に貯留される前記第1処理ガスの量と、前記第2貯留部内に貯留される前記第1処理ガスの量と、をそれぞれ個別に調整するように、前記第1ガス供給系を制御する。
(付記14)
付記1から13のいずれかに記載の装置であって、好ましくは、
前記制御部は、
前記第1貯留部内に貯留される前記第1処理ガスの量と、前記第2貯留部内に貯留される前記第1処理ガスの量と、の比率を変化させるように前記第1ガス供給系を制御することにより、前記基板上に形成される膜の面内膜厚分布を調整する。
(付記15)
付記1から14のいずれかに記載の装置であって、好ましくは、
前記制御部は、
前記第1貯留部内に貯留される前記第1処理ガスの量と、前記第2貯留部内に貯留される前記第1処理ガスの量と、の比率が所定の比率となるように、前記第1ガス供給系を制御する。
(付記16)
付記1から15のいずれかに記載の装置であって、好ましくは、
前記処理室内には複数の前記基板が所定の間隔で積載して収容され、
前記第1ガス供給口は、前記基板が積載される方向に沿って設けられた第1ノズルに設けられ、
前記第2ガス供給口は、前記基板が積載される方向に沿って設けられた第2ノズルに設けられ、
前記制御部は、
前記第1貯留部内に貯留される前記第1処理ガスの量と、前記第2貯留部内に貯留される前記第1処理ガスの量と、の比率が所定の比率となるように、前記第1ガス供給系を制御するよう構成されている。
(付記17)
本開示の他の態様によれば、
処理室内に収容された基板に対して所定元素を含む第1処理ガスを供給する第1工程と、前記処理室内の前記基板に対して前記第1処理ガスとは化学構造が異なる第2処理ガスを供給する第2工程と、を非同時に所定回数行うことで、前記基板上に前記所定元素を含む膜を形成する工程を有し、
前記第1工程は、
第1貯留部内に一時的に貯留された前記第1処理ガスを、第1ガス供給口を介して前記基板に向けて供給する第1供給工程と、
第2貯留部内に一時的に貯留された前記第1処理ガスを、第2ガス供給口を介して前記基板に向けて供給する第2供給工程と、を含み、
前記第1供給工程では、前記基板の外周から、前記基板の中心に向けて前記第1処理ガスを供給し、
前記第2供給工程では、前記基板の外周から、前記基板の外周から前記基板の中心に向かう方向よりも前記基板の外周側の方向に向けて前記第1処理ガスを供給する、
半導体装置の製造方法または、基板処理方法が提供される。
(付記18)
本開示のさらに他の態様によれば、
基板処理装置の処理室内において、付記17における各工程を行う手順を、
コンピュータにより前記基板処理装置に実行させるプログラム、または、前記プログラムを記録したコンピュータにより読み取り可能な記録媒体が提供される。
200 ウエハ(基板)
201 処理室
280 コントローラ(制御部)
301 原料ガス供給系
302 窒化ガス供給系

Claims (5)

  1. 基板が収容される処理室と、
    前記処理室内へ所定元素を含む第1処理ガスを供給する第1ガス供給系と、
    前記処理室内へ前記第1処理ガスとは化学構造が異なる第2処理ガスを供給する第2ガス供給系と、
    前記処理室内を排気する排気系と、
    前記処理室内の基板に対して前記第1処理ガスを供給する第1処理と、前記処理室内の前記基板に対して前記第2処理ガスを供給する第2処理と、を非同時に所定回数行うことで、前記基板上に前記所定元素を含む膜を形成するように、前記第1ガス供給系、前記第2ガス供給系、および前記排気系を制御するよう構成される制御部と、を備え、
    前記第1ガス供給系は、
    前記第1処理を行うごとに前記第1処理ガスを一時的に貯留する第1貯留部と、
    前記第1処理を行うごとに前記第1処理ガスを一時的に貯留する第2貯留部と、
    前記第1貯留部内に貯留された前記第1処理ガスを、前記基板の外周から、前記基板の中心に向けて供給するように構成された第1ガス供給口と、
    前記第2貯留部内に貯留された前記第1処理ガスを、前記基板の外周から、前記基板の外周から前記基板の中心に向かう方向よりも前記基板の外周側に向けて供給するように構成された第2ガス供給口と、
    を備える基板処理装置。
  2. 前記第2ガス供給口は、前記第2貯留部内に貯留された前記第1処理ガスを、前記第2ガス供給口から前記基板の中心へ向かう方向と、前記第2ガス供給口から前記基板の外周へ向かう方向と、の間の所定の方向へ向けて供給するよう構成される請求項1に記載の基板処理装置。
  3. 前記制御部は、
    前記第1貯留部内に貯留される前記第1処理ガスの量と、前記第2貯留部内に貯留される前記第1処理ガスの量と、をそれぞれ個別に調整するように、前記第1ガス供給系を制御するよう構成される請求項1または2に記載の基板処理装置。
  4. 処理室内に収容された基板に対して所定元素を含む第1処理ガスを供給する第1工程と、前記処理室内の前記基板に対して前記第1処理ガスとは化学構造が異なる第2処理ガスを供給する第2工程と、を非同時に所定回数行うことで、前記基板上に前記所定元素を含む膜を形成する工程を有し、
    前記第1工程は、
    第1貯留部内に一時的に貯留された前記第1処理ガスを、第1ガス供給口を介して前記基板に向けて供給する第1供給工程と、
    第2貯留部内に一時的に貯留された前記第1処理ガスを、第2ガス供給口を介して前記基板に向けて供給する第2供給工程と、を含み、
    前記第1供給工程では、前記基板の外周から、前記基板の中心に向けて前記第1処理ガスを供給し、
    前記第2供給工程では、前記基板の外周から、前記基板の外周から前記基板の中心に向かう方向よりも前記基板の外周側の方向に向けて前記第1処理ガスを供給する、
    半導体装置の製造方法。
  5. 基板処理装置の処理室内に収容された基板に対して所定元素を含む第1処理ガスを供給する第1手順と、前記処理室内の前記基板に対して前記第1処理ガスとは化学構造が異なる第2処理ガスを供給する第2手順と、を非同時に所定回数行うことで、前記基板上に前記所定元素を含む膜を形成する手順を有し、
    前記第1手順は、
    第1貯留部内に一時的に貯留された前記第1処理ガスを、第1ガス供給口を介して前記基板に向けて供給する第1供給手順と、
    第2貯留部内に一時的に貯留された前記第1処理ガスを、第2ガス供給口を介して前記基板に向けて供給する第2供給手順と、を含み、
    前記第1供給手順では、前記基板の外周から、前記基板の中心に向けて前記第1処理ガスを供給し、
    前記第2供給手順では、前記基板の外周から、前記基板の外周から前記基板の中心に向かう方向よりも前記基板の外周側の方向に向かって前記第1処理ガスを供給する手順を、
    コンピュータにより前記基板処理装置に実行させるプログラム。
JP2019023380A 2019-02-13 2019-02-13 基板処理装置、半導体装置の製造方法、およびプログラム Active JP6902060B2 (ja)

Priority Applications (7)

Application Number Priority Date Filing Date Title
JP2019023380A JP6902060B2 (ja) 2019-02-13 2019-02-13 基板処理装置、半導体装置の製造方法、およびプログラム
TW108145663A TWI741445B (zh) 2019-02-13 2019-12-13 基板處理裝置、半導體裝置之製造方法及記錄媒體
KR1020200002926A KR102374386B1 (ko) 2019-02-13 2020-01-09 기판 처리 장치, 반도체 장치의 제조 방법 및 프로그램
US16/787,896 US11361961B2 (en) 2019-02-13 2020-02-11 Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
CN202010088914.3A CN111564388B (zh) 2019-02-13 2020-02-12 基板处理装置、半导体装置的制造方法以及存储介质
US17/744,380 US11915927B2 (en) 2019-02-13 2022-05-13 Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
US18/417,555 US20240153760A1 (en) 2019-02-13 2024-01-19 Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2019023380A JP6902060B2 (ja) 2019-02-13 2019-02-13 基板処理装置、半導体装置の製造方法、およびプログラム

Publications (2)

Publication Number Publication Date
JP2020136301A true JP2020136301A (ja) 2020-08-31
JP6902060B2 JP6902060B2 (ja) 2021-07-14

Family

ID=71944639

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019023380A Active JP6902060B2 (ja) 2019-02-13 2019-02-13 基板処理装置、半導体装置の製造方法、およびプログラム

Country Status (5)

Country Link
US (3) US11361961B2 (ja)
JP (1) JP6902060B2 (ja)
KR (1) KR102374386B1 (ja)
CN (1) CN111564388B (ja)
TW (1) TWI741445B (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022065114A1 (ja) * 2020-09-24 2022-03-31 東京エレクトロン株式会社 ガスを供給する装置、基板を処理するシステム、及びガスを供給する方法
US20220293436A1 (en) * 2021-03-11 2022-09-15 Taiwan Semiconductor Manufacturing Company Limited Semiconductor substrate bonding tool and methods of operation
WO2023166771A1 (ja) * 2022-03-03 2023-09-07 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、プログラムおよび基板処理装置
WO2024069721A1 (ja) * 2022-09-26 2024-04-04 株式会社Kokusai Electric 基板処理装置、基板処理方法、半導体装置の製造方法及びプログラム

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020179474A1 (ja) * 2019-03-06 2020-09-10 株式会社Kokusai Electric 半導体装置の製造方法、プログラム及び基板処理装置
WO2023175826A1 (ja) * 2022-03-17 2023-09-21 株式会社Kokusai Electric 基板処理装置、ガスノズル、半導体装置の製造方法、基板処理方法及びプログラム

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63288011A (ja) * 1987-05-20 1988-11-25 Hitachi Ltd 気相成長方法
JPH01235236A (ja) * 1988-03-15 1989-09-20 Nec Corp 気相成長装置
JP2005353665A (ja) * 2004-06-08 2005-12-22 Komatsu Electronic Metals Co Ltd 気相成長装置およびエピタキシャル気相成長装置用ガス導入口の仕切り部材の傾斜角度設定方法
JP2010027702A (ja) * 2008-07-16 2010-02-04 Hitachi Kokusai Electric Inc 基板処理装置及び薄膜生成方法
JP2011216844A (ja) * 2010-03-19 2011-10-27 Tokyo Electron Ltd 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
WO2017168600A1 (ja) * 2016-03-29 2017-10-05 株式会社日立国際電気 半導体装置の製造方法、基板処理装置および記録媒体
JP2018056232A (ja) * 2016-09-27 2018-04-05 東京エレクトロン株式会社 ガス導入機構及び処理装置

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050287806A1 (en) * 2004-06-24 2005-12-29 Hiroyuki Matsuura Vertical CVD apparatus and CVD method using the same
JP4866898B2 (ja) * 2006-03-30 2012-02-01 三井造船株式会社 原子層成長装置
JP2011029441A (ja) 2009-07-27 2011-02-10 Hitachi Kokusai Electric Inc 基板処理装置及び基板処理方法
JP5520552B2 (ja) * 2009-09-11 2014-06-11 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
JP2011082493A (ja) * 2009-09-14 2011-04-21 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
TWI562204B (en) * 2010-10-26 2016-12-11 Hitachi Int Electric Inc Substrate processing apparatus, semiconductor device manufacturing method and computer-readable recording medium
JP5743488B2 (ja) 2010-10-26 2015-07-01 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
US20140137801A1 (en) 2012-10-26 2014-05-22 Applied Materials, Inc. Epitaxial chamber with customizable flow injection
JP6222833B2 (ja) * 2013-01-30 2017-11-01 株式会社日立国際電気 基板処理装置、半導体装置の製造方法およびプログラム
JP6204213B2 (ja) * 2014-01-28 2017-09-27 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP6402058B2 (ja) 2015-03-23 2018-10-10 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
KR102127583B1 (ko) * 2016-03-24 2020-06-26 가부시키가이샤 코쿠사이 엘렉트릭 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
JP6678489B2 (ja) * 2016-03-28 2020-04-08 東京エレクトロン株式会社 基板処理装置

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63288011A (ja) * 1987-05-20 1988-11-25 Hitachi Ltd 気相成長方法
JPH01235236A (ja) * 1988-03-15 1989-09-20 Nec Corp 気相成長装置
JP2005353665A (ja) * 2004-06-08 2005-12-22 Komatsu Electronic Metals Co Ltd 気相成長装置およびエピタキシャル気相成長装置用ガス導入口の仕切り部材の傾斜角度設定方法
JP2010027702A (ja) * 2008-07-16 2010-02-04 Hitachi Kokusai Electric Inc 基板処理装置及び薄膜生成方法
JP2011216844A (ja) * 2010-03-19 2011-10-27 Tokyo Electron Ltd 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
WO2017168600A1 (ja) * 2016-03-29 2017-10-05 株式会社日立国際電気 半導体装置の製造方法、基板処理装置および記録媒体
JP2018056232A (ja) * 2016-09-27 2018-04-05 東京エレクトロン株式会社 ガス導入機構及び処理装置

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022065114A1 (ja) * 2020-09-24 2022-03-31 東京エレクトロン株式会社 ガスを供給する装置、基板を処理するシステム、及びガスを供給する方法
US20220293436A1 (en) * 2021-03-11 2022-09-15 Taiwan Semiconductor Manufacturing Company Limited Semiconductor substrate bonding tool and methods of operation
US11862482B2 (en) * 2021-03-11 2024-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor substrate bonding tool and methods of operation
WO2023166771A1 (ja) * 2022-03-03 2023-09-07 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、プログラムおよび基板処理装置
WO2024069721A1 (ja) * 2022-09-26 2024-04-04 株式会社Kokusai Electric 基板処理装置、基板処理方法、半導体装置の製造方法及びプログラム

Also Published As

Publication number Publication date
US20220277952A1 (en) 2022-09-01
US20240153760A1 (en) 2024-05-09
KR102374386B1 (ko) 2022-03-14
TWI741445B (zh) 2021-10-01
KR20200099073A (ko) 2020-08-21
US11361961B2 (en) 2022-06-14
US20200258736A1 (en) 2020-08-13
CN111564388B (zh) 2024-04-23
TW202100795A (zh) 2021-01-01
US11915927B2 (en) 2024-02-27
CN111564388A (zh) 2020-08-21
JP6902060B2 (ja) 2021-07-14

Similar Documents

Publication Publication Date Title
US10497561B2 (en) Method for manufacturing semiconductor device, substrate-processing apparatus, and recording medium
JP6902060B2 (ja) 基板処理装置、半導体装置の製造方法、およびプログラム
JP7464638B2 (ja) 基板処理装置、プラズマ生成装置、反応管、プラズマ生成方法、基板処理方法、半導体装置の製造方法およびプログラム
US20110318940A1 (en) Method of manufacturing semiconductor device, method of processing substrate, and substrate processing apparatus
US11591694B2 (en) Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus, and recording medium
JP6999596B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
US20160093476A1 (en) Substrate Processing Apparatus, Method of Manufacturing Semiconductor Device and Non-Transitory Computer-Readable Recording Medium
US11705325B2 (en) Method of processing substrate, method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
WO2022138599A1 (ja) 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
JPWO2020053960A1 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
US20200399759A1 (en) Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium
JP6937894B2 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
WO2022054855A1 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
WO2021181450A1 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
JPWO2018163399A1 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
JP2020077890A (ja) 半導体装置の製造方法、基板処理装置、およびプログラム

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200210

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200210

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210311

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210323

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210518

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210608

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210618

R150 Certificate of patent or registration of utility model

Ref document number: 6902060

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150