TWI741445B - 基板處理裝置、半導體裝置之製造方法及記錄媒體 - Google Patents

基板處理裝置、半導體裝置之製造方法及記錄媒體 Download PDF

Info

Publication number
TWI741445B
TWI741445B TW108145663A TW108145663A TWI741445B TW I741445 B TWI741445 B TW I741445B TW 108145663 A TW108145663 A TW 108145663A TW 108145663 A TW108145663 A TW 108145663A TW I741445 B TWI741445 B TW I741445B
Authority
TW
Taiwan
Prior art keywords
gas
substrate
processing
gas supply
supply port
Prior art date
Application number
TW108145663A
Other languages
English (en)
Other versions
TW202100795A (zh
Inventor
奥田和幸
桜井修三
井口泰啓
南政克
Original Assignee
日商國際電氣股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商國際電氣股份有限公司 filed Critical 日商國際電氣股份有限公司
Publication of TW202100795A publication Critical patent/TW202100795A/zh
Application granted granted Critical
Publication of TWI741445B publication Critical patent/TWI741445B/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45578Elongated nozzles, tubes with holes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

[課題] 提高形成於基板上的膜的基板面內膜厚分布的控制性。 [解決手段] 基板處理裝置的氣體供應系統具備暫時存積第1處理氣體的第1存積部、暫時存積第1處理氣體的第2存積部、將存積於第1存積部內的第1處理氣體朝向從基板的外周朝基板之中心的方向進行供應的第1氣體供應口、和被構成為將存積於第2存積部內的第1處理氣體從基板的外周朝向比從基板的外周朝基板之中心的方向靠向基板的外周側的方向進行供應的第2氣體供應口。

Description

基板處理裝置、半導體裝置之製造方法及記錄媒體
本揭示涉及基板處理裝置、半導體裝置之製造方法及記錄媒體。
半導體裝置之製程的程序之一包含在基板上形成膜的程序(例如專利文獻1參照)。 [先前技術文獻] [專利文獻]
[專利文獻1] 特開2012-094652號公報
[發明所欲解決之問題]
本揭示的目的在於提供一種技術,可提高形成於基板上的膜的基板面內膜厚分布的控制性。 [解決問題之技術手段]
依本揭示的一態樣時,提供一種技術,具有: 處理室,其收容基板; 第1氣體供應系統,其往前述處理室內供應包含既定元素的第1處理氣體; 第2氣體供應系統,其往前述處理室內供應化學結構與前述第1處理氣體不同的第2處理氣體; 排氣系統,其將前述處理室內進行排氣;和 控制部,其被構成為將前述第1氣體供應系統、前述第2氣體供應系統、及前述排氣系統控制為,將對前述處理室內的基板供應前述第1處理氣體的第1處理、和對前述處理室內的前述基板供應前述第2處理氣體的第2處理非同時地進行既定次數,從而在前述基板上形成包含前述既定元素之膜; 前述第1氣體供應系統具備: 第1存積部,其每次進行前述第1處理,暫時存積前述第1處理氣體; 第2存積部,其每次進行前述第1處理,暫時存積前述第1處理氣體; 第1氣體供應口,其被構成為將存積於前述第1存積部內的前述第1處理氣體,從前述基板的外周朝前述基板之中心進行供應;和 第2氣體供應口,其被構成為將存積於前述第2存積部內的前述第1處理氣體,從前述基板的外周,朝向比從前述基板的外周往前述基板之中心的方向靠向前述基板的外周側的方向進行供應。 [對照先前技術之功效]
依本揭示時,可提高形成於基板上的膜的基板面內膜厚分布的控制性。
<本揭示的一態樣>
以下,就本揭示的一態樣,主要使用圖1~圖4進行說明。
(1)基板處理裝置的構成
本態樣的基板處理裝置具備縱型的處理爐202。處理爐202具備以石英(SiO2 )、碳化矽(SiC)等的耐熱性材料而構成的反應管203。在反應管203的外側,作為加熱手段的加熱器207被與反應管203同心圓狀地設置。於加熱器207連接加熱用電源250。於反應管203的下方,設置作為爐口蓋體的密封蓋219。於反應管203下端部與密封蓋219上表面之間,配置作為氣密構材的O形環220。密封蓋219由不銹鋼(SUS)等的金屬構成,被構成為可氣密地將反應管203的下端開口進行閉塞。反應管203的內部被構成為將作為基板的晶圓200進行收容的處理室201。
於密封蓋219上,設置將作為基板保持具的晶舟217進行支撐的晶舟支撐台218。晶舟217具有固定於晶舟支撐台218上的底板和配置於其上方的頂板。在底板與頂板之間,架設複數個支柱。於支柱,複數個晶圓200一面彼此隔著既定之間隔,一面以水平姿勢且彼此中心對齊的狀態,被多階地積載(裝填)於反應管203的管軸方向。晶舟支撐台218、底板、頂板、及支柱分別由例如上述的耐熱性材料而構成。在密封蓋219的與處理室201相反之側,設置旋轉機構267。旋轉機構267的旋轉軸265貫通密封蓋219而連接於晶舟支撐台218。旋轉機構267使旋轉軸265旋轉從而使晶舟217及晶圓200旋轉。密封蓋219透過晶舟升降機115升降於垂直方向。晶舟升降機115被構成為將晶舟217及晶圓200往處理室201的內外進行搬送的搬送機構。
於處理室201內,以貫通反應管203的下部側壁的方式設置噴嘴410、420、430。於噴嘴410、420、430,分別連接氣體供應管310、320、330。
於氣體供應管310、320,從氣流之上游側,依序分別設置作為流量控制部之質流控制器(MFC)312、322、作為開閉閥之閥體314、324、作為存積部之緩衝槽315、325、及閥體313、323。在氣體供應管310、320之MFC312、322與閥體314、324之間,連接排氣管610、620。排氣管610、620連接於在後述的排氣管231之APC閥體243的下游側。於排氣管610、620,分別設置閥體612、622。於在氣體供應管310、320之閥體313、323的下游側,分別連接供應惰性氣體的氣體供應管510、520。於氣體供應管510、520,從氣流之上游側,依序分別設置MFC512、522及閥體513、523。
氣體供應管310、320的下游端分別連接於噴嘴410、420之上游端。噴嘴410、420在反應管203的內壁與晶圓200之間的圓環狀的空間分別被設置為從反應管203的內壁的下部沿著上部,亦即沿著晶圓200被積載的方向而直升。噴嘴410、420分別被構成為L字型的長噴嘴。於噴嘴410、420之側部(側面),分別設置複數個氣體供應口411、421。氣體供應口411、421分別從下部遍及上部具有相同或使大小勻變的開口面積,以相同的間距,設置於與複數個晶圓200中的各者對應的位置。
緩衝槽315、325被構成為氣體容量比一般的配管大的氣槽或螺旋配管等。將緩衝槽315、325之上游側的閥體314、324及下游側的閥體313、323進行開閉,使得可將從氣體供應管310、320供應的氣體,暫時分別填充(存積)於緩衝槽315、325內、將分別暫時存積於緩衝槽315、325內的氣體往處理室201內供應。緩衝槽315、325與處理室201之間的氣導例如優選上為1.5×10-3 m3 /s以上。反應管203的容積為100L(升)的情況下,優選上使緩衝槽315的容積為例如100cc以上且300cc以下的範圍內的既定的大小。
將閥體313、323、612、622關閉,將閥體314、324打開,使得可將以MFC312、322進行流量調整的氣體暫時存積於緩衝槽315、325內。在緩衝槽315、325內存積既定量的氣體,緩衝槽315、325內的壓力到達既定的壓力後,將閥體314、324關閉,將閥體313、323打開,使得可將分別存積於緩衝槽315、325內的高壓的氣體一口氣(短時間)經由氣體供應管310、320、噴嘴410、420往處理室201內進行供應。此時,將閥體513、523打開,使得可將以MFC512、522進行流量調整的惰性氣體,經由氣體供應管310、320、噴嘴410、420往處理室201內供應。另外,將閥體314、324關閉,將閥體612、622打開,使得可將以MFC312、322進行流量調整的氣體,在不往處理室201內供應之下進行旁通,經由排氣管610、620往排氣管231進行排氣。另外,將閥體313、323關閉,將閥體513、523打開,使得可將以MFC512、522進行流量調整的惰性氣體,分別經由氣體供應管310、320及噴嘴410、420往處理室201內供應,將處理室201內進行淨化。
從氣體供應管310、320,作為第1處理氣體,例如包含作為構成膜的主元素(既定元素)之矽(Si)的原料氣體被經由MFC312、322、閥體314、324、緩衝槽315、325、閥體313、323及噴嘴410、420分別往處理室201內供應。
含Si的原料氣體方面,例如可使用二氯矽烷(SiH2 Cl2 ,簡稱DCS)、三氯矽烷(SiHCl3 ,簡稱TCS)、四氯矽烷(SiCl4 ,簡稱STC)、六氯二矽烷(Si2 Cl6 ,簡稱HCDS)等的氯矽烷系氣體、四氟矽烷(SiF4 )氣體等的氟甲烷系氣體、二矽烷(Si2 H6 ,簡稱DS)等的無機系矽烷系氣體、三二甲基胺基矽烷(Si[N(CH3 )2 ]3 H、簡稱3DMAS)等的胺基矽烷系氣體。
從氣體供應管510、520,作為惰性氣體,例如氮氣(N2 )、Ar、He、Ne、Xe等的稀有氣體被經由MFC512、522、閥體513、523、氣體供應管310、320、噴嘴410、420分別往處理室201內供應。
主要由氣體供應管310、320、MFC312、322、閥體314、324、緩衝槽315、325、閥體313、323及噴嘴410、420構成第1氣體供應系統(原料氣體供應系統)301、302。另外,主要由氣體供應管510、520、MFC512、522及閥體513、523構成第1惰氣供應系統501、502。
於氣體供應管330,從氣流之上游側,依序設置MFC332及閥體333。在氣體供應管330的MFC332與閥體333之間,連接排氣管630。排氣管630連接於後述的排氣管231之APC閥體243的下游側。於排氣管630設置閥體632。於氣體供應管330的閥體333的下游側,連接供應惰性氣體的氣體供應管530。於氣體供應管530,從上游側依序設置MFC532及閥體533。
氣體供應管330連接於噴嘴430。噴嘴430設於作為氣體分散空間之緩衝室433內。於緩衝室433內,設置後述的電極保護管451、452。於緩衝室433內,噴嘴430及電極保護管451、452以沿著反應管203的內壁的方式被依此順序配置。
緩衝室433由反應管203的內壁與緩衝室壁434形成。緩衝室壁434在反應管203的內壁與晶圓200之間的圓環狀的空間,在從反應管203內壁的下部遍及上部之部分,被沿著晶圓200的積載方向而設。在緩衝室壁434的與晶圓200鄰接之壁,設置供應氣體的氣體供應口435。氣體供應口435設於電極保護管451與電極保護管452之間。氣體供應口435開口為朝向反應管203之中心。氣體供應口435從反應管203的下部遍及上部設置複數個,分別具有相同的開口面積,再者以相同的間距設置。
噴嘴430在緩衝室433的一端側被設為從反應管203的內壁的下部沿著上部朝晶圓200的積載方向上方而直升。噴嘴430被構成為L字型的長噴嘴。於噴嘴430之側面,設置供應氣體的氣體供應口431。氣體供應口431開口成朝向緩衝室433之中心。氣體供應口431如同緩衝室433的氣體供應口435,從反應管203的下部遍及上部設置複數個。
將閥體333打開,使得可將以MFC332進行流量調整的氣體,經由氣體供應管330、噴嘴430及緩衝室433往處理室201內供應。此時,將閥體533打開,使得可將以MFC532進行流量調整的惰性氣體,經由氣體供應管330、噴嘴430及緩衝室433往處理室201內供應。另外,將閥體333關閉,將閥體632打開,使得可將以MFC332進行流量調整的氣體,在不往處理室201內供應之下進行旁通,經由排氣管630往排氣管231進行排氣。另外,將閥體333關閉,將閥體533打開,使得可將以MFC532進行流量調整的惰性氣體,經由氣體供應管330、噴嘴430及緩衝室433往處理室201內供應,將處理室201內進行淨化。
從氣體供應管330,作為化學結構(分子構造)與第1處理氣體不同的第2處理氣體,屬氮化氣體(氮化劑)之含氮(N)氣體被經由MFC332、閥體333、噴嘴430及緩衝室433往處理室201內供應。氮化氣體方面,例如可使用氨氣(NH3 )、二氮烯氣體(N2 H2 )、聯胺氣體(N2 H4 )、N3 H8 氣體等的氮化氫系氣體。
從氣體供應管530,例如N2 氣體、上述的稀有氣體被經由MFC532、閥體533、氣體供應管330、噴嘴430、緩衝室433而往處理室201內供應。
主要由氣體供應管330、MFC332、閥體333、噴嘴430及緩衝室433構成第2氣體供應系統(氮化氣體供應系統)303。另外,主要由氣體供應管530、MFC532及閥體533構成第2惰氣供應系統503。
於緩衝室433內,具有細長構造的棒狀電極471、472從反應管203的下部遍及上部被沿著晶圓200的積載方向而配設。棒狀電極471、472分別被與噴嘴430平行地設置。棒狀電極471、472分別由電極保護管451、452覆蓋從而被保護。棒狀電極471經由整合器271連接於高頻電源270,棒狀電極472連接於屬參考電位之接地端272。經由整合器271從高頻電源270對棒狀電極471、472間施加高頻電力,使得在棒狀電極471、472間的電漿生成區域生成電漿。主要由棒狀電極471、472、電極保護管451、452、緩衝室433構成電漿產生機構。亦可使整合器271、高頻電源270包含於電漿產生機構。電漿產生機構作用為將氣體以電漿予以活性化(激發)的活性化機構(激發機構)。
在本態樣,以包圍棒狀電極471、472的方式產生電場,生成電漿。含於電漿的活性物種經由氣體供應口435而流往處理室201內,從晶圓200的外周往晶圓200之中心方向進行供應。為如本態樣的縱型的批次處理裝置時,在靠近應處理的晶圓200的位置配置緩衝室433,故活性物種不易鈍化而容易到達至晶圓200的表面。另外,棒狀電極471、472被收容於緩衝室433內,故對晶圓200造成損傷的離子不易漏至處理室201內。
在反應管203的下部,設置排氣口230。於排氣口230,連接將處理室201內的環境進行排氣的排氣管231。於排氣管231,從上游側依序設置就處理室201內的壓力進行檢測的作為壓力檢測部的壓力感測器245、作為壓力調整部的APC(Auto Pressure Controller)閥體243、及作為真空排氣裝置的真空泵浦246。APC閥體243被構成為,在使真空泵浦246作動的狀態下將閥體進行開閉,使得可進行處理室201內的真空排氣及真空排氣停止,再者在使真空泵浦246作動的狀態下將閥開度進行調節,使得可調整處理室201內的壓力。主要由排氣管231、APC閥體243、壓力感測器245構成排氣系統。亦可使真空泵浦246包含於排氣系統。
噴嘴410夾著收容於處理室201內的晶圓200之中心200c而設於與排氣口230相向的位置,亦即設於與排氣口230為180°相反之側的位置。
氣體供應口411被構成為,將存積於緩衝槽315內的第1處理氣體,從晶圓200的外周,朝晶圓200之中心200c進行供應。示於圖2的直線L1為將氣體供應口411和晶圓200之中心200c連結的直線。從氣體供應口411供應的氣體沿著示於圖2的直線L1,朝晶圓200之中心200c流動。以下,亦將從氣體供應口411供應的此氣流的方向僅稱為「L1方向」。
噴嘴420設為沿著處理室201的內壁而與噴嘴410鄰接,亦即設為沿著收容於處理室201內的晶圓200的外周方向而與噴嘴410鄰接。亦即,氣體供應口411與氣體供應口421是於收容於處理室201內的晶圓200的外周方向上設於彼此相鄰的位置。
氣體供應口421被構成為,將存積於緩衝槽325內的第1處理氣體,從晶圓200的外周,朝向比從晶圓200的外周往晶圓200之中心200c的方向靠向外周側進行供應。示於圖2的直線L3為將氣體供應口421與晶圓200之中心200c進行連結的直線,直線L4為將氣體供應口421與晶圓200的外周進行連結的直線(接線)。從氣體供應口421供應的氣體沿著示於圖2的直線L2,亦即朝向比沿著直線L3的方向(以下,亦僅稱為「L3方向」)靠向沿著直線L4的方向(以下,亦僅稱為「L4方向」)流動。以下,亦將從氣體供應口421供應的此氣流的方向僅稱為「L2方向」。L2方向為L3方向與L4方向之間的既定的方向。
具體而言,氣體供應口421被構成為,將存積於緩衝槽325內的第1處理氣體相對於L3方向朝向晶圓200的外周側例如朝向24°以上且30°以下的範圍內的既定的角度的方向進行供應。亦即,L3方向與L2方向形成的角(中心角)θ的大小為例如24°以上且30°以下的範圍內的既定的大小。
另外,氣體供應口421亦被構成為,將存積於緩衝槽325內的第1處理氣體,從氣體供應口411,朝向比與被供應第1處理氣體的上述的L1方向平行的方向(沿著示於圖2的直線L1’之方向,以下亦僅稱為「L1’方向」)靠向晶圓200的外周側的方向進行供應。
電漿產生構造439的氣體供應口435沿著處理室201的內壁,亦即沿著收容於處理室201內的晶圓200的外周方向,設於從噴嘴410、420分開既定距離的位置。將氣體供應口435與晶圓200之中心200c連結的直線和上述的直線L1形成的角(中心角)的大小為例如90°以上且180°以下的範圍內的既定的大小。
於反應管203內,設置作為溫度檢測器的溫度感測器263。被構成為,根據透過溫度感測器263檢測出的溫度資訊,調整從加熱用電源250的對於加熱器207之供應電力,使得處理室201內的溫度成為期望的溫度分布。
如示於圖3,作為控制部的控制器280被構成為具備CPU(Central Processing Unit)280a、RAM(Random Access Memory)280b、記憶裝置280c、I/O埠280d的電腦。RAM280b、記憶裝置280c、I/O埠280d被構成為可經由內部匯流排280e而與CPU280a進行資料交換。於控制器280,連接例如被構成為觸控面板等的輸出入裝置282。
記憶裝置280c是以快閃記憶體、HDD(Hard Disk Drive)等構成。於記憶裝置280c內,控制基板處理裝置的動作的控制程式、記載後述的基板處理的程序、條件等的處理配方等被可讀取地儲存。處理配方為將後述的基板處理之各程序組合成使控制器280執行並可獲得既定的結果者,作用為程式。以下,亦將處理配方、控制程式等僅總稱為程式。另外,亦將處理配方僅稱為配方。本說明書中使用程式如此之詞語的情況下,包括僅包含配方單體的情況、僅包含控制程式單體的情況、或包含該等雙方的情況。RAM280b被構成為暫時保存由CPU280a讀出的程式、資料等的記憶體區域(工作區)。
I/O埠280d連接於MFC312、322、332、512、522、532、閥體313、314、323、324、333、513、523、533、612、622、632、壓力感測器245、APC閥體243、真空泵浦246、加熱器207、加熱用電源250、溫度感測器263、高頻電源270、整合器271、旋轉機構267、晶舟升降機115等。
CPU280a被構成為,從記憶裝置280c將控制程式讀出而執行,同時依來自輸出入裝置282的操作指令的輸入等從記憶裝置280c將配方讀出。CPU280a被構成為,以依照讀出的配方的內容的方式控制透過MFC312、322、332、512、522、532之各種氣體的流量調整動作、閥體313、314、323、324、333、513、523、533、612、622、632的開閉動作、基於APC閥體243的開閉動作及壓力感測器245之透過APC閥體243的壓力調整動作、真空泵浦246的啟動及停止、基於溫度感測器263之加熱器207的調溫(加熱用電源250的輸出調整)動作、高頻電源270的電力供應、透過整合器271之阻抗調整動作、透過旋轉機構267之晶舟217的旋轉及旋轉速度調節動作、透過晶舟升降機115之晶舟217的升降動作等。
控制器280可將儲存於外部記憶裝置281的上述的程式安裝於電腦從而構成。外部記憶裝置281例如包含HDD等的磁碟、CD等的光碟、MO等的磁光碟、USB記憶體等的半導體記憶體等。記憶裝置280c、外部記憶裝置281被構成為電腦可讀取的記錄媒體。以下,亦將此等僅總稱為記錄媒體。本說明書中使用本說明書如此之詞語的情況下,包括僅包含記憶裝置280c單體的情況、僅包含外部記憶裝置281單體的情況、或包含該等雙方的情況。往電腦的程式的提供亦可在不使用外部記憶裝置281之下,使用網際網路、專用線路等的通訊手段而進行。
(2)基板處理程序 使用圖4說明有關使用上述的基板處理裝置,作為半導體裝置之製程的一程序,在作為基板的晶圓200上形成膜的基板處理順序例,亦即成膜順序例。於以下的說明,構成基板處理裝置的各部分的動作由控制器280控制。
在示於圖4的成膜順序,將對處理室201內的晶圓200作為第1處理氣體而供應DCS氣體的作為第1程序的步驟A、和對處理室201內的晶圓200作為化學結構與第1處理氣體不同的第2處理氣體而供應NH3 氣體的作為第2程序的步驟B非同時地進行既定次數(n次,n為1以上的整數),從而在晶圓200上作為膜而形成包含Si及N之膜,亦即形成矽氮化膜(SiN膜)。
步驟A包含將暫時存積於緩衝槽315內的DCS氣體經由氣體供應口411朝晶圓200供應的作為第1氣體供應程序的子步驟A1、和將暫時存積於緩衝槽325內的DCS氣體經由氣體供應口421朝晶圓200供應的作為第2氣體供應程序的子步驟A2。
在子步驟A1,從晶圓200的外周,朝晶圓200之中心200c供應DCS氣體。亦即,在子步驟A1,從氣體供應口411往L1方向供應DCS氣體。另外,在子步驟A2,從晶圓200的外周,朝向比從晶圓200的外周往晶圓200之中心200c的方向靠向晶圓200的外周側的方向供應DCS氣體。亦即,在子步驟A2,從氣體供應口421往L2方向供應DCS氣體。
在步驟A,亦即在子步驟A1、A2,處理室201內被排氣至既定的壓力以下後,將APC閥體243關閉而將排氣系統進行閉塞的狀態下,將存積於緩衝槽315內的高壓的DCS氣體、及存積於緩衝槽325內的高壓的DCS氣體分別一口氣往處理室201內供應而封入處理室201內。
在本說明書,方便上有時將示於圖4的成膜順序示為如以下。此點於後述的變形例、其他態樣亦同。
Figure 02_image001
本說明書中使用「晶圓」如此之詞語的情況下,包括表示晶圓本身的情況、表示晶圓與形成於其表面的既定的層、膜的層積體的情況。本說明書中使用「晶圓的表面」如此之詞語的情況下,包括表示晶圓本身的表面的情況、表示形成於晶圓上的既定的層等的表面的情況。本說明書中記載為「在晶圓上形成既定的層」的情況下,包括表示在晶圓本身的表面上直接形成既定的層的情況、表示在形成於晶圓上之層等之上形成既定的層的情況。本說明書中使用「基板」如此之詞語的情況下,與使用「晶圓」如此之詞語的情況同義。
(晶圓裝填及晶舟裝載) 將複數個晶圓200裝填於晶舟217(晶圓裝填),將反應管203的下端開口進行開放。之後,將支撐晶圓200的晶舟217,透過晶舟升降機115抬起而往處理室201內搬入(晶舟裝載)。如示於圖1,反應管203的下端被隔著O形環220透過密封蓋219進行密封。
(壓力調整及調溫) 以處理室201內成為期望的壓力的方式,透過真空泵浦246進行真空排氣(壓力調整)。另外,以處理室201內成為期望的溫度的方式,透過加熱器207進行加熱(調溫)。另外,開始透過旋轉機構267之晶圓200的旋轉(旋轉)。此等各種動作至少在對於晶圓200之處理完成前的期間持續進行。
(成膜) 之後,依序實施以下的步驟A、B。在步驟A,作為一例,同時進行上述的子步驟A1、A2。
[步驟A] 將閥體313、323、612、622關閉的狀態下,將閥體314、324打開,將以MFC312、322進行流量調整的DCS氣體,分別填充至緩衝槽315、325內。於緩衝槽315、325內,以緩衝槽315、325內的壓力成為例如20000Pa以上的壓力的方式,填充DCS氣體。填充至緩衝槽315、325內的DCS氣體的量設為例如100~250cc。分別對緩衝槽315、325內,填充既定壓、既定量的DCS氣體後,將閥體314、324關閉。
對緩衝槽315、325內填充DCS氣體的期間,以使處理室201內的壓力為例如20Pa以下的既定的壓力的方式,將處理室201內進行排氣。往緩衝槽315、325內的DCS氣體的填充及處理室201內的排氣完成後,將APC閥體243關閉而將排氣系統進行閉塞,將閥體313、323打開。據此,可將存積於緩衝槽315、325內的高壓的DCS氣體,一口氣往處理室201內進行供應(快速供應)。處理室201內的壓力急劇上升,到達例如800~1200Pa的範圍內的壓力。之後,維持將DCS氣體封入於處理室201內的狀態,使晶圓200既定時間曝露於DCS氣體的環境中(DCS氣體供應)。此時,將閥體513、523、533打開,使N2 氣體流往處理室201內,防止往噴嘴410、420、430內的DCS氣體的侵入。
在步驟A,可利用緩衝槽315內與處理室201內的壓力差、及緩衝槽325內與處理室201內的壓力差,分別使經由氣體供應口411、421往處理室201內供應的DCS氣體的流速增加。經由氣體供應口411、421往處理室201內供應的DCS氣體的流速分別在DCS氣體不會在噴嘴410、420內滯留之下,以非常短時間通過噴嘴410、420內,成為一次擴散至晶圓200上的大小。具體而言,使予以從氣體供應口411、421往處理室201內噴出的DCS氣體分別加速至例如音速(340m/sec)程度,使得可將流於晶圓200上的DCS氣體的速度提高至例如數十m/sec程度。其結果,可使DCS氣體有效地擴散至處理室201的全區。以下,將此供應方法亦稱為快速流動(flash flow)。
在步驟A,利用緩衝槽315內與處理室201內的壓力差、及緩衝槽325內與處理室201內的壓力差進行氣體供應,故從氣體供應口421往處理室201內供應的DCS氣體的流速、和從氣體供應口411往處理室201內供應的DCS氣體的流速成為實質上同等的速度。例如,從氣體供應口421往處理室201內供應的DCS氣體的流速成為從氣體供應口411往處理室201內供應的DCS氣體的流速的0.8倍以上且1.2倍以下的速度。
另外,於步驟A,使加熱器207的溫度為例如350~650℃的範圍內的溫度。本說明書中的如「350~650℃」的數值範圍的記載表示下限值及上限值落入該範圍。因此,例如「350~650℃」表示「350℃以上且650℃以下」。其他數值範圍方面亦同。使以MFC512、522、532進行控制的N2 氣體的供應流量分別為例如300~10000sccm的範圍內的流量。使將DCS氣體封入至處理室201內的時間為例如1~30秒的範圍內時間。
在上述的條件下對晶圓200供應DCS氣體,使得可在晶圓200(表面的基底膜)上形成矽(Si)含有層。
在晶圓200上形成Si含有層後,將閥體313、323關閉。並且,將APC閥體243打開而將排氣系統進行開放,將處理室201內進行真空排氣(殘留氣體除去)。此時將閥體513、523、533打開,使N2 氣體流至氣體供應管510、520、530內,將處理室201內淨化(purge)。
[步驟B] 步驟A結束後,在將閥體632關閉的狀態下將閥體333打開,使NH3 氣體流至氣體供應管330內。NH3 氣體被透過MFC332進行流量調整,被從氣體供應口431往緩衝室433內供應。此時,對棒狀電極471、472間施加高頻電力,使得往緩衝室433內供應的NH3 氣體被電漿激發(激發為電漿狀態),從氣體供應口435往處理室201內供應,從排氣管231排出。此時,對晶圓200供應以電漿進行活性化的NH3 氣體(NH3 * )(NH3 氣體供應)。此時,至少將閥體513、523打開,使N2 氣體流往處理室201內,防止往噴嘴410、420內的NH3 氣體的侵入。
於步驟B,使處理室201內的壓力為例如10~100Pa的範圍內的壓力。使用電漿,使得即便使處理室201內的壓力為如此的較低的壓力區,仍可將NH3 氣體予以活性化。使在處理室201內的NH3 氣體的分壓為例如6~100Pa的範圍內的壓力。NH3 氣體的供應流量設為例如10~10000sccm的範圍內的流量。NH3 氣體供應時間設為例如1~120秒的範圍內時間。施加於棒狀電極471、472間的高頻電力設為例如50~1000W的範圍內的大小。其他處理條件是與在步驟A之處理條件相同。
在上述的條件下對晶圓200供應NH3 氣體,使得可將形成於晶圓200上的Si含有層的至少一部分予以改質(氮化)。據此,可在晶圓200上,形成包含Si、N之層,亦即形成矽氮化層(SiN層)。
在晶圓200上形成SiN層後,將閥體333關閉,停止經由緩衝室433之往處理室201內的NH3 氣體的供應。另外,停止往棒狀電極471、472間的高頻電力的施加。並且,將APC閥體243打開而將排氣系統進行開放,將處理室201內進行真空排氣(殘留氣體除去)。此時將閥體513、523、533打開,使N2 氣體流至氣體供應管510、520、530內,將處理室201內淨化(purge)。
[既定次數實施] 將非同時地亦即在不予以同步之下進行步驟A、B的循環進行1次以上(n次),使得可在晶圓200上,形成期望膜厚、期望組成的SiN膜。上述的循環是重複複數次為優選。亦即,優選上使每1個循環形成的SiN層的厚度比期望的膜厚小,重複複數次上述的循環直到透過層積SiN層從而形成的SiN膜的膜厚成為期望的膜厚為止。
(淨化及大氣壓回歸) 往晶圓200上的成膜結束後,將N2 氣體往處理室201內供應並從排氣管231排出。N2 氣體作用為淨化氣體,據此處理室201內被以惰性氣體淨化,殘留於處理室201內的氣體、反應副生成物被從處理室201內除去(淨化)。之後,處理室201內的環境被置換為惰性氣體(惰性氣體置換),處理室201內的壓力被回歸為常壓(大氣壓回歸)。
(晶舟卸載及晶圓卸除) 透過晶舟升降機115使密封蓋219下降,使反應管203的下端開放。並且,將處理完畢的晶圓200在予以支撐於晶舟217的狀態下予以搬出至反應管203的外部(晶舟卸載)。處理完畢的晶圓200被搬出至反應管203的外部後,被從晶舟217取出(晶圓卸除)。
(3)依本態樣時的功效 依本態樣時,發揮示於以下的一個或複數個功效。
(a)在步驟A,將DCS氣體透過快速流動進行供應之際,使用氣體供應口411,從晶圓200的外周,朝晶圓200之中心200c,亦即朝L1方向供應DCS氣體,同時使用氣體供應口421,從晶圓200的外周,朝向比從晶圓200的外周往晶圓200之中心200c之方向靠向外周側,亦即朝L2方向供應DCS氣體。據此,可提高形成於晶圓200上的SiN膜的晶圓面內膜厚分布(以下,亦僅稱為面內膜厚分布)的控制性。
假設就對於晶圓200之DCS氣體的供應,僅使用氣體供應口411,僅對L1方向實施的情況下,形成於晶圓200上的SiN膜的面內膜厚分布有時成為例如在晶圓200之中心附近最厚,在晶圓200的外周附近最薄的分布(以下,亦稱為中央凸分布)。此情況下,亦可將DCS氣體的供應量、將DCS氣體封入至處理室201內的時間、與DCS氣體同時流放的N2 氣體的流量等的條件予以變化從而將面內膜厚分布予以變化。然而如後述般,尤其在晶圓200上的特定的區域其變化量小而有限,故實質上難以將面內膜厚分布調整為期望的分布的情形多。亦即,考量顆粒的發生抑制、各晶圓間的均勻性(面間均勻性)確保等的要素的情況下,就對於晶圓200之DCS氣體的供應,僅使用氣體供應口411,僅對L1方向實施的情況下,現實上難以任意(自由)地予以在面內膜厚分布為中央凸分布、膜厚變化從晶圓200之中心附近遍及外周附近少的平坦的膜厚分布(以下,亦稱為平坦分布)、在晶圓200之中央最薄而隨著往外周漸厚的分布(以下,稱為中央凹分布)中的任一個分布之間進行變化。
相對於此,如本態樣般,除從氣體供應口411的DCS氣體供應以外,進行從氣體供應口421的朝往L2方向的DCS氣體供應時,即可任意控制在晶圓200的外周部之SiN膜的形成,作為結果,變得易於將形成於晶圓200上的SiN膜的面內膜厚分布以成為期望的分布的方式進行調整。更具體而言,可將分別從氣體供應口411與氣體供應口421供應的DCS氣體的供應量的比率予以變化、將L2方向與L3方向形成的角θ的大小予以變化,從而將形成於晶圓200上的SiN膜的面內膜厚分布以成為期望的分布的方式進行調整。例如,變得容易朝使中央凸分布接近平坦分布的方向進行控制、再者朝使平坦分布接近中央凹分布的方向進行控制。
另外,發明人已確認完畢將從氣體供應口421的DCS氣體的供應非朝晶圓200之中心200c而是朝L2方向進行使得顯著地獲得上述的功效。理論上,將從氣體供應口421的DCS氣體的供應朝向晶圓200之中心200c進行的情況下,透過恰當地調整此氣體的流速,仍應會獲得與本態樣同樣的功效。然而,如本態樣般,利用緩衝槽325內與處理室201內的壓力差,透過快速流動進行DCS氣體的供應的情況下,難以將從氣體供應口421供應的DCS氣體的流速調整為任意的大小。為此,難以控制為使形成於晶圓200上的SiN膜的面內膜厚分布成為任意的分布(例如,控制為從中央凸分布往平坦分布接近)。
(b)使L2方向成為L3方向與L4方向之間的既定的方向,使得確實地獲得上述的功效。另外,使L2方向為L1’方向與L4方向之間的既定的方向,使得更確實地獲得上述的功效。另外,使L2方向與L3方向形成的角θ的大小為24°以上且30°以下的範圍內的既定的大小,使得更顯著地獲得上述的功效。不足24°的情況下,難以將分別從氣體供應口411與氣體供應口421供應的DCS氣體的供應量的比率予以變化而使面內膜厚分布接近中央凹分布,超過30°的情況下,難以將分別從氣體供應口411與氣體供應口421供應的DCS氣體的供應量的比率予以變化而使面內膜厚分布接近中央凸分布。
(c)步驟A中,將存積於緩衝槽315內的DCS氣體的量、和存積於緩衝槽325內的DCS氣體的量個別進行調整,使得可獨立控制在晶圓200之中心附近的SiN膜的形成效率、和在晶圓200的外周附近的SiN膜的形成效率,提高形成於晶圓200上的SiN膜的面內膜厚分布的控制性。
例如,於步驟A,將第1氣體供應系統朝使相對於存積緩衝槽315內之DCS氣體的量之存積於緩衝槽325內之DCS氣體的量的比率變更小的方向進行控制,使得可分別朝使在晶圓200之中心附近的SiN膜的形成效率相對地高的方向、另外朝使在晶圓200之外周附近的SiN膜的形成效率相對地低的方向進行控制。亦即,可將形成於晶圓200上的SiN膜的面內膜厚分布,朝從中央凹分布往平坦分布、從平坦分布往中央凸分布接近的方向進行控制。
分別存積於緩衝槽315、325內的DCS氣體的量的比率可在步驟A中對緩衝槽315、325內分別填充DCS氣體之際,例如透過控制MFC312、322而調整供應至個別的緩衝槽的DCS氣體的流量的比率、調整對個別的緩衝槽填充DCS氣體的時間(亦即,將閥體314、324打開而將DCS氣體對各緩衝槽供應的時間)的比率從而進行調整。
此外例如,於步驟A,將第1氣體供應系統朝使相對於存積緩衝槽315內之DCS氣體的量之存積於緩衝槽325內之DCS氣體的量的比率變更大的方向進行控制,使得可分別朝使在晶圓200之中心附近的SiN膜的形成效率相對地低的方向、另外朝使在晶圓200之外周附近的SiN膜的形成效率相對地高的方向進行控制。亦即,可將形成於晶圓200上的SiN膜的面內膜厚分布,朝從中央凸分布往平坦分布、從平坦分布往中央凹分布接近的方向進行控制。
如以上敘述,控制為使存積於緩衝槽315內的DCS氣體的量、和存積於緩衝槽325內的DCS氣體的量的比率成為既定的比率,使得可使晶圓200的面內膜厚分布成為期望的分布等提高其控制性。
(d)步驟A中,將存積於緩衝槽315內的DCS氣體的量、和存積於緩衝槽325內的DCS氣體的量個別進行調整,使得不僅將形成於晶圓200上的SiN膜的面內膜厚分布進行控制,亦可控制在晶圓200間之膜厚分布。
例如,發明人已確認透過朝相對於存積於緩衝槽315的DCS氣體的量之存積於緩衝槽325的DCS氣體的量的比率變大的方向進行調整,使得可將在晶圓200間之膜厚分布朝在配置於反應管203內之上部的晶圓200(以下,亦稱為上部晶圓)的SiN膜的面內平均膜厚變比在配置於反應管203的下部的晶圓200(以下,亦稱為下部晶圓)的SiN膜的面內平均膜厚大的方向進行控制。
此外例如,發明人已確認透過朝相對於存積於緩衝槽315的DCS氣體的量之存積於緩衝槽325的DCS氣體的量的比率變小的方向進行調整,使得可將在晶圓200間之膜厚分布朝在下部晶圓的SiN膜的面內平均膜厚變比在上部晶圓的SiN膜的面內平均膜厚大的方向進行控制。
(e)上述的功效在作為第1處理氣體使用DCS氣體以外之上述的原料氣體的情況、作為第2處理氣體使用NH3 氣體以外之上述的氮化氫系氣體的情況、使用N2 氣體以外之上述的惰性氣體的情況下亦同樣可獲得。
<本揭示的其他態樣> 以上,具體說明本揭示的態樣。其中,本揭示不限定於上述的態樣,在不脫離其要旨的範圍內可作各種變更。
例如,亦可作為第2處理氣體而使用氧氣(O2 )的氧(O)含有氣體、丙烯氣體(C3 H6 )等的碳(C)含有氣體、三乙胺((C2 H5 )3 N,簡稱TEA)氣體等的含N及C的氣體等,透過示於以下的成膜順序,在基板上形成矽氧化膜(SiO膜)、矽氮化膜(SiN膜)、矽碳氮化膜(SiCN膜)、矽氧氮化膜(SiON膜)、矽氧碳化膜(SiOC膜)、矽氧碳氮化膜(SiOCN膜)等。此等情況下,亦獲得與上述的態樣同樣的功效。供應各種處理氣體之際的處理程序、處理條件例如可採取與上述的態樣相同。此等情況下,亦獲得與在上述的態樣之功效相同的功效。
Figure 02_image003
用於基板處理的配方優選上依處理內容而個別準備,經由電訊線路、外部記憶裝置而儲存於記憶裝置內。並且,優選上在開始處理之際,CPU從儲存於記憶裝置內的複數個配方之中,依基板處理的內容酌情選擇恰當的配方。據此,能以1台的基板處理裝置,再現性佳地形成各種的膜種、組成比、膜質、膜厚的膜。另外,可減低操作員的負擔,可一面迴避操作錯誤,一面迅速開始處理。
上述的配方不限於新作成的情況,例如亦可變更已安裝於基板處理裝置的既存的配方從而進行準備。變更配方的情況下,亦可將變更後的配方經由電訊線路、記錄該配方的記錄媒體而安裝於基板處理裝置。另外,亦可作成為操作既存的基板處理裝置具備的輸出入裝置,直接變更將已安裝於基板處理裝置的既存的配方。
在上述的態樣,就使用一次處理複數個基板的批次式的基板處理裝置而形成膜之例進行說明。本揭示不限於上述的態樣,例如亦可適用於使用一次處理1個或數個基板的單片式的基板處理裝置而形成膜的情況。另外,在上述的態樣,就使用具有熱壁型的處理爐的基板處理裝置而形成膜之例進行說明。本揭示不限於上述的態樣,亦可適用於使用具有冷壁型的處理爐的基板處理裝置而形成膜的情況。使用此等基板處理裝置的情況下,亦能以與上述的態樣、變形例同樣的順序、處理條件進行成膜,獲得與上述的態樣同樣的功效。
另外,在上述的態樣,說明有關在步驟A中同時進行子步驟A1、A2之例。本揭示不限於上述的態樣,例如,於步驟A,亦可使子步驟A1、A2的個別的開始時點及結束時點中至少一者彼此不同,另外亦可非同時(非同步)地進行子步驟A1、A2。
另外,此等各種的態樣可酌情組合而使用。此時的處理程序、處理條件例如可設為與上述的態樣的處理程序、處理條件相同。 [實施例]
作為樣品11~16,使用示於圖1的基板處理裝置,依示於圖4的成膜順序,在晶圓上形成SiN膜。L2方向與L3方向形成的角θ的大小是依樣品11~16的順序設為12°、21°、24°、27°、30°、38°。另外,在樣品11~16,使存積於緩衝槽315內的DCS氣體的量、和存積於緩衝槽325的DCS氣體的量為同量(亦即,DCS氣體的量的比率設為1:1)。並且,測定形成於晶圓上的SiN膜的面內膜厚分布。於圖5(a)示出其測定結果。
圖5(a)的縱軸表示形成在晶圓上之測定處的SiN膜的厚度的相對於平均膜厚之比率(測定處的膜厚/平均膜厚)。圖5的橫軸依序表示在晶圓上之測定處,1表示晶圓中心,2表示從晶圓中心分離晶圓半徑的1/3份之處,3表示從晶圓中心分離晶圓半徑的2/3份之處,4表示晶圓外周。
依圖5(a)時,得知可透過將L2方向與L3方向形成的角θ變更,從而控制形成於晶圓上的SiN膜的面內膜厚分布。例如,可得知使θ越小則越可使形成於晶圓上的SiN膜的面內膜厚分布從平坦分布往中央凸分布接近。此外例如可得知使θ越大越可使形成於晶圓上的SiN膜的面內膜厚分布從平坦分布往中央凹分布接近。
另外,發明人已確認在不實施子步驟A2的情況(亦即,不從氣體供應口421進行DCS氣體的供應的情況)、子步驟A2中從氣體供應口421朝往L3方向供應DCS氣體的情況(亦即,使角θ為0°的情況)下,實質上難以將尤其在從晶圓中心分離晶圓半徑的2/3的圓周上、其附近區域之SiN膜的厚度的分布(相對於平均膜厚之厚度的比率)控制為期望的值。亦即,已確認即使將DCS氣體的供應量、將DCS氣體封入至處理室201內的時間、與DCS氣體同時流放的N2 氣體的流量等的條件予以變化,在如此的區域之SiN膜的厚度的分布的變化量仍有限。另一方面,依本實施例時,可將L2方向與L3方向形成的角θ變更,從而以充分的變化量控制在如此的區域之SiN膜的厚度的分布。因此,可使SiN膜的面內膜厚分布的控制性提升。
作為樣品20~24,使用示於圖1的基板處理裝置,在晶圓上形成SiN膜。在樣品20,於步驟A,進行往L1方向的DCS氣體的供應,不進行往L2方向的DCS氣體的供應。亦即,於步驟A,僅實施子步驟A1,不實施子步驟A2。在製作樣品21~24之際,如示於圖4的成膜順序,在實施步驟A之際,實施子步驟A1、A2。使存積於緩衝槽315內的DCS氣體的量(在子步驟A1供應的DCS氣體的量)為S1(cc)、使存積於緩衝槽325的DCS氣體的量(在子步驟A2供應的DCS氣體的量)為S2(cc)時,使依S2/S1而獲得的比率R,依樣品21~24的順序,為10、20、30、50(%)。另外,在樣品20~24,使L2方向與L3方向形成的角θ的大小為27°。並且,測定形成於晶圓上的SiN膜的面內膜厚分布。於圖5(b)示出其測定結果。
圖5(b)的縱軸表示形成在晶圓上之測定處的SiN膜的厚度的相對於平均膜厚之比率(測定處的膜厚/平均膜厚)。圖5的橫軸依序表示在晶圓上之測定處,1表示晶圓中心,2表示從晶圓中心分離晶圓半徑的1/3份之處,3表示從晶圓中心分離晶圓半徑的2/3份之處,4表示晶圓外周。
依圖5(b)時,可得知於步驟A,在實施往L2方向的DCS氣體的供應亦即實施子步驟A2的樣品21~24方面,比起不實施該子步驟之樣品20,形成於晶圓上的SiN膜的面內膜厚均勻性為良好。另外,亦可得知實施子步驟A2的情況下,可透過調整上述的比率R,從而廣範圍地控制形成於晶圓上的SiN膜的面內膜厚分布。例如,可得知可透過減小上述的比率R,從而使形成於晶圓上的SiN膜的面內膜厚分布從中央凹分布往平坦分布、再者往中央凸分布接近。此外例如可得知可透過增加上述的比率R,從而使形成於晶圓上的SiN膜的面內膜厚分布從中央凸分布往平坦分布、再者往中央凹分布接近。
此外依本實施例時,可透過使L2方向與L3方向形成的角θ的大小為27°而變更比率R,從而將尤其在從晶圓中心分離晶圓半徑的2/3的圓周上、其附近區域之SiN膜的厚度的分布(相對於平均膜厚之厚度的比率)以充分的變化量進行控制,故可使SiN膜的面內膜厚分布的控制性提升。
作為樣品31~34,使用示於圖1的基板處理裝置,依示於圖4的成膜順序,在晶圓上形成SiN膜。在製作樣品31~34之際,將上述的比率R依序設為20、40、50、80%,於個別的比率R,使上述的θ變化為12°、21°、24°、27°、30°、38°。並且,測定形成於晶圓上的SiN膜的面內膜厚分布。將該結果示於圖6。
圖6的縱軸表示形成於晶圓上的SiN膜的面內膜厚均勻性(WiW Unif.)。面內膜厚均勻性的值是根據在晶圓上之SiN膜的最大膜厚值、最小膜厚值、及平均膜厚值,以(最大膜厚值-最小膜厚值)/(2×平均膜厚值)×100而算出。此面內膜厚均勻性的值比0越大表示中央凸分布的程度越強,另外比0越小表示中央凹分布的程度越強。圖6的橫軸表示上述的θ。
依圖6時,可得知將θ的大小設定於24~30°的範圍內而使比率R的值在20~80%的範圍進行變化,使得可將形成於晶圓上的SiN膜的面內膜厚均勻性控制為成為中央凹分布、平坦分布、及中央凸分布中的任一個傾向的分布。亦即,可得知將θ的大小設定為24~30°的範圍內的角度,使得可將形成於晶圓上的SiN膜的面內膜厚分布控制為成為期望的分布。
200:晶圓(基板) 201:處理室 280:控制器(控制部) 301:原料氣體供應系統 302:氮化氣體供應系統
[圖1] 在本揭示的一態樣適用的基板處理裝置的縱型處理爐的示意構成圖,為就處理爐部分以縱剖面圖進行繪示的圖。 [圖2] 在本揭示的一態樣適用的基板處理裝置的縱型處理爐的示意構成圖,為就處理爐部分以圖1的A-A線剖面圖進行繪示的圖。 [圖3] 在本揭示的一態樣適用的基板處理裝置的控制器的示意構成圖,為就控制器的控制系統以方塊圖進行繪示的圖。 [圖4] 就在本揭示的一態樣之成膜順序進行繪示的圖。 [圖5] (a)及(b)分別為就形成於基板上的膜的基板面內膜厚分布的測定結果進行繪示的圖。 [圖6] 就形成於基板上的膜的基板面內膜厚分布的測定結果進行繪示的圖。
200:晶圓(基板)
200c:中心
201:處理室
202:處理爐
203:反應管
207:加熱器
230:排氣口
231:排氣管
263:溫度感測器
270:高頻電源
271:整合器
272:接地端
280:控制器(控制部)
310,320,330:氣體供應管
410,420:噴嘴
411,421:氣體供應口
430:噴嘴
431:氣體供應口
433:緩衝室
434:緩衝室壁
435:氣體供應口
439:電漿產生構造
451:電極保護管
452:電極保護管
471,472:棒狀電極

Claims (18)

  1. 一種基板處理裝置,具備:處理室,其收容基板;第1氣體供應系統,其往前述處理室內供應包含既定元素的第1處理氣體;第2氣體供應系統,其往前述處理室內供應化學結構與前述第1處理氣體不同的第2處理氣體;排氣系統,其將前述處理室內進行排氣;和控制部,其被構成為將前述第1氣體供應系統、前述第2氣體供應系統、及前述排氣系統控制為,將對前述處理室內的基板供應前述第1處理氣體的第1處理、和對前述處理室內的前述基板供應前述第2處理氣體的第2處理非同時地進行既定次數,從而在前述基板上形成包含前述既定元素之膜;前述第1氣體供應系統具備:第1存積部,其每次進行前述第1處理,暫時存積前述第1處理氣體;第2存積部,其每次進行前述第1處理,暫時存積前述第1處理氣體;第1氣體供應口,其被構成為將存積於前述第1存積部內的前述第1處理氣體,從前述基板的外周,朝前述基板之中心進行供應;和第2氣體供應口,其被構成為將存積於前述第2存積部內的前述第1處理氣體,從前述基板的外周,朝向比從前 述基板的外周往前述基板之中心的方向靠向前述基板的外周側進行供應;前述第1氣體供應系統被構成為具備設於前述第1存積部與前述第1氣體供應口之間的第1閥體及設於前述第2存積部與前述第2供應口之間的第2閥體,開放前述第1閥體及前述第2閥體從而使存積於前述第1存積部及前述第2存積部的前述第1處理氣體一次性在不受流量控制之下從前述第1氣體供應口及前述第2氣體供應口分別供應於前述基板。
  2. 如請求項1的基板處理裝置,其中,前述第2氣體供應口被構成為,將存積於前述第2存積部內的前述第1處理氣體,朝向從前述第2氣體供應口往前述基板之中心的方向、和從前述第2氣體供應口往前述基板之外周的方向之間的既定的方向進行供應。
  3. 如請求項1的基板處理裝置,其中,前述第1氣體供應口與前述第2氣體供應口設於在前述基板的外周方向上彼此相鄰的位置。
  4. 如請求項1~3中任一項的基板處理裝置,其中,前述第2氣體供應口被構成為,將存積於前述第2存積部內的前述第1處理氣體,朝向相對於從前述第2氣體供應口往前述基板之中心之方向朝前述基板的外周側24°以上且30°以下的範圍內的既定的角度的方向進行供應。
  5. 如請求項1~3中任一項的基板處理裝 置,其中,前述第2氣體供應口被構成為,將存積於前述第2存積部內的前述第1處理氣體,朝向比與從記第1氣體供應口供應前述第1處理氣體的方向平行的方向靠向前述基板的外周側的方向進行供應。
  6. 如請求項1的基板處理裝置,其中,前述控制部被構成為將前述第1氣體供應系統及前述排氣系統控制為,在前述第1處理,將前述處理室內進行排氣後,將前述排氣系統閉塞的狀態下,將存積於前述第1存積部內的前述第1處理氣體、及存積於前述第2存積部內的前述第1處理氣體分別往前述處理室內供應。
  7. 如請求項1的基板處理裝置,其中,前述控制部被構成為將前述第1氣體供應系統控制為,分別個別地調整存積於前述第1存積部內的前述第1處理氣體的量、和存積於前述第2存積部內的前述第1處理氣體的量。
  8. 如請求項7的基板處理裝置,其中,前述控制部被構成為將前述第1氣體供應系統控制為,存積於前述第1存積部內的前述第1處理氣體的量、和存積於前述第2存積部內的前述第1處理氣體的量的比率成為既定的比率。
  9. 如請求項7的基板處理裝置,其中,前述控制部被構成為將前述第1氣體供應系統控制為,將存積於前述第1存積部內的前述第1處理氣體的量、和存積於前述第2存積部內的前述第1處理氣體的量的比率予以變化,從而調整形成於前述基板上的膜的面內膜厚分布。
  10. 如請求項1的基板處理裝置,其中,於前述處理室內是複數個前述基板被以既定之間隔進行積載而收容,前述第1氣體供應口在沿著前述基板被積載的方向而設的第1噴嘴之側部被設置複數個,前述第2氣體供應口在沿著前述基板被積載的方向而設的第2噴嘴之側部被設置複數個。
  11. 如請求項10的基板處理裝置,其中,前述控制部被構成為將前述第1氣體供應系統控制為,存積於前述第1存積部內的前述第1處理氣體的量、和存積於前述第2存積部內的前述第1處理氣體的量的比率成為既定的比率。
  12. 如請求項10的基板處理裝置,其中,前述控制部被構成為將前述第1氣體供應系統控制為,於前述第1處理將前述第1閥體及前述第2閥體打開,從而將分別存積於前述第1存積部內及前述第2存積部內的前述第1處理氣體往前述處理室內供應。
  13. 如請求項1的基板處理裝置,其中,前述第1氣體供應系統在前述第1存積部與前述第1氣體供應口之間及在前述第2存積部與前述第2氣體供應口之間中的任一者皆不具備控制前述第1處理氣體的流量的流量控制部。
  14. 一種半導體裝置之製造方法,具有將對收容於處理室內的基板供應包含既定元素的第1處理氣體 的第1程序、和對前述處理室內的前述基板供應化學結構與前述第1處理氣體不同的第2處理氣體的第2程序非同時地進行既定次數從而在前述基板上形成包含前述既定元素的膜之程序,前述第1程序包含:第1供應程序,其為將暫時存積於第1存積部內的前述第1處理氣體經由第1氣體供應口朝前述基板進行供應者;和第2供應程序,其為將暫時存積於第2存積部內的前述第1處理氣體經由第2氣體供應口朝前述基板進行供應者;在前述第1供應程序,開放設於前述第1存積部與前述第1氣體供應口之間的第1閥體,從而將存積於前述第1存積部的前述第1處理氣體一次性在不受流量控制之下,從前述基板的外周,朝前述基板之中心供應,在前述第2供應程序,開放設於前述第2存積部與前述第2氣體供應口之間的第2閥體,從而將存積於前述第2存積部的前述第1處理氣體一次性在不受流量控制之下,從前述基板的外周,朝向比從前述基板的外周往前述基板之中心的方向靠向前述基板的外周側的方向供應。
  15. 如請求項14的半導體裝置之製造方法,其中,在前述第1程序,將存積於前述第1存積部內的前述第1處理氣體的量、和存積於前述第2存積部內的前述第1處理氣體的量的比率予以變化,從而調整形成於前述基板上的膜的面內膜厚分布。
  16. 如請求項14的半導體裝置之製造方法,其中,在前述第1程序,利用前述第1存積部內與前述處理室內的壓力差、及前述第2存積部內與前述處理室內的壓力差,分別將經由前述第1氣體供應口及前述第2氣體供應口往前述處理室內供應的前述第1處理氣體的流速予以增加。
  17. 如請求項16的半導體裝置之製造方法,其中,在前述第1程序,從前述第2氣體供應口往前述處理室內供應的前述第1處理氣體的流速為從前述第1氣體供應口往前述處理室內供應的前述第1處理氣體的流速的0.8倍以上且1.2倍以內的大小。
  18. 一種記錄媒體,其為可透過記錄程式的電腦進行讀取者,該程式透過電腦使基板處理裝置執行將對收容於前述基板處理裝置的處理室內的基板供應包含既定元素的第1處理氣體的第1程序、和對前述處理室內的前述基板供應化學結構與前述第1處理氣體不同的第2處理氣體的第2程序非同時地進行既定次數從而在前述基板上形成包含前述既定元素的膜之程序,前述第1程序包含:第1供應程序,其為將暫時存積於第1存積部內的前述第1處理氣體經由第1氣體供應口朝前述基板進行供應者;和第2供應程序,其為將暫時存積於第2存積部內的前述第1處理氣體經由第2氣體供應口朝前述基板進行供應者; 在前述第1供應程序,開放設於前述第1存積部與前述第1氣體供應口之間的第1閥體,從而將存積於前述第1存積部的前述第1處理氣體一次性在不受流量控制之下,從前述基板的外周,朝前述基板之中心供應,在前述第2供應程序,開放設於前述第2存積部與前述第2氣體供應口之間的第2閥體,從而將存積於前述第2存積部的前述第1處理氣體一次性在不受流量控制之下,從前述基板的外周,朝向比從前述基板的外周往前述基板之中心的方向靠向前述基板的外周側的方向供應。
TW108145663A 2019-02-13 2019-12-13 基板處理裝置、半導體裝置之製造方法及記錄媒體 TWI741445B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2019023380A JP6902060B2 (ja) 2019-02-13 2019-02-13 基板処理装置、半導体装置の製造方法、およびプログラム
JP2019-023380 2019-02-13

Publications (2)

Publication Number Publication Date
TW202100795A TW202100795A (zh) 2021-01-01
TWI741445B true TWI741445B (zh) 2021-10-01

Family

ID=71944639

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108145663A TWI741445B (zh) 2019-02-13 2019-12-13 基板處理裝置、半導體裝置之製造方法及記錄媒體

Country Status (5)

Country Link
US (3) US11361961B2 (zh)
JP (1) JP6902060B2 (zh)
KR (1) KR102374386B1 (zh)
CN (1) CN111564388B (zh)
TW (1) TWI741445B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102660213B1 (ko) * 2019-03-06 2024-04-23 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 프로그램, 기판 처리 장치 및 기판 처리 방법
WO2022065114A1 (ja) * 2020-09-24 2022-03-31 東京エレクトロン株式会社 ガスを供給する装置、基板を処理するシステム、及びガスを供給する方法
US11862482B2 (en) * 2021-03-11 2024-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor substrate bonding tool and methods of operation
WO2023166771A1 (ja) * 2022-03-03 2023-09-07 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、プログラムおよび基板処理装置
WO2023175826A1 (ja) * 2022-03-17 2023-09-21 株式会社Kokusai Electric 基板処理装置、ガスノズル、半導体装置の製造方法、基板処理方法及びプログラム
WO2024069721A1 (ja) * 2022-09-26 2024-04-04 株式会社Kokusai Electric 基板処理装置、基板処理方法、半導体装置の製造方法及びプログラム

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103966576A (zh) * 2013-01-30 2014-08-06 株式会社日立国际电气 基板处理装置、半导体器件的制造方法及程序
CN108885993A (zh) * 2016-03-24 2018-11-23 株式会社国际电气 基板处理装置、半导体装置的制造方法以及存储介质

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07105352B2 (ja) * 1987-05-20 1995-11-13 株式会社日立製作所 気相成長方法
JPH01235236A (ja) * 1988-03-15 1989-09-20 Nec Corp 気相成長装置
JP2005353665A (ja) * 2004-06-08 2005-12-22 Komatsu Electronic Metals Co Ltd 気相成長装置およびエピタキシャル気相成長装置用ガス導入口の仕切り部材の傾斜角度設定方法
US20050287806A1 (en) * 2004-06-24 2005-12-29 Hiroyuki Matsuura Vertical CVD apparatus and CVD method using the same
KR101161020B1 (ko) * 2006-03-30 2012-07-02 미쯔이 죠센 가부시키가이샤 원자층 성장 장치
JP2010027702A (ja) * 2008-07-16 2010-02-04 Hitachi Kokusai Electric Inc 基板処理装置及び薄膜生成方法
JP2011029441A (ja) 2009-07-27 2011-02-10 Hitachi Kokusai Electric Inc 基板処理装置及び基板処理方法
JP5520552B2 (ja) * 2009-09-11 2014-06-11 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
JP2011082493A (ja) * 2009-09-14 2011-04-21 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP5742185B2 (ja) * 2010-03-19 2015-07-01 東京エレクトロン株式会社 成膜装置、成膜方法、回転数の最適化方法及び記憶媒体
JP5743488B2 (ja) 2010-10-26 2015-07-01 株式会社日立国際電気 基板処理装置および半導体装置の製造方法
TWI520177B (zh) * 2010-10-26 2016-02-01 Hitachi Int Electric Inc 基板處理裝置、半導體裝置之製造方法及電腦可讀取的記錄媒體
US20140137801A1 (en) 2012-10-26 2014-05-22 Applied Materials, Inc. Epitaxial chamber with customizable flow injection
JP6204213B2 (ja) * 2014-01-28 2017-09-27 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP6402058B2 (ja) 2015-03-23 2018-10-10 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
JP6678489B2 (ja) * 2016-03-28 2020-04-08 東京エレクトロン株式会社 基板処理装置
KR102297200B1 (ko) * 2016-03-29 2021-09-03 가부시키가이샤 코쿠사이 엘렉트릭 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
JP6710134B2 (ja) * 2016-09-27 2020-06-17 東京エレクトロン株式会社 ガス導入機構及び処理装置

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103966576A (zh) * 2013-01-30 2014-08-06 株式会社日立国际电气 基板处理装置、半导体器件的制造方法及程序
CN108885993A (zh) * 2016-03-24 2018-11-23 株式会社国际电气 基板处理装置、半导体装置的制造方法以及存储介质

Also Published As

Publication number Publication date
US20240153760A1 (en) 2024-05-09
JP2020136301A (ja) 2020-08-31
US20200258736A1 (en) 2020-08-13
TW202100795A (zh) 2021-01-01
US11361961B2 (en) 2022-06-14
JP6902060B2 (ja) 2021-07-14
CN111564388B (zh) 2024-04-23
CN111564388A (zh) 2020-08-21
US11915927B2 (en) 2024-02-27
KR20200099073A (ko) 2020-08-21
US20220277952A1 (en) 2022-09-01
KR102374386B1 (ko) 2022-03-14

Similar Documents

Publication Publication Date Title
TWI741445B (zh) 基板處理裝置、半導體裝置之製造方法及記錄媒體
JP7464638B2 (ja) 基板処理装置、プラズマ生成装置、反応管、プラズマ生成方法、基板処理方法、半導体装置の製造方法およびプログラム
TWI819348B (zh) 半導體裝置之製造方法、基板處理方法、基板處理裝置及程式
KR102203745B1 (ko) 기판 처리 장치, 반도체 장치의 제조 방법, 컴퓨터 프로그램 및 반응관
US11591694B2 (en) Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus, and recording medium
JP2011192875A (ja) 半導体装置の製造方法及び基板処理装置
TWI752452B (zh) 半導體裝置之製造方法、基板處理方法、基板處理裝置及程式
US20220170154A1 (en) Substrate processing method, recording medium, and substrate processing apparatus
US20200399759A1 (en) Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium
TWI683347B (zh) 基板處理裝置、半導體裝置的製造方法及記錄媒體
WO2019181603A1 (ja) 基板処理装置、半導体装置の製造方法及びプログラム
TWI785510B (zh) 基板處理裝置、半導體裝置之製造方法及記錄媒體
TWI798819B (zh) 基板處理裝置、半導體裝置的製造方法及程式
US11961715B2 (en) Substrate processing apparatus, substrate retainer and method of manufacturing semiconductor device
JP2020077890A (ja) 半導体装置の製造方法、基板処理装置、およびプログラム