CN102047407B - 加工腔 - Google Patents

加工腔 Download PDF

Info

Publication number
CN102047407B
CN102047407B CN2009801201857A CN200980120185A CN102047407B CN 102047407 B CN102047407 B CN 102047407B CN 2009801201857 A CN2009801201857 A CN 2009801201857A CN 200980120185 A CN200980120185 A CN 200980120185A CN 102047407 B CN102047407 B CN 102047407B
Authority
CN
China
Prior art keywords
wafer
processing cavity
process equipment
load chamber
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2009801201857A
Other languages
English (en)
Other versions
CN102047407A (zh
Inventor
J·韦查尔特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Aifa Advanced Technology Co ltd
Evatec AG
Original Assignee
OC Oerlikon Balzers AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by OC Oerlikon Balzers AG filed Critical OC Oerlikon Balzers AG
Publication of CN102047407A publication Critical patent/CN102047407A/zh
Application granted granted Critical
Publication of CN102047407B publication Critical patent/CN102047407B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

提供了一种用于处理基底的加工设备,其包括用于装载基底的装载腔、用于加工基底的加工腔、使加工腔与装载腔隔开的密封面,以及用于使基底从装载腔垂直地移动至加工腔的器件,且还提供了用于处理基底的方法。装载腔定位在加工设备的下部和上部中的一个中,而加工腔定位在加工设备的下部和上部中的另一个中。本发明的加工设备和方法将通过减少用于装载基底的运动的数目而容易维护和降低成本。

Description

加工腔
技术领域
本发明主要涉及一种用于处理诸如半导体晶片(wafer)的基底的加工腔,以及处理基底的方法。具体而言,本发明涉及一种用于处理基底的加工腔,其通过减少用于装载基底的运动的数目而将会使得维护容易和成本下降,以及处理基底的方法。
背景技术
现有技术的半导体晶片加工系统(″群集工具″)具有中央操纵器(handler)、运输腔以及若干加工腔。中央操纵器定位在运输腔内,而加工腔附接到运输腔上。加工腔通过分离闸阀而与中央操纵器隔开。
在正常操作期间,操纵器保持基底,且使基底在加工腔其中之一的上方横向地移动。然后,操纵器通过将基底安置在一组销(pin)上而将基底垂直向下地移动到指定的加工腔中。因此,将基底移动到加工腔中需要至少两种运动,也即横向运动和垂直运动。为了降低对于装载基底的成本,需要将运动的数目减少至一种。减少运动的数目也将有助于在操作期间减少颗粒的产生。
本发明通过开发出用于处理基底的新型加工腔和处理基底的方法而解决了上述问题,通过减少对于装载基底的运动的数目将会使得维护容易和成本下降。
发明内容
一方面,本发明涉及一种用于处理基底的加工设备,其包括用于装载基底的装载腔、用于加工基底的加工腔、使加工腔与装载腔隔开的密封面(plane),以及用于垂直地移动基底的器件。装载腔定位在加工设备的下部和上部中的一个中,而加工腔定位在加工设备的下部和上部中的另一个中。用于垂直地移动基底的器件将基底从装载腔移动至加工腔。
另一方面,装载腔定位在加工设备的下部中,而加工腔定位在加工设备的上部中。
再一方面,装载腔定位在加工设备的上部中,而加工腔定位在加工设备的下部中。
再一方面,加工设备包括用于装载和卸载基底的第一开口和第二开口。第一开口与第二开口相对。
再一方面,加工设备为圆柱形,且具有对称的界面(interface)。
再一方面,加工腔对基底执行PVD(物理气相沉积)加工。
根据再一方面,本发明还提供了一种用于在加工设备中处理基底的方法,该加工设备具有用于装载基底的装载腔、用于处理基底的加工腔、使加工腔与装载腔隔开的密封面,以及用于垂直地移动基底的器件。装载腔定位在加工设备的下部和上部中的一个中,而加工腔定位在加工设备的下部和上部中的另一个中。该方法包括以下步骤:将基底装载到装载腔中;由垂直移动器件经过密封面将基底从装载腔垂直地移动至加工腔;在加工腔中处理基底;以及从加工腔卸载基底。
再一方面,装载腔定位在加工设备的下部中,而加工腔定位在加工设备的上部中。
再一方面,装载腔定位在加工设备的上部中,而加工腔定位在加工设备的下部中。
再一方面,加工设备具有用于装载和卸载基底的第一开口和第二开口。第一开口与第二开口相对。
再一方面,加工设备为圆柱形,且具有对称的界面。
再一方面,处理步骤包括对基底执行PVD加工。
附图说明
本发明所涉及领域的技术人员在参照附图研读如下描述时,本发明的前述及其它方面将变得清楚,在附图中:
图1为根据本发明的加工设备的顶视图。
图2为根据本发明的加工设备的一个实施例的横截面视图。
图3为根据本发明的加工设备的另一实施例的横截面视图。
具体实施方式
附图中描述和示出了结合本发明的一个或多个方面的示例性实施例。这些示出的实例并非意图限制本发明。例如,本发明的一个或多个方面可在其它实施例且甚至是其它类型的装置中使用。此外,本文所使用的某些措辞仅是为了方便,而并非作为对本发明的限制。此外,在附图中,相同的参考标号用于标注相同的元件。
参看图1,示出了根据本发明的用于处理基底的加工设备1。图1中所示的加工设备1为圆柱形的。加工设备1具有两个相对的开口14、15。操纵器16附接到一个开口14上,而泵17附接到另一个开口15上。
图2示出了根据本发明的用于处理基底的加工设备的第一实施例。如图2中所示,加工设备1具有装载腔10、加工腔11、使加工腔11与装载腔10隔开的密封面12,以及用于将基底从装载腔10垂直地移动至加工腔11的器件13。加工设备1优选为圆柱形的,且具有对称的界面。加工设备1可切取自单一的铝件。装载腔10定位在加工设备1的下部中。另一方面,加工腔11定位在加工设备1的上部中。如图2中所示,加工腔11通过密封面12在加工位置封闭。
加工设备1具有两个侧部开口14、15。一个侧部开口14与另一个侧部开口15相对。操纵器16定位在加工设备1的右下侧上,且附接到侧部开口14上。泵17定位在加工设备1的左上侧上,且附接到侧部开口15上。泵17可经由闸阀(未示出)附接到加工腔11上。如果泵17为低温泵,则尤其需要闸阀。
垂直移动器件13具有卡盘131、卡盘凸缘132、卡盘驱动系统133、真空密封波纹管134、夹环135、升降环136以及至少三个升降环销137。卡盘凸缘132将卡盘131从装载位置传送至加工位置。驱动系统133驱动卡盘131。升降环136可为弹簧加载的,以容许缩回或由其它器件驱动。升降环136、销137和卡盘131可与支承本体绝缘,因为可能有电功率施加到卡盘131上。
加工腔11具有源凸缘111、气环112和阳极护罩(shield)113。溅射源(未示出)附接到源凸缘111上,源凸缘111通过源绝缘体绝缘。溅射源经由气环112将气体供给至加工腔11。阳极护罩113向基底(如,晶片等)提供反电极,且保护加工腔11的内表面免受涂布。出于维护的原因,阳极护罩113优选为单件式护罩。夹环135不与阳极护罩113接触,以便避免晶片边缘上的压力。为此,夹环135的重量与升降环136的弹簧重量均衡。
下文示出了在本发明的加工设备1中处理晶片的操作。
在卡盘131处于装载位置的情况下,晶片经由操纵器16的操纵器端口装载到升降环136上。夹环135坐置在加工设备1的经机械加工的边上。升降环136通过至少三个销137升降,以便晶片可在升降环136与夹环135之间移动,且通过操纵系统的垂直移动而放置在升降环136上。然而,在操纵臂缩回之后,卡盘131从装载位置向上移动至加工位置。升降环销137移入其护套中。然后,夹环135从其静止位置向上移动,且将晶片保持就位在加工腔11内。
现在,生产气体(或称为加工气体)(例如,氩)从溅射源经由气环112引入加工腔11中。气环112由阳极护罩113保护而免受涂布。生产气体施加到晶片上。在足量的生产气体施加到晶片上之后,停止生产气体的供给。
为了进行维护,加工腔11在加工位置排气(或通风)。装载腔10并不排气,因为密封面12阻止装载腔10排气。装载腔10现在经由操纵器16进行泵送。目标(晶片)升降或旋转离开,以容许接近待维护的所有零件。目标、阳极护罩113和夹环135通常是可更换的。晶片的碎粒也可从加工腔11中移除。
然后,晶片从加工腔11卸载到装载腔10,且经由操纵器16卸除。
图3示出了根据本发明的用于处理基底的加工设备的第二实施例。如图3中所示,加工设备2具有装载腔20、加工腔21、使加工腔21与装载腔20隔开的密封面22,以及用于将基底从装载腔20垂直地移动至加工腔21的器件23。加工设备2也优选为圆柱形的,且具有对称的界面,且可切取自单一的铝件。与加工设备的第一实施例不同的是,装载腔20定位在加工设备2的上部中,而加工腔21定位在加工设备2的下部中。其它零件与第一实施例中的相同,只是操纵器和泵进行了互换,顶部装载腔20连接到操纵器和卡盘凸缘上,而溅射源附接到底部加工腔21上。如图3中所示,加工腔21通过密封面22在加工位置封闭。
加工设备2具有两个侧部开口24、25。一个侧部开口24与另一个侧部开口25相对。操纵器26定位在加工设备2的右上侧上,且附接到侧部开口24上。泵27定位在加工设备2的左下侧上,且附接到侧部开口25上。
垂直移动器件23具有卡盘231、卡盘凸缘232、卡盘驱动系统233、真空密封波纹管234、夹环235、晶片支承环236和至少三个弹簧加载的销237。晶片支承环236为弹簧加载的,以便不会因施加的压力而破坏晶片。晶片支承环236也是绝缘的,以便能使电功率施加到卡盘231上。
加工腔21具有源凸缘211、气环212和阳极护罩213。溅射气体源(未示出)附接到源凸缘211上,而源凸缘211通过源绝缘体绝缘。溅射气体源将气体供给至加工腔21。
下文示出了在本发明的加工设备1中处理晶片的操作。
在卡盘231处于装载位置的情况下,晶片经由操纵器26的操纵器端口装载到晶片支承环236上,且通过操纵系统的垂直移动而安置在晶片支承环236上。晶片支承环236通过至少三个弹簧加载的销237限制。然后,当操纵臂缩回之后,卡盘231从装载位置向下移动至加工位置。通过卡盘231向下移动,晶片和晶片支承环236与夹环235接触。弹簧加载的销237沿其护套移动,该护套也与接地的支承本体绝缘。
现在,生产气体(例如,氩)从溅射源引入至加工腔21。气环212由阳极护罩213保护而免受涂布。生产气体施加到晶片上。在足量的生产气体施加到晶片上之后,停止生产气体的供给。
为了进行维护,加工腔21在加工位置排气。密封面22阻止装载腔20进行排气。装载腔20现在通过操纵器26泵送。目标(晶片)、阳极护罩213和弹簧加载的夹环235可从底部移除。
在此实施例中,溅射源附接到加工腔21的底部上。这样自底向上的溅射选择具有后侧金属化的优点,因为不再需要水翻转。预计这还减少了颗粒数。
作为备选,代替安置溅射源,蚀刻站、脱气站、冷却站或计量站可附接到这些基本加工模块的任一侧上。原来设计成用于正面施加的站点如辐射加热器,可附接到后侧上,反之亦然。
本发明已经关于各种特定实施例进行了描述。然而,本领域的技术人员将认识到的是,本发明可结合在所附权利要求的精神和范围内的修改而予以实施。

Claims (10)

1.一种用于处理半导体晶片的加工设备(1),包括:
用于装载所述晶片的装载腔(10);
用于加工所述晶片的加工腔(11);
用于将所述晶片从所述装载腔(10)垂直地移动至所述加工腔(11)的器件(13),
卡盘(131),升降环(136)以及夹环(135),
所述装载腔(10)定位在所述加工设备(1)的下部中,以及所述加工腔(11)定位在所述加工设备(1)的上部中,
其特征在于,
当所述卡盘(131)处在所述装载腔(10)中时所述晶片安置在所述升降环(136)上以及所述夹环(135)将所述晶片保持就位在所述加工腔(11)中。
2.一种用于处理半导体晶片的加工设备(2),包括:
用于装载所述晶片的装载腔(20);
用于加工所述晶片的加工腔(21);
用于将所述晶片从所述装载腔(20)垂直地移动至所述加工腔(21)的器件(23),
卡盘(231),晶片支承环(236)和夹环(235),
所述装载腔(20)定位在所述加工设备(2)的上部中,以及所述加工腔(21)定位在所述加工设备(2)的下部中,
其特征在于,
当所述卡盘(231)处在装载位置时所述晶片安置在所述晶片支承环(236)上以及所述晶片和所述晶片支承环(236)在加工位置与所述夹环(235)接触。
3.根据权利要求1或权利要求2所述的设备,其特征在于,所述加工设备还包括用于装载和卸载所述晶片的第一开口和第二开口,其中,所述第一开口与所述第二开口相对。
4.根据权利要求1或权利要求2所述的设备,其特征在于,所述加工设备为圆柱形的,且具有对称的界面。
5.根据权利要求1或权利要求2所述的设备,其特征在于,所述加工腔对所述晶片执行PVD加工。
6.一种用于在加工设备(1)中处理半导体晶片的方法,所述加工设备(1)具有用于装载所述晶片的装载腔(10)、用于加工所述晶片的加工腔(11)、卡盘(131)、升降环(136)和夹环(135)、用于使所述晶片从所述装载腔(10)垂直地移动至所述加工腔(11)的器件,所述装载腔(10)定位在所述加工设备(1)的下部,以及所述加工腔(11)定位在所述加工设备(1)的上部,所述方法包括以下步骤:
将所述晶片装载到所述装载腔(10);
将所述晶片安置在所述升降环(136)上;
由所述器件(13)将所述晶片从所述装载腔(10)垂直地向上移动至所述加工腔(11);
在所述加工腔中处理所述晶片;以及
从所述加工腔卸载所述晶片。
7.一种用于在加工设备(2)中处理半导体晶片的方法,所述加工设备(2)具有用于装载所述晶片的装载腔(20)、用于加工所述晶片的加工腔(21)、卡盘(231)、晶片支承环(236)和夹环(235),
用于使所述晶片从所述装载腔(20)垂直地向下移动至所述加工腔(21)的器件,其中,所述装载腔(20)定位在所述加工设备(2)的上部,以及所述加工腔(21)定位在所述加工设备(2)的下部,所述方法包括以下步骤:
将所述晶片装载到所述装载腔(20);
将所述晶片安置在所述晶片支承环(236)上;
由所述器件(23)将所述晶片从所述装载腔(20)垂直地向下移动至所述加工腔(21),直到所述晶片和所述晶片支承环(236)在加工位置与所述夹环(235)接触;
在所述加工腔中处理所述晶片;以及
从所述加工腔卸载所述晶片。
8.根据权利要求6或权利要求7所述的方法,其特征在于,所述加工设备具有用于装载和卸载所述晶片的第一开口和第二开口,以及其中,所述第一开口与所述第二开口相对。
9.根据权利要求6或权利要求7所述的方法,其特征在于,所述加工设备为圆柱形的,且具有对称的界面。
10.根据权利要求6或权利要求7所述的方法,其特征在于,所述处理步骤包括对所述晶片执行PVD加工。
CN2009801201857A 2008-03-25 2009-03-24 加工腔 Active CN102047407B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US3918508P 2008-03-25 2008-03-25
US61/039,185 2008-03-25
US61/039185 2008-03-25
PCT/CH2009/000102 WO2009117839A1 (en) 2008-03-25 2009-03-24 Processing chamber

Publications (2)

Publication Number Publication Date
CN102047407A CN102047407A (zh) 2011-05-04
CN102047407B true CN102047407B (zh) 2012-10-10

Family

ID=40651451

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009801201857A Active CN102047407B (zh) 2008-03-25 2009-03-24 加工腔

Country Status (7)

Country Link
US (2) US20090252892A1 (zh)
EP (1) EP2260509A1 (zh)
JP (1) JP2011518428A (zh)
KR (2) KR101913017B1 (zh)
CN (1) CN102047407B (zh)
TW (1) TWI520251B (zh)
WO (1) WO2009117839A1 (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104862660B (zh) * 2014-02-24 2017-10-13 北京北方华创微电子装备有限公司 承载装置及等离子体加工设备
JP6473974B2 (ja) * 2016-09-30 2019-02-27 パナソニックIpマネジメント株式会社 プラズマ処理装置およびプラズマ処理方法
JP7209247B2 (ja) * 2018-09-25 2023-01-20 パナソニックIpマネジメント株式会社 素子チップの製造方法
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
US20210375650A1 (en) * 2020-06-01 2021-12-02 Applied Materials, Inc. High temperature and vacuum isolation processing mini-environments
US11817331B2 (en) 2020-07-27 2023-11-14 Applied Materials, Inc. Substrate holder replacement with protective disk during pasting process
US11749542B2 (en) 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
US11600507B2 (en) 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6079928A (en) * 1997-08-08 2000-06-27 Brooks Automation, Inc. Dual plate gas assisted heater module
EP1182695A2 (en) * 2000-08-22 2002-02-27 Asm Japan K.K. Semiconductor processing module and apparatus
US20060213439A1 (en) * 2005-03-25 2006-09-28 Tadahiro Ishizaka Plasma enhanced atomic layer deposition system having reduced contamination

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5228501A (en) * 1986-12-19 1993-07-20 Applied Materials, Inc. Physical vapor deposition clamping mechanism and heater/cooler
DE69331659T2 (de) * 1993-01-13 2002-09-12 Applied Materials Inc Verfahren zur Abscheidung von Polysiliziumschichten mit einer verbesserten Uniformität und dazugehörige Vorrichtung
US5810931A (en) * 1996-07-30 1998-09-22 Applied Materials, Inc. High aspect ratio clamp ring
JP3723712B2 (ja) * 2000-02-10 2005-12-07 株式会社日立国際電気 基板処理装置及び基板処理方法
JP2001313329A (ja) * 2000-04-28 2001-11-09 Applied Materials Inc 半導体製造装置におけるウェハ支持装置
JP2003197716A (ja) * 2001-12-21 2003-07-11 Applied Materials Inc 基板支持装置及び半導体製造装置
US6776849B2 (en) * 2002-03-15 2004-08-17 Asm America, Inc. Wafer holder with peripheral lift ring
KR20080033406A (ko) * 2005-07-29 2008-04-16 에비자 테크놀로지, 인크. 반도체 처리용 증착 장치
WO2008069259A1 (en) * 2006-12-05 2008-06-12 Semiconductor Energy Laboratory Co., Ltd. Film formation apparatus, film formation method, manufacturing apparatus, and method for manufacturing light-emitting device

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6079928A (en) * 1997-08-08 2000-06-27 Brooks Automation, Inc. Dual plate gas assisted heater module
EP1182695A2 (en) * 2000-08-22 2002-02-27 Asm Japan K.K. Semiconductor processing module and apparatus
US20060213439A1 (en) * 2005-03-25 2006-09-28 Tadahiro Ishizaka Plasma enhanced atomic layer deposition system having reduced contamination

Also Published As

Publication number Publication date
WO2009117839A1 (en) 2009-10-01
TWI520251B (zh) 2016-02-01
US20140349011A1 (en) 2014-11-27
KR20160072273A (ko) 2016-06-22
EP2260509A1 (en) 2010-12-15
TW200949982A (en) 2009-12-01
KR20100126545A (ko) 2010-12-01
US20090252892A1 (en) 2009-10-08
JP2011518428A (ja) 2011-06-23
CN102047407A (zh) 2011-05-04
KR101913017B1 (ko) 2018-10-29

Similar Documents

Publication Publication Date Title
CN102047407B (zh) 加工腔
US11205585B2 (en) Substrate processing apparatus and method of operating the same
JP4912253B2 (ja) 基板搬送装置、基板処理装置及び基板搬送方法
JP6510423B2 (ja) 基板搬送部
US11670535B2 (en) Carrier plate for use in plasma processing systems
KR101396469B1 (ko) 공작물 전달 시스템 및 방법
KR20070098674A (ko) 기판 이송 장치, 기판 처리 장치 및 기판 처리 방법
EP2781630A1 (en) Electrochemical deposition chamber
US20020085899A1 (en) Transfer chamber with integral loadlock and staging station
KR101317995B1 (ko) 물품 처리 방법 및 장치
US20190259647A1 (en) Deposition ring for processing reduced size substrates
US20190259635A1 (en) Process kit for processing reduced sized substrates
US20220148857A1 (en) Detection device, processing system, and transfer method
KR20230160732A (ko) 이상 검지 방법 및 반송 장치
KR100688951B1 (ko) 플라즈마 처리 시스템 및 방법
JP2010150027A (ja) 吸着ハンド及びこれを用いたウェーハ搬送装置
CN115763327A (zh) 负载锁定腔、半导体加工设备、传输方法及存储介质
US20030234141A1 (en) Vibration-dampening base for ball-type lead screw of load port transfer system
JP2001093956A (ja) 試料搬送装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: OERLIKON ADVANCED TECHNOLOGIES AG

Free format text: FORMER OWNER: OC OERLIKON BALZERS AG

Effective date: 20140724

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20140724

Address after: Liechtenstein Barr Che J

Patentee after: OC OERLIKON BALZERS AG

Address before: Liechtenstein Barr Che J

Patentee before: OC Oerlikon Balzers AG

CP01 Change in the name or title of a patent holder

Address after: Liechtenstein Barr Che J

Patentee after: AIFA advanced technology Co.,Ltd.

Address before: Liechtenstein Barr Che J

Patentee before: OC OERLIKON BALZERS AG

CP01 Change in the name or title of a patent holder
TR01 Transfer of patent right

Effective date of registration: 20200302

Address after: Swiss Te Lui Bach

Patentee after: EVATEC AG

Address before: Liechtenstein Barr Che J

Patentee before: AIFA advanced technology Co.,Ltd.

TR01 Transfer of patent right