JP2011518428A - 処理チャンバ - Google Patents

処理チャンバ Download PDF

Info

Publication number
JP2011518428A
JP2011518428A JP2011501077A JP2011501077A JP2011518428A JP 2011518428 A JP2011518428 A JP 2011518428A JP 2011501077 A JP2011501077 A JP 2011501077A JP 2011501077 A JP2011501077 A JP 2011501077A JP 2011518428 A JP2011518428 A JP 2011518428A
Authority
JP
Japan
Prior art keywords
chamber
substrate
loading
process apparatus
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2011501077A
Other languages
English (en)
Inventor
バイヒャート,ユルゲン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
OC Oerlikon Balzers AG
Original Assignee
OC Oerlikon Balzers AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by OC Oerlikon Balzers AG filed Critical OC Oerlikon Balzers AG
Publication of JP2011518428A publication Critical patent/JP2011518428A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67751Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber vertical transfer of a single workpiece
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Physical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

基板を装填するための装填チャンバ、基板を処理するためのプロセスチャンバ、プロセスチャンバを装填チャンバから分離する封止面、および基板を垂直に装填チャンバからプロセスチャンバに移動させるための手段を含む、基板を処理するためのプロセス装置、ならびに、基板を処理するための方法を提供する。装填チャンバはプロセス装置の下部および上部の一方に位置し、プロセスチャンバはプロセス装置の下部および上部の他方に位置する。この発明のプロセス装置および方法は、基板を装填するための移動数を低減することにより容易なメンテナンスおよびコストの低減を達成する。

Description

発明の背景
この発明は一般に半導体ウェハなどの基板の処理ためのプロセスチャンバおよび基板の処理方法に関する。特に、この発明は、基板を装填するための移動数を低減することにより容易なメンテナンスおよびコストの低減を達成する基板の処理のためのプロセスチャンバおよび基板の処理方法に関する。
ある先行技術半導体ウェハ処理システム(「クラスタツール」)は、中央ハンドラと、移送チャンバと、いくつかのプロセスチャンバとを有する。中央ハンドラは移送チャンバの内側にあり、プロセスチャンバは移送チャンバに取付けられる。プロセスチャンバは分離ゲート弁によって中央ハンドラから分離される。
通常動作中、中央ハンドラは基板を保持し、横方向に、プロセスチャンバのうちの1つより上で移動させる。次いで、中央ハンドラは、基板をピンの組の上に置くことによって、垂直に基板を下げて、指定されるプロセスチャンバに入れる。したがって、横方向の移動および垂直方向の移動の、少なくとも2つの移動が、基板をプロセスチャンバ内に移動させるために必要とされる。基板を装填するためのコストを低減するためには、移動数を1つに低減することが必要である。移動数の低減は、動作中における粒子の発生の低減も助けることになる。
この発明は、上記の問題を、基板を装填するための移動数を低減することによって容易なメンテナンスおよびコストの低減を達成する基板の処理のための新規なプロセスチャンバおよび基板の処理方法を開発することにより、解決する。
発明の概要
この発明は、1つの局面において、基板の処理のためのプロセス装置であって、基板を装填するための装填チャンバ、基板を処理するためのプロセスチャンバ、プロセスチャンバを装填チャンバから分離する封止面、および基板を垂直に移動させるための手段を含む。装填チャンバはプロセス装置の下部および上部の一方に位置し、プロセスチャンバはプロセス装置の下部および上部の他方に位置する。基板を垂直に移動させるための手段は、基板を装填チャンバからプロセスチャンバに移動させる。
さらなる局面において、装填チャンバはプロセス装置の下部に位置し、プロセスチャンバはプロセス装置の上部に位置する。
さらなる局面において、装填チャンバはプロセス装置の上部に位置し、プロセスチャンバはプロセス装置の下部に位置する。
さらなる局面において、プロセス装置は、基板を装填および装填解除するための第1および第2の開口部をさらに含み、第1の開口部は第2の開口部に対向する。
さらなる局面において、プロセス装置は円筒形であり、対称のインターフェイスを有する。
さらなる局面において、プロセスチャンバは基板に対してPVD処理を行なう。
さらなる局面によれば、この発明は、さらに、プロセス装置において基板を処理するための方法を提供する。プロセス装置は、基板を装填するための装填チャンバ、基板を処理するためのプロセスチャンバ、プロセスチャンバを装填チャンバから分離する封止面、および基板を垂直に移動させるための手段を含む。装填チャンバはプロセス装置の下部および上部の一方に位置し、プロセスチャンバはプロセス装置の下部および上部の他方に位置する。この方法は、基板を装填チャンバに装填するステップと、基板を垂直移動手段によって封止面を介して垂直に装填チャンバからプロセスチャンバに移動させるステップと、基板をプロセスチャンバにおいて処理するステップと、基板をプロセスチャンバから装填解除するステップとを含む。
さらなる局面において、装填チャンバはプロセス装置の下部に位置し、プロセスチャンバはプロセス装置の上部に位置する。
さらなる局面において、装填チャンバはプロセス装置の上部に位置し、プロセスチャンバはプロセス装置の下部に位置する。
さらなる局面において、プロセス装置は、基板を装填および装填解除するための第1および第2の開口部を有し、第1の開口部は第2の開口部に対向する。
さらなる局面において、プロセス装置は円筒形であり、対称のインターフェイスを有する。
さらなる局面において、処理ステップは、基板に対してPVD処理を行なうステップを含む。
この発明の上記および他の局面は、添付の図面を参照して以下の記載を読めば当業者には明らかとなる。
この発明に従うプロセス装置の上面図である。 この発明に従うプロセス装置の一実施例の断面図である。 この発明に従うプロセス装置の別の実施例の断面図である。
この発明の実施例の詳細な説明
この発明の1つ以上の局面を組込む実施例の例を記載し図面に示す。これらの例はこの発明の限定となるようには意図されない。たとえば、この発明の1つ以上の局面は、他の実施例、およびさらに他の種類の装置においても利用され得る。さらに、ある用語は、ここにおいては便宜上においてのみ用いられ、この発明に対する限定としてとられるべきではない。さらに、図面において、同じ参照番号は同じ要素を指定するために用いられる。
図1を参照して、この発明に従う基板の処理のためのプロセス装置1が示される。図1のプロセス装置1は円筒形である。プロセス装置1は2つの対向する開口部14、15を有する。ハンドラ16が1つの開口部14に取付けられ、ポンプ17が別の開口部15に取付けられる。
図2はこの発明に従う基板の処理のためのプロセス装置の第1の実施例を示す。図2に示されるように、プロセス装置1は、装填チャンバ10、プロセスチャンバ11、プロセスチャンバ11を装填チャンバ10から分離する封止面12、および基板を垂直に装填チャンバ10からプロセスチャンバ11に移動させるための手段13を有する。プロセス装置1は好ましくは円筒形であり、対称のインターフェイスを有する。プロセス装置1は、単一のアルミニウム片から切出されてもよい。装填チャンバ10はプロセス装置1の下部に位置する。他方、プロセスチャンバ11はプロセス装置1の上部に位置する。図2に示されるように、プロセスチャンバ11はプロセス位置において封止面12により閉じられる。
プロセス装置1は2つの側部開口部14、15を有する。1つの側部開口部14は他の側部開口部15と対向する。ハンドラ16はプロセス装置1の下右側に位置し、側部開口部14に取付けられる。ポンプ17はプロセス装置1の上左側に位置し、側部開口部15に取付けられる。ポンプ17はゲート弁(図示せず)を介してプロセスチャンバ11に取付けられてもよい。ゲート弁が特に必要とされるのは、ポンプ17が低温ポンプである場合である。
垂直移動手段13は、チャック131、チャックフランジ132、チャック駆動システム133、真空封止ベロー134、クランプリング135、リフトリング136、および少なくとも3つのリフトリングピン137を有する。チャックフランジ132はチャック131を装填位置から処理位置に担持する。チャック駆動システム133はチャック131を駆動する。リフトリング136は、収縮を可能にするようばねで負荷をかけられてもよく、または他の手段で駆動されてもよい。リフトリング136、ピン137およびチャック131は支持体から絶縁されてもよく、なぜならば電力がチャック131にあたえられてもよいからである。
プロセスチャンバ11は、ソースフランジ111、ガスリング112およびアノードシールド113を有する。スパッタソース(図示せず)が、ソース絶縁体によって絶縁されるソースフランジ111に取付けられる。スパッタソースは、ガスを、プロセスチャンバ11に、ガスリング112を介して供給する。アノードシールド113は、カウンタ電極を基板(たとえばウェハなど)にあたえ、プロセスチャンバ111の内面がコーティングされないようにする。メンテナンスの理由から、アノードシールド113は好ましくは単一片シールドである。クランプリング135は、ウェハの縁部に対する圧力を回避するため、アノードシールド113に接触していない。そうするために、クランプリング135の重量は、リフトリング136のばねの重量とバランスをとられる。
以下は、この発明のプロセス装置1におけるウェハ処理動作を説明する。
チャック131が装填位置にある状態で、ウェハが、リフトリング136に、ハンドラ16のハンドラポートを介して装填される。クランプリング135は、プロセス装置1の、機械加工された縁部上に座す。リフトリング136は少なくとも3つのピン137によって持上げられ、ウェハは、リフトリング136とクランプリング137との間を移動し、ハンドリングシステムの垂直方向の移動によって、リフトリング136上に置かれ得る。次いで、ハンドリングアームが後退した後、チャック131を装填位置からに処理位置に上げる。リフトリングピン137をそれらのシース内に移動させる。次いで、クランプリング135をその静止位置から上げて、ウェハをプロセスチャンバ11内の適所に保持する。
ここで処理ガス(たとえばアルゴン)がスパッタソースからガスリング112を介してプロセスチャンバ11に導入される。ガスリング112はアノードシールド113によってコーティングされないよう保護される。処理ガスをウェハ上にあたえる。充分な量の処理ガスがウェハ上にあたえられた後、処理ガスの供給を停止する。
メンテナンスのため、プロセスチャンバ11は処理位置に孔(vent)を設けられる。装填チャンバ10は孔を設けられず、なぜならば、封止面12のため、装填チャンバ10は孔を設けられないからである。装填チャンバ10はここでハンドラ16を介してポンピングされる。ターゲット(ウェハ)を持上げるかまたは回転させて、すべてのパーツに対するアクセスが維持されるようにする。ターゲット、アノードシールド113、およびクランプリング135は、通常、交換される。壊れたウェハの欠片もプロセスチャンバ11から除去されてもよい。
次いで、ウェハをプロセスチャンバ11から装填チャンバ10に装填解除し、ハンドラ16を介して排出する。
図3はこの発明に従う基板の処理のためのプロセス装置の第2の実施例を示す。図3に示されるように、プロセス装置2は、装填チャンバ20、プロセスチャンバ21、プロセスチャンバ21を装填チャンバ20から分離する封止面22、および基板を垂直に装填チャンバ20からプロセスチャンバ21に移動させるための手段23を有する。プロセス装置2も好ましくは円筒形であり、対称のインターフェイスを有し、単一のアルミニウム片から切出されてもよい。プロセス装置の第1の実施例とは異なり、装填チャンバ20はプロセス装置2の上部に位置し、プロセスチャンバ21はプロセス装置2の下部に位置する。他のパーツは第1の実施例と同じであるが、ただし、ハンドラおよびポンプは交換され、頂部装填チャンバ20はハンドラとチャックフランジとに接続され、スパッタソースは底部プロセスチャンバ21に取付けられる。図3に示されるように、プロセスチャンバ21は処理位置において封止面22によって閉じられる。
プロセス装置2は2つの側部開口部24、25を有する。1つの側部開口部24は他の側部開口部25と対向する。ハンドラ26はプロセス装置2の上右側に位置し、側部開口部24に取付けられる。ポンプ27はプロセス装置2の下左側に位置し、側部開口部25に取付けられる。
垂直移動手段23は、チャック231、チャックフランジ232、チャック駆動システム233、真空封止ベロー234、クランプリング235、ウェハ支持リング236、および少なくとも3つの、ばねで負荷をかけられるピン237を有する。ウェハ支持リング236は、あたえられる圧力によってウェハを破壊しないよう、ばねにより負荷をかけられる。ウェハ支持リング236は、さらに、絶縁され、チャック231に対し電力をあたえることを可能にする。
プロセスチャンバ21は、ソースフランジ211、ガスリング212およびアノードシールド213を有する。スパッタガスソース(図示せず)が、ソース絶縁体によって絶縁されるソースフランジ211に取付けられる。スパッタガスソースはガスをプロセスチャンバ21に供給する。
以下は、この発明のプロセス装置1におけるウェハ処理動作を説明する。
チャック231が装填位置にある状態で、ウェハが、ウェハ支持リング236に、ハンドラ26のハンドラポートを介して装填され、ハンドリングシステムの垂直方向の移動によって、ウェハ支持リング236上に置かれる。ウェハ支持リング236は、少なくとも3つの、ばねにより負荷をかけられるピン237によって、押えられる。次いで、ハンドリングアームを後退させた後、チャック231を装填位置から処理位置に下げる。チャック231を下げることによって、ウェハおよびウェハ支持リング236はクランプリング235と接触する。ばねにより負荷をかけられるピン237を、これも接地される支持体から絶縁される、それらのシースにおいて移動させる。
ここで処理ガス(たとえばアルゴン)がスパッタソースからプロセスチャンバ21に導入される。ガスリング212はアノードシールド213によってコーティングされないよう保護される。処理ガスをウェハ上にあたえる。充分な量の処理ガスがウェハ上にあたえられた後、処理ガスの供給を停止する。
メンテナンスのため、プロセスチャンバ21は処理位置に孔を設けられる。封止面22のため、装填チャンバ20は孔を設けられない。装填チャンバ20はここでハンドラ26を介してポンピングされる。ターゲット(ウェハ)、アノードシールド213およびばねにより負荷をかけられるクランプリング235を底部から除去し得る。
この実施例では、スパッタソースはプロセスチャンバ21の底部に取付けられる。このボトムアップスパッタ選択肢は、裏側メタライゼーションに対して有利であり、なぜならば、ウェハの反転をもはや必要としないからである。粒子数の低減も期待される。
代替的に、スパッタソースを置く代わりに、エッチングステーション、脱ガスステーション、冷却ステーション、または計測ステーションを、これらの基本的な処理モジュールのどちらの側にも取付けてもよい。放射ヒータなど、前側の適用に対して当初設計されたステーションを、裏側およびその逆に取付けてもよい。
この発明をさまざまな具体的な実施例に関して記載した。しかしながら、当業者には、この発明は特許請求の精神および範囲内の修正物とともに実施され得ることが認識される。

Claims (12)

  1. 基板の処理のためのプロセス装置であって、
    前記基板を装填するための装填チャンバ、
    前記基板を処理するためのプロセスチャンバ、
    前記プロセスチャンバを前記装填チャンバから分離する封止面、および
    前記基板を垂直に前記装填チャンバから前記プロセスチャンバに移動させるための手段を含み、前記装填チャンバは前記プロセス装置の下部および上部の一方に位置し、前記プロセスチャンバは前記プロセス装置の下部および上部の他方に位置する、プロセス装置。
  2. 前記装填チャンバは前記プロセス装置の下部に位置し、前記プロセスチャンバは前記プロセス装置の上部に位置する、請求項1に記載のプロセス装置。
  3. 前記装填チャンバは前記プロセス装置の上部に位置し、前記プロセスチャンバは前記プロセス装置の下部に位置する、請求項1に記載のプロセス装置。
  4. 前記基板を装填および装填解除するための第1および第2の開口部をさらに含み、前記第1の開口部は前記第2の開口部に対向する、請求項1に記載のプロセス装置。
  5. 前記プロセス装置は円筒形であり、対称のインターフェイスを有する、請求項1に記載のプロセス装置。
  6. 前記プロセスチャンバは前記基板に対してPVD処理を行なう、請求項1に記載のプロセス装置。
  7. プロセス装置において基板を処理するための方法であって、前記プロセス装置は、前記基板を装填するための装填チャンバ、前記基板を処理するためのプロセスチャンバ、前記プロセスチャンバを前記装填チャンバから分離する封止面、および前記基板を垂直に前記装填チャンバから前記プロセスチャンバに移動させるための手段を含み、前記装填チャンバは前記プロセス装置の下部および上部の一方に位置し、前記プロセスチャンバは前記プロセス装置の下部および上部の他方に位置し、前記方法は、
    前記基板を前記装填チャンバに装填するステップと、
    前記基板を前記垂直移動手段によって前記封止面を介して垂直に前記装填チャンバから前記プロセスチャンバに移動させるステップと、
    前記基板を前記プロセスチャンバにおいて処理するステップと、
    前記基板を前記プロセスチャンバから装填解除するステップとを含む、方法。
  8. 前記装填チャンバは前記プロセス装置の下部に位置し、前記プロセスチャンバは前記プロセス装置の上部に位置する、請求項7に記載の方法。
  9. 前記装填チャンバは前記プロセス装置の上部に位置し、前記プロセスチャンバは前記プロセス装置の下部に位置する、請求項7に記載の方法。
  10. 前記プロセス装置は、前記基板を装填および装填解除するための第1および第2の開口部を有し、前記第1の開口部は前記第2の開口部に対向する、請求項7に記載の方法。
  11. 前記プロセス装置は円筒形であり、対称のインターフェイスを有する、請求項7に記載の方法。
  12. 前記処理ステップは、前記基板に対してPVD処理を行なうステップを含む、請求項7に記載の方法。
JP2011501077A 2008-03-25 2009-03-24 処理チャンバ Withdrawn JP2011518428A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US3918508P 2008-03-25 2008-03-25
US61/039,185 2008-03-25
PCT/CH2009/000102 WO2009117839A1 (en) 2008-03-25 2009-03-24 Processing chamber

Publications (1)

Publication Number Publication Date
JP2011518428A true JP2011518428A (ja) 2011-06-23

Family

ID=40651451

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011501077A Withdrawn JP2011518428A (ja) 2008-03-25 2009-03-24 処理チャンバ

Country Status (7)

Country Link
US (2) US20090252892A1 (ja)
EP (1) EP2260509A1 (ja)
JP (1) JP2011518428A (ja)
KR (2) KR20100126545A (ja)
CN (1) CN102047407B (ja)
TW (1) TWI520251B (ja)
WO (1) WO2009117839A1 (ja)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104862660B (zh) * 2014-02-24 2017-10-13 北京北方华创微电子装备有限公司 承载装置及等离子体加工设备
JP6473974B2 (ja) * 2016-09-30 2019-02-27 パナソニックIpマネジメント株式会社 プラズマ処理装置およびプラズマ処理方法
JP7209247B2 (ja) * 2018-09-25 2023-01-20 パナソニックIpマネジメント株式会社 素子チップの製造方法
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
US20210375650A1 (en) * 2020-06-01 2021-12-02 Applied Materials, Inc. High temperature and vacuum isolation processing mini-environments
US11817331B2 (en) 2020-07-27 2023-11-14 Applied Materials, Inc. Substrate holder replacement with protective disk during pasting process
US11749542B2 (en) 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
US11600507B2 (en) 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5228501A (en) * 1986-12-19 1993-07-20 Applied Materials, Inc. Physical vapor deposition clamping mechanism and heater/cooler
EP0606751B1 (en) * 1993-01-13 2002-03-06 Applied Materials, Inc. Method for depositing polysilicon films having improved uniformity and apparatus therefor
US5810931A (en) * 1996-07-30 1998-09-22 Applied Materials, Inc. High aspect ratio clamp ring
US6079928A (en) * 1997-08-08 2000-06-27 Brooks Automation, Inc. Dual plate gas assisted heater module
JP3723712B2 (ja) * 2000-02-10 2005-12-07 株式会社日立国際電気 基板処理装置及び基板処理方法
JP2001313329A (ja) * 2000-04-28 2001-11-09 Applied Materials Inc 半導体製造装置におけるウェハ支持装置
JP4753224B2 (ja) * 2000-08-22 2011-08-24 日本エー・エス・エム株式会社 ガスラインシステム
JP2003197716A (ja) * 2001-12-21 2003-07-11 Applied Materials Inc 基板支持装置及び半導体製造装置
US6776849B2 (en) * 2002-03-15 2004-08-17 Asm America, Inc. Wafer holder with peripheral lift ring
US7422636B2 (en) * 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
KR20080033406A (ko) * 2005-07-29 2008-04-16 에비자 테크놀로지, 인크. 반도체 처리용 증착 장치
WO2008069259A1 (en) * 2006-12-05 2008-06-12 Semiconductor Energy Laboratory Co., Ltd. Film formation apparatus, film formation method, manufacturing apparatus, and method for manufacturing light-emitting device

Also Published As

Publication number Publication date
EP2260509A1 (en) 2010-12-15
TW200949982A (en) 2009-12-01
TWI520251B (zh) 2016-02-01
CN102047407B (zh) 2012-10-10
US20090252892A1 (en) 2009-10-08
US20140349011A1 (en) 2014-11-27
KR20100126545A (ko) 2010-12-01
WO2009117839A1 (en) 2009-10-01
KR20160072273A (ko) 2016-06-22
CN102047407A (zh) 2011-05-04
KR101913017B1 (ko) 2018-10-29

Similar Documents

Publication Publication Date Title
JP2011518428A (ja) 処理チャンバ
US11205585B2 (en) Substrate processing apparatus and method of operating the same
JP4912253B2 (ja) 基板搬送装置、基板処理装置及び基板搬送方法
TWI823166B (zh) 電子設備製造裝置、系統及方法中的裝載端口操作
EP1048059B1 (en) Two-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US11670535B2 (en) Carrier plate for use in plasma processing systems
US10312116B2 (en) Methods and apparatus for rapidly cooling a substrate
KR20170017538A (ko) 기판 처리 장치
JP2008532287A (ja) 副チャンバアセンブリを備えるエッチング用チャンバ
KR20180025979A (ko) 기판 반송실, 기판 처리 시스템, 및 기판 반송실 내의 가스 치환 방법
KR20130018540A (ko) 기판 수수 방법
TWI674227B (zh) 多卡匣運送箱
US9613837B2 (en) Substrate processing apparatus and maintenance method thereof
JP2019520701A (ja) 12面形の移送チャンバ、及び、かかる移送チャンバを有する処理システム
US6860711B2 (en) Semiconductor-manufacturing device having buffer mechanism and method for buffering semiconductor wafers
US20170117169A1 (en) Substrate cooling method, substrate transfer method, and load-lock mechanism
JP7402658B2 (ja) 基板収容ユニット及び基板搬送装置における真空搬送ユニットのメンテナンス方法
JP2000323549A (ja) 真空処理装置
KR101725894B1 (ko) 로드락 챔버
KR20080001318A (ko) 반도체공정장치

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20120605