CN104250728B - 具有气封的化学沉积腔室 - Google Patents

具有气封的化学沉积腔室 Download PDF

Info

Publication number
CN104250728B
CN104250728B CN201410307452.4A CN201410307452A CN104250728B CN 104250728 B CN104250728 B CN 104250728B CN 201410307452 A CN201410307452 A CN 201410307452A CN 104250728 B CN104250728 B CN 104250728B
Authority
CN
China
Prior art keywords
cavity
gas
inert
module
narrow gap
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201410307452.4A
Other languages
English (en)
Other versions
CN104250728A (zh
Inventor
拉梅什·钱德拉赛卡兰
桑格伦特·桑普伦格
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN104250728A publication Critical patent/CN104250728A/zh
Application granted granted Critical
Publication of CN104250728B publication Critical patent/CN104250728B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45519Inert gas curtains
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明公开了一种用于密封化学沉积装置中的处理区的系统,所述系统包括:化学隔离腔室,所述化学隔离腔室具有在所述化学隔离腔室内形成的沉积腔室;具有面板的喷头模块,所述喷头模块包括多个将反应器化学物质输送到用于对半导体衬底进行处理的空腔中的入口以及将反应器化学物质和惰性气体从所述空腔中去除的排出口,以及被配置成输送惰性气体的外部充气室;基座模块,所述基座模块被配置成支撑衬底并且垂直移动以封闭所述空腔而在所述基座模块与围绕所述面板的外部部分的台阶之间存在狭窄间隙;以及惰性密封气体进料,所述惰性密封气体进料被配置成将所述惰性密封气体送入到所述外部充气室中,并且其中所述惰性密封气体至少部分地沿径向向内流过所述狭窄间隙以形成气封。

Description

具有气封的化学沉积腔室
技术领域
本发明涉及用于进行化学沉积和用于进行等离子体增强化学沉积的装置及方法。
背景技术
可以使用等离子体处理装置通过包括以下各项在内的技术对半导体衬底进行处理:蚀刻、物理气相沉积(PVD)、化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)、原子层沉积(ALD)、等离子体增强原子层沉积(PEALD)、脉冲沉积层(PDL)、等离子体增强脉冲沉积层(PEPDL)处理以及抗蚀剂去除。举例来说,一种类型的用于等离子体处理的等离子体处理装置包括容纳顶部电极和底部电极的反应腔室或沉积腔室。在这些电极之间施加射频(RF)功率以将工艺气体激发成用于在反应腔室中对半导体衬底进行处理的等离子体。
发明内容
公开了一种用于密封化学沉积装置中的处理区的系统,所述系统包括:化学隔离腔室,所述化学隔离腔室具有在所述化学隔离腔室内形成的沉积腔室;具有面板和背衬板的喷头模块,所述喷头模块包括多个将反应器化学物质输送到用于对半导体衬底进行处理的空腔中的入口以及将反应器化学物质和惰性气体从所述空腔中去除的排出口,以及被配置成输送惰性气体的外部充气室;基座模块,所述基座模块被配置成支撑衬底并且垂直移动以封闭所述空腔而在所述基座模块与围绕所述面板的外部部分的台阶之间存在狭窄间隙;以及惰性密封气体进料,所述惰性密封气体进料被配置成将所述惰性密封气体送入到所述外部充气室中,并且其中所述惰性密封气体至少部分地沿径向向内流过所述狭窄间隙以形成气封。
公开了一种用于防止反应器化学物质从用于对半导体衬底进行处理的空腔中漏出的方法,所述方法包括:在化学沉积装置的空腔中对衬底进行处理,所述空腔在喷头模块与被配置成接收所述衬底的基座模块之间形成,其中所述喷头模块包括多个将反应器化学物质输送到所述空腔中的入口以及将反应器化学物质和惰性气体从所述空腔中去除的排出口;以及将惰性密封气体进料送入到外部充气室中,所述外部充气室被配置成将所述惰性密封气体输送到所述喷头模块的面板的外周边周围并且输送到所述基座模块与围绕所述面板的外部部分的台阶之间的狭窄间隙中,所述狭窄间隙围绕所述空腔的外缘,并且其中所述惰性密封气体至少部分地沿径向向内流过所述狭窄间隙以形成气封。
根据一个示例性实施方式,所述基于气体的密封系统被配置成防止反应器化学物质在不同的ALD工序期间漏出。举例来说,ALD工序可以在反应器压力和流速方面相差多倍或甚至多个数量级。因此,期望在ALD工序期间使用密封气体作为局限反应器化学物质和隔离反应器或空腔的机构来对晶片或反应器空腔实现气封。
附图说明
图1A是示出了根据一个示例性实施方式的具有基座的化学沉积装置的示意图。
图1B是示出了根据一个示例性实施方式没有基座的化学沉积装置的示意图。
图2是根据一个示例性实施方式的基于气体的密封系统的剖视图。
图3是根据一个示例性实施方式的基于气体的密封系统的剖视图。
图4是根据一个示例性实施方式的基于气体的密封系统的剖视图。
图5是根据一个示例性实施方式的基于气体的密封系统的剖视图。
图6是根据一个示例性实施方式的基于气体的密封系统的剖视图。
图7是根据一个示例性实施方式的基于气体的密封系统的示意图。
图8是示出了根据一个示例性实施方式的基于气体的密封系统的压力和阀门角度与时间的关系曲线的图表。
具体实施方式
在以下详细的公开内容中,阐述了示例性实施方式以便对本文公开的装置和方法有所了解。然而,如对于本领域技术人员来说显而易见的是,这些示例性实施方式可以在没有这些具体细节的情况下或通过使用替代性元件或工艺来加以实施。在其它情况下,未对熟知的工艺、程序和/或部件进行详细描述以免不必要地使本文公开的实施方式的方面含糊不清。
根据一个示例性实施方式,本文公开的装置和相关方法可以用于化学沉积,诸如等离子体增强化学沉积。这些装置和方法可以与基于半导体制造的电介质沉积工艺结合使用,所述电介质沉积工艺需要将多步式沉积工艺中的自限性沉积步骤分开(例如原子层沉积(ALD)、等离子体增强原子层沉积(PEALD)、脉冲沉积层(PDL)或等离子体增强脉冲沉积层(PEPDL)处理),然而它们不局限于此。
如所指示,本发明的实施方式提供了用于进行诸如等离子体增强化学气相沉积之类的化学沉积的装置和相关方法。这些装置和方法特别适于与基于半导体制造的电介质沉积工艺结合使用,所述电介质沉积工艺需要将多步式沉积工艺中的自限性沉积步骤分开(例如原子层沉积(ALD)、等离子体增强原子层沉积(PEALD)、等离子体增强化学气相沉积(PECVD)、脉冲沉积层(PDL)或等离子体增强脉冲沉积层(PEPDL)处理),然而它们不限于此。
上述工艺可能有一些与接受沉积材料之晶片或衬底上的温度不均匀相关的缺点。举例来说,当与周围腔室部件进行热接触的被动加热的喷头使热量流失到这些周围部件时,在衬底上可能产生不均匀的温度。因此,优选地将形成处理区上壁的喷头与周围部件热隔离,以便可以形成等温的处理区,从而在衬底上形成均匀的温度。衬底上均匀的温度有助于对衬底进行均匀的处理,其中衬底的温度为沉积处理提供活化能并且因此是推进沉积反应的控制手段。
此外,一般存在两种主要类型的沉积喷头,即枝形吊灯(chandelier)型和嵌入式安装型。枝形吊灯型喷头具有一端连接至腔室顶部并且另一端连接至面板的杆,类似于枝形吊灯。该杆的一部分可以从腔室顶部伸出以能够连接气体管线与RF电源。嵌入式安装型喷头被整合到腔室顶部中并且不具有杆。本发明的实施方式涉及嵌入式安装型喷头,其中该嵌入式安装型喷头缩减了腔室容积,它在处理期间必须通过真空源来抽真空。
图1A和1B是示出了根据本文公开的实施方式的化学沉积装置100的示意图。如图1A和1B中所示,该化学装置包括化学隔离腔室或外壳110、沉积腔室120、喷头模块130以及移动基座模块140,该移动基座模块140可以相对于喷头模块130垂直地升高或降低以使基座模块140的上表面上的衬底(或晶片)190的位置升高或降低。喷头模块130也可以垂直地升高和降低。反应原料气体(或工艺气体)192(图3)通过喷头模块130的中央充气室202(图6)经由气体管线112引入到子腔室(或空腔)150中。气体管线112的每一条可以具有相应的储集槽(未示出),可以使用隔离阀116将所述相应的储集槽与装置100隔离。根据一个示例性实施方式,装置100可以根据使用的反应气体的数目改进为具有一条或多条具有隔离阀和储集槽的气体管线112。而且,反应气体输送管线112可以在多个化学沉积装置或多工位系统之间共用。
根据一个示例性实施方式,可以经由一个或多个真空管线160将腔室120抽真空,所述一个或多个真空管线160连接至真空源(未示出)。举例来说,真空源可以是真空泵(未示出)。在多工位反应器中,例如具有多个进行相同的沉积处理的工位或装置100的那些反应器中,来自另一个工位的真空管线160可以与该真空管线160共用共同的前级管线。另外,装置100可以经过改动而使得每个工位或装置100具有一个或多个真空管线160。
根据一个示例性实施方式,多个抽真空管道170可以被配置成与喷头模块130的面板136内的一个或多个排出口174处于流体连通。排出口174可以被配置成在沉积处理之间将工艺气体或反应器化学物质192从空腔150中去除。多个抽真空管道170还与一个或多个真空管线160处于流体连通。抽真空管道170可以沿圆周围绕衬底190间隔排列并且可以均匀间隔。在有些情况下,多个管道170的间距可以经过设计以补偿真空管线160的位置。由于真空管线160一般少于多个管道170,所以通过最接近真空管线160的管道170的流量可能高于更远离的管道170。为了确保平稳流动模式,在管道170更远离真空管线160的情况下,可以将这些管道170更紧密地间隔排列在一起。包括多个管道170(包括可变流量导管)的化学沉积装置100的一个示例性实施方式可以见于共同转让的美国专利7,993,457中,该美国专利特此以引用的方式整体并入。
本文公开的实施方式优选地以等离子体增强化学沉积装置(例如PECVD装置、PEALD装置或PEPDL装置)的形式实施。这种装置可以采用不同的形式,其中该装置可以包括一个或多个腔室或“反应器”110,所述腔室或“反应器”110可以包括多个如上文所述容纳一个或多个衬底190并且适用于进行衬底处理的工位或沉积腔室120。每个腔室120可以容纳一个或多个用于处理的衬底。所述一个或多个腔室120将衬底190维持在一个或多个限定的位置上(在该位置内移动或不移动,例如旋转、振动或其它摇动)。在一个实施方式中,可以在处理期间将经历沉积和处理的衬底190在装置100内从一个工位(例如沉积腔室120)转移到另一个工位中。在处于处理中同时,通过基座、晶片夹盘和/或其它晶片固定装置140将每个衬底190固定就位。对于将对衬底190进行加热的某些操作来说,装置140可以包括诸如加热板之类的加热器。
图2是根据一个示例性实施方式的具有基于气体的密封系统200的化学沉积装置100的剖视图。如图2中所示,化学沉积装置100包括衬底基座模块140,该衬底基座模块140被配置成从基座模块140的上表面142接收和/或卸载半导体衬底(或晶片)190。在较低的位置上,将衬底190放在基座模块140的表面上,然后将该基座模块140朝向喷头模块130垂直向上升高。根据一个示例性实施方式,基座模块140的上表面142与喷头模块130的下表面132之间形成空腔150的距离可以是约0.2英寸(5毫米)至约0.6英寸(15毫米)。基座模块140向上垂直移动以封闭空腔150会在基座与围绕喷头模块130的面板136(图1A和1B)的外部部分131的台阶135之间产生狭窄间隙240。
在一个示例性实施方式中,可以经由喷头模块130和/或基座模块140中的加热机构维持腔室120内的温度。举例来说,可以将衬底190置于等温环境中,在所述等温环境中,喷头模块130和基座模块140被配置成将衬底190维持在所需温度。在一个示例性实施方式中,可以将喷头模块130加热至高于250℃,和/或可以将基座模块140加热到50℃至550℃的范围内。沉积腔室或空腔150用来容纳由电容耦合等离子体类型系统产生的等离子体,该系统包括结合基座模块140一起运行的喷头模块130。
连接至匹配网络(未示出)的一种或多种RF源(未示出),诸如高频(HF)RF发生器和低频(LF)RF发生器连接至喷头模块130。由匹配网络供给的功率和频率足以由工艺气体/蒸气产生等离子体。在一个实施方式中,可以使用HF发生器和LF发生器这两者。在典型工艺中,一般在约2-100MHz的频率下操作HF发生器;在一个优选的实施方式中,在13.56MHz下操作HF发生器。一般在约50kHz至2MHz下操作LF发生器;在一个优选的实施方式中,在约350至600kHz下操作LF发生器。可以基于腔室容积、衬底规格以及其它因素来按比例缩放工艺参数。举例来说,LF发生器和HF发生器的功率输出通常与衬底的沉积表面积成正比。用于300mm晶片上的功率一般将是用于200mm晶片的功率的至少2.25倍。类似地,流速(诸如标准蒸气压)例如可以取决于沉积腔室120的空隙容积。
在沉积腔室120内,基座模块140支撑上面可以沉积材料的衬底190。基座模块140通常包括在沉积和/或等离子体处理反应的期间和之间将衬底固定和转移的夹盘、叉或起模顶杆。基座模块140可以包括静电夹盘、机械夹盘或可供工业和/或研究中使用的各种其它类型的夹盘。基座模块140可以与用于将衬底190加热至所需温度的加热块连接。根据所要沉积的材料,一般来说衬底190维持在约25℃至500℃的温度下。
根据一个示例性实施方式,基于气体的密封系统200可以被配置成帮助在工艺原料气体或吹扫气体流动期间控制和调节从空腔150中的流出。根据一个示例性实施方式,腔室150的抽真空或吹扫利用惰性气体或吹扫气体(未示出),经由喷头模块130将所述气体送入到空腔150中。根据一个示例性实施方式,一个或多个管道178可以经由环形抽真空通道176连接至真空管线160,所述环形抽真空通道176被配置成将密封气体182(图2)从基座模块140下方的区域中去除。
根据一个示例性实施方式,喷头模块130被配置成将反应器化学物质输送到空腔(或反应器腔室)150中。喷头模块130可以包括具有多个入口或通孔138的面板136和背衬板139。根据一个示例性实施方式,面板136可以是具有多个入口或通孔138和台阶135的单块板,所述台阶135围绕面板136的外周边137延伸。替代地,台阶135可以是独立的环133,所述独立的环133被固定至面板136的外部部分131的下表面上。举例来说,可以使用螺钉143将台阶135固定至面板136的外部部分131上。包括具有同心排出口174的面板136的用于分配工艺气体的喷头模块130的一个示例性实施方式可以见于共同转让的美国专利5,614,026中,该美国专利特此以引用的方式整体并入。举例来说,根据一个示例性实施方式,排出口174围绕多个入口138。
根据一个示例性实施方式,空腔150形成于喷头模块130的面板136的下表面132下方和衬底基座模块140的上表面142上方。喷头模块130的面板136内的多个同心抽真空管道或排出口174可以流体连接至多个管道170中的一个或多个,以在沉积处理之间将工艺气体或反应器化学物质192从空腔150中去除。
如图2中所示,装置100还包括惰性气体或密封气体182的来源180,经由一个或多个管道184将所述惰性气体或密封气体182送到基于气体的密封系统200的外部充气室204中。根据一个示例性实施方式,惰性气体或密封气体182可以是氮气或氩气。根据一个示例性实施方式,惰性气体来源180被配置成经由一个或多个管道184沿径向向内输送惰性密封气体182穿过狭窄间隙240,所述狭窄间隙240从空腔150向外延伸并且形成于围绕面板136的外周边137的台阶135的下表面134与基座模块140的上表面142之间。根据一个示例性实施方式,惰性密封气体182在狭窄间隙240内与空腔150中的工艺气体或反应器化学物质192(图3)连通以在处理期间形成气封。如图3和4中所示,惰性密封气体182仅部分进入狭窄间隙240中,在该狭窄间隙内的反应器化学物质192与惰性气体182之间形成气封。替代地,如图5和6中所示,惰性气体182可以向空腔150的外缘流动并且经由喷头模块130内的一个或多个排出口174从空腔150中去除。
根据一个示例性实施方式,环形抽真空通道176流体连接至多个抽真空管道170中的一个或多个。根据一个示例性实施方式,环形抽真空通道176具有一个或多个出口(未示出)并且被配置成将来自围绕衬底190周边的区域的惰性气体182以及沿径向向内穿过或流过狭窄间隙240的惰性气体182去除。抽真空通道176形成于衬底基座140的外部部分144内。环形抽真空通道176还可以被配置成将惰性气体182从衬底基座140下方去除。具有多个类似于176的管道的另外的实施方式可以帮助抽吸更多的惰性气体182并且使得更高流量的惰性气体能够进入178和基座下方的部分中。多个管道176还可以有助于在密封表面上形成更高的压降并且因此使得向晶片空腔中的扩散更低。
图3是根据一个示例性实施方式的具有基于气体的密封系统200的化学沉积装置100的沉积腔室120的一部分的剖视图。如图3中所示,外部充气室204可以形成于面板136的外部部分131中。外部充气室204可以包括一个或多个管道220,所述一个或多个管道220被配置成接收来自惰性气体来源或进料180的惰性气体182。惰性气体182经由一个或多个管道220流过外部充气室204到达下出口228。下出口228与狭窄间隙240处于流体连通。根据一个示例性实施方式,从空腔150的外缘152到面板136的外周边或外缘141与外部充气室204连通的距离是有限控制的一段距离。举例来说,从空腔150的外缘152到面板136的外缘141与外部充气室204连通的距离(或宽度)可以是约5.0mm至25.0mm。
根据一个示例性实施方式,形成外部充气室204的一个或多个管道220是外部环形凹槽222。外部环形凹槽222被配置成与空腔150外缘上的狭窄间隙240处于流体连通。外部环形凹槽222可以被配置成具有上环形凹槽224和下环形凹槽226,其中上环形凹槽224所具有的宽度大于下环形凹槽226的宽度。根据一个示例性实施方式,下出口228是下环形凹槽226下部上的环形出口,该环形出口与狭窄间隙240处于流体连通。
根据一个示例性实施方式,如图3中所示,经由在反应器或空腔150的边缘上间隔有限控制的距离的外部充气室204输送惰性气体182。流过外部充气室204的惰性气体182的流速可以达到使得佩克莱特数(Peclet number)大于约1.0,从而如图3中所示使化学物质192局限于空腔150内。举例来说,如果佩克莱特数大于1.0,那么惰性气体182与反应器化学物质192可以在狭窄间隙240的内部部分242内建立平衡,从而防止反应器化学物质192流到衬底基座140下方以及污染沉积腔室120中处于空腔150外部的部分。
根据一个示例性实施方式,如果该处理是恒压处理,则与来自基座140下方的压力组合的单一(或恒定)流量的惰性气体182可足够确保空腔150内的反应器化学物质192与沿径向向内流过狭窄间隙240的惰性气体180之间的密封。举例来说,根据一个示例性实施方式,基于气体的密封系统200可以与Si的ALD氧化物一起使用,所述Si的ALD氧化物一般可以以相对恒压模式操作。另外,基于气体的密封系统200可以充当通过改变惰性气体182的流速或基座模块140下方的压力和/或这两者的组合以跨越不同的处理和压力方案在沉积腔室120和空腔150内例如在ALD氮化物处理期间控制密封的装置。
根据一个示例性实施方式,如所公开的密封气体系统200单独地或与和排出管道174、176相关的压力组合可以有助于防止反应器化学物质192在处理期间从150中流出和/或扩散出。另外,系统200单独地或与排出管道174、176以及与排出管道174、176相关的压力组合还可以防止惰性气体182总体流动到空腔150中以及衬底190上。另外,惰性气体182进入狭窄间隙240中以隔离空腔150的流速可以基于由排出口174所产生的压力加以调节。根据一个示例性实施方式,例如,可以经由外部充气室204以约100立方厘米/分钟至约5.0标准升/分钟(slm)的速率输送惰性气体或密封气体182,所述惰性气体或密封气体182可以被用于隔离空腔150。
根据一个示例性实施方式,一个或多个空腔250可以位于基座模块140的外部部分中,该外部部分围绕空腔150。该一个或多个空腔250可以与狭窄间隙240和下出口228处于流体连通,这可以增加从空腔150到惰性气体或气体进料180的压降。一个或多个空腔250(或环形通道)还可以提供额外的控制机构以使得能够跨越不同的处理和压力方案,例如在ALD氮化物处理期间,实现密封。根据一个示例性实施方式,一个或多个空腔250可以围绕沉积腔室120等距排列。在一个示例性实施方式中,一个或多个空腔250是环形通道,该环形通道是同心的并且具有大于下出口228宽度的宽度。
图4是具有基于气体的密封系统200的化学沉积装置100的沉积腔室120的一部分的剖视图。如图4中所示,如果反应器化学物质192的流速大于或约等于惰性气体182的流速,那么反应器化学物质192的流动可能延伸到空腔150的外部,这可能不是所希望的。
如图4中所示,环形抽真空通道176流体连接至多个抽真空管道170中的一个或多个。环形抽真空通道176被配置成将惰性气体182从衬底基座140下方以及从围绕衬底190周边的区域中去除。根据一个示例性实施方式,抽真空通道176具有一个或多个出口(未示出)并且被配置成将来自围绕衬底190周边的区域的惰性气体182以及沿径向向内流过或扩散通过狭窄间隙240的惰性气体182去除。
图5是根据一个示例性实施方式具有基于气体的密封系统200的化学沉积装置100的沉积腔室120的一部分的剖视图。可以通过降低反应器化学物质192的流速和/或提高惰性气体182的流速来产生来自空腔150外部的惰性气体182流。根据一个示例性实施方式,惰性气体182从外部充气室204流入空腔150中并且可以经由喷头模块130内的一个或多个排出口174去除。
图6是根据一个示例性实施方式具有基于气体的密封系统300的化学沉积装置100的沉积腔室120的一部分的剖视图。根据一个示例性实施方式,喷头模块130的中央充气室202包括多个入口或通孔138,所述入口或通孔138将反应器化学物质192输送到空腔150中。空腔150还包括同心管道或排出口174,所述同心管道或排出口174将反应器化学物质192和惰性气体182从空腔150中去除。同心管道或排出口174可以与中间充气室208处于流体连通。中间充气室208流体连接至多个抽真空管道170中的一个或多个。
喷头模块130还可以包括垂直气体通道370,该垂直气体通道370被配置成将惰性气体182输送到面板136的外周边137周围。根据一个示例性实施方式,外部充气室206可以形成于面板136的外周边137与隔离环214的内周边或内缘212之间。
如图6中所示,系统300包括形成于上板310内的内部通道360和背衬板139的外部部分320内的垂直气体通道370。该垂直气体通道370包括一个或多个管道312、322,所述一个或多个管道312、322被配置成接收来自惰性气体来源或进料180的惰性气体182。根据一个示例性实施方式,惰性气体182经由一个或多个管道312、322流过上板310和背衬板139的外部部分320流到一个或多个凹槽和/或通道330、340、350,然后流到反应器或空腔150的外缘。
根据一个示例性实施方式,一个或多个管道312可以包括上环形凹槽314和下外部环形凹槽316。根据一个示例性实施方式,上凹槽314所具有的宽度大于下凹槽316。另外,一个或多个管道322可以处于上板310和背衬板139的外部部分320内。一个或多个管道322可以形成环形凹槽,该环形凹槽具有与上板310上的出口318处于流体连通的入口326和与狭窄间隙240处于流体连通的出口328。根据一个示例性实施方式,下隔离环214内的出口328可以与一个或多个凹槽和/或通道330、340、350处于流体连通,引导围绕喷头模块130的面板136的外周边的惰性气体182向狭窄间隙240的外缘243流动。
根据一个示例性实施方式,经由垂直气体通道370将惰性气体182送入到外部充气室206中并且至少部分地沿径向向内流过狭窄间隙240流向空腔150。流过一个或多个凹槽和/或通道330、340、350的惰性气体182的流速可以使得佩克莱特数大于1.0,从而使得化学物质192局限于空腔150内。根据一个示例性实施方式,如果佩克莱特数大于1.0,那么惰性气体182与反应器化学物质192在狭窄间隙240的内部部分242内建立平衡,从而防止反应器化学物质192流到基座模块140下方以及污染沉积腔室120中处于空腔150外部的部分。根据一个示例性实施方式,通过使反应器化学物质192的流动局限于空腔150内,系统200可以减少反应器化学物质192的用量。另外,系统200还可以缩短在处理期间使用反应器化学物质192填充空腔150的时间。
图7是根据一个示例性实施方式的基于气体的密封系统400的示意图。如图7中所示,系统400包括惰性气体或密封气体的来源180和工艺气体的来源190,这些来源被配置成分别将惰性气体或密封气体182和工艺气体192输送到空腔150中。系统400还可以包括晶片空腔或空腔压力阀410和下腔室压力阀412,这些压力阀分别控制晶片空腔或空腔压力414,和下腔室压力416。
图8是示出了根据一个示例性实施方式的基于气体的密封系统400的压力和阀门角度与时间的关系曲线的图表500。根据一个示例性实施方式,如图8中所示,以0至约20SLM(标准升/分钟)的流速将呈氦气形式的工艺气体192输送到空腔150中。以约2SLM向空腔提供呈氮气(N2)形式的惰性气体或密封气体182。根据一个示例性实施方式,空腔腔室压力414和下腔室压力416是约10托。如图8中所示,在最多约20SLM的氦气192和2SLM的氮气182的操作条件下,如通过残余气体分析仪测量法所证实,氦气182未经由吹扫通道(或狭窄间隙240)泄漏。
本文还公开了一种在处理装置中对半导体衬底进行处理的方法。该方法包括将来自工艺气体来源的工艺气体供应至沉积腔室中,以及在等离子体处理腔室中对半导体衬底进行处理。该方法优选地包括对衬底进行等离子体处理,其中使用RF发生器将RF能施加于工艺气体,从而在沉积腔室中产生等离子体。
当在本说明书中连同数值一起使用词语“约”时,意在相关数值包括所述数值±10%的容差。
此外,当连同几何形状一起使用词语“一般”、“相对”以及“基本上”时,意在不要求该几何形状的精确性,但是该形状的自由度(latitude)处于本公开的范围内。当与几何学术语一起使用时,词语“一般”、“相对”以及“基本上”旨在不仅涵盖符合严格定义的特征,还涵盖相当接近于严格定义的特征。
虽然已经参考具体实施方式对包括等温沉积腔室的等离子体处理装置进行详细描述,但是对于本领域技术人员来说将显而易见的是,可以作出各种改变和改动,并且使用等同方案而不背离所附权利要求书的范围。

Claims (20)

1.一种用于密封化学沉积装置中的处理区的系统,所述系统包括:
化学隔离腔室,所述化学隔离腔室具有在所述化学隔离腔室内形成的沉积腔室;
喷头模块,其具有面板和背衬板,所述喷头模块包括多个将反应器化学物质从中央充气室输送到用于对半导体衬底进行处理的空腔中的入口以及将反应器化学物质和惰性气体从所述空腔去除到中间充气室、位于所述面板内的排出口,以及被配置成输送惰性气体的外部充气室,所述排出口围绕所述入口并且所述外部充气室形成于所述面板的外周边与隔离环的内周边之间;
基座模块,所述基座模块被配置成支撑衬底并且垂直移动以封闭所述空腔而在所述基座模块与围绕所述面板的外部部分的台阶之间存在狭窄间隙,所述台阶围绕所述排出口;
惰性密封气体进料,所述惰性密封气体进料被配置成将所述惰性密封气体送入到所述外部充气室中,并且其中所述惰性密封气体在所述面板的所述台阶下至少部分地沿径向向内流过所述狭窄间隙以在所述台阶和所述基座模块之间形成气封;以及
环形抽真空通道,所述环形抽真空通道形成于所述基座模块的外部部分内,将沿径向向内流过所述狭窄间隙以及来自围绕处于所述基座模块的上表面上的衬底周边的区域的所述惰性密封气体去除。
2.如权利要求1所述的系统,其中所述环形抽真空通道位于所述面板的台阶下方。
3.如权利要求1所述的系统,所述系统包括:
处于所述基座模块的上表面上的半导体衬底。
4.如权利要求1所述的系统,其中所述中央充气室位于所述面板和所述背衬板之间。
5.如权利要求1所述的系统,其中所述外部充气室是环形管道。
6.如权利要求1所述的系统,其中所述狭窄间隙具有从所述空腔的外缘到所述面板的外缘5.0mm至25.0mm的宽度。
7.如权利要求1所述的系统,其中所述基座模块可在较高和较低的位置之间移动,使得半导体衬底可被加载到处于所述较低的位置的基座模块,并且所述台阶通过处于较高的位置的所述狭窄间隙与所述基座模块分开,当所述基座模块处于所述较高的位置时,所述空腔形成在所述基座模块的上表面和所述面板的下表面之间,所述基座模块的上表面和所述面板的下表面之间的距离为5至15毫米。
8.如权利要求1所述的系统,其中所述惰性密封气体是氮气或氩气。
9.如权利要求1所述的系统,所述系统包括:
至少一个抽真空管道,所述至少一个抽真空管道与所述环形抽真空通道流体连通;以及
抽真空装置,所述抽真空装置与所述至少一个抽真空管道流体连通。
10.如权利要求1所述的系统,所述系统包括:
至少一个抽真空管道,所述至少一个抽真空管道与所述中间充气室流体连通;以及
抽真空装置,所述抽真空装置与至少一个抽真空管道流体连通。
11.如权利要求1所述的系统,所述系统包括:
一个或多个空腔,所述一个或多个空腔位于所述基座模块中,并且其中所述一个或多个空腔被配置成与所述外部充气室流体连通。
12.如权利要求11所述的系统,其中所述基座模块中的所述一个或多个空腔是环形通道。
13.如权利要求1所述的系统,其中围绕所述面板的外部部分的所述台阶是独立的环。
14.一种用于防止反应器化学物质从用于对半导体衬底进行处理的空腔中漏出的方法,所述方法包括:
在化学沉积装置的所述空腔中对衬底进行处理,所述空腔在喷头模块与被配置成接收所述衬底的基座模块之间形成,其中所述喷头模块包括面板和背衬板,所述面板包括多个将反应器化学物质从中央充气室输送到所述空腔中的入口以及将反应器化学物质和惰性气体从所述空腔去除到中间充气室、位于所述面板内的排出口;
将惰性密封气体送入到外部充气室中,所述外部充气室被配置成将所述惰性密封气体输送到所述基座模块与围绕面板的外部部分的台阶之间的狭窄间隙中,所述狭窄间隙围绕所述空腔的外缘,所述排出口围绕所述入口,所述台阶围绕所述排出口并且所述外部充气室形成于所述面板的外周边与隔离环的内周边之间;
使所述惰性密封气体在所述台阶下至少部分地沿径向向内流过所述狭窄间隙以在所述台阶和所述基座模块之间形成气封;
使用流体连接至所述喷头模块的排出口的抽真空装置将所述反应器化学物质从所述空腔中抽空;
通过提高经由所述狭窄间隙进入所述空腔中的所述惰性密封气体的流速来吹扫具有反应器化学物质的所述空腔;以及
经由与抽真空装置流体连通的抽真空通道将所述惰性密封气体从围绕处于所述基座模块上的所述衬底的周边的区域中去除,所述抽真空通道形成于所述基座模块的外部部分内。
15.如权利要求14所述的方法,所述方法包括:
在佩克莱特数大于1.0的情况下使所述惰性密封气体流入到所述狭窄间隙中。
16.如权利要求14所述的方法,所述方法包括:
经由以下工艺中的至少一种将层沉积到衬底上:化学气相沉积、等离子体增强化学气相沉积、原子层沉积、等离子体增强原子层沉积、脉冲层沉积和/或等离子体增强脉冲沉积。
17.如权利要求14所述的方法,所述方法包括:
以100立方厘米/分钟至5.0slm(标准升/分种)将所述惰性密封气体送入到所述狭窄间隙中。
18.如权利要求14所述的方法,所述方法包括:
基于由围绕所述多个入口的所述排出口产生的压力来调节所述惰性密封气体进入所述狭窄间隙的流速。
19.如权利要求14所述的方法,所述方法包括:
调节所述化学沉积装置的隔离腔室的内部部分中的压力并且所述内部部分位于所述空腔的外部,并且其中所述压力调节与空腔压力和工艺气体流速的改变协作以使得能够在使所述惰性密封气体向所述空腔中的扩散减到最低程度的情况下实现密封。
20.如权利要求14所述的方法,所述方法包括:
调节所述惰性密封气体的流速以使得能够实现密封以及所述惰性密封气体向所述空腔中的低扩散。
CN201410307452.4A 2013-06-28 2014-06-30 具有气封的化学沉积腔室 Active CN104250728B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/930,289 US20150004798A1 (en) 2013-06-28 2013-06-28 Chemical deposition chamber having gas seal
US13/930,289 2013-06-28

Publications (2)

Publication Number Publication Date
CN104250728A CN104250728A (zh) 2014-12-31
CN104250728B true CN104250728B (zh) 2020-10-02

Family

ID=52116002

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410307452.4A Active CN104250728B (zh) 2013-06-28 2014-06-30 具有气封的化学沉积腔室

Country Status (5)

Country Link
US (1) US20150004798A1 (zh)
JP (1) JP2015010281A (zh)
KR (1) KR102263328B1 (zh)
CN (1) CN104250728B (zh)
TW (1) TW201514337A (zh)

Families Citing this family (330)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
SG192967A1 (en) 2011-03-04 2013-09-30 Novellus Systems Inc Hybrid ceramic showerhead
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10781516B2 (en) * 2013-06-28 2020-09-22 Lam Research Corporation Chemical deposition chamber having gas seal
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
JP2015069987A (ja) * 2013-09-26 2015-04-13 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び基板処理方法
US9290843B2 (en) * 2014-02-11 2016-03-22 Lam Research Corporation Ball screw showerhead module adjuster assembly for showerhead module of semiconductor substrate processing apparatus
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10177024B2 (en) * 2015-05-12 2019-01-08 Lam Research Corporation High temperature substrate pedestal module and components thereof
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
JP5990626B1 (ja) * 2015-05-26 2016-09-14 株式会社日本製鋼所 原子層成長装置
JP6054471B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置および原子層成長装置排気部
JP6054470B2 (ja) 2015-05-26 2016-12-27 株式会社日本製鋼所 原子層成長装置
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
TWI725067B (zh) * 2015-10-28 2021-04-21 美商應用材料股份有限公司 可旋轉靜電夾盤
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
TWI689619B (zh) * 2016-04-01 2020-04-01 美商應用材料股份有限公司 用於提供均勻流動的氣體的設備及方法
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10087523B2 (en) 2016-05-20 2018-10-02 Lam Research Corporation Vapor delivery method and apparatus for solid and liquid precursors
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
CN107552258B (zh) 2016-07-01 2019-06-07 江苏鲁汶仪器有限公司 气体喷射装置
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9824884B1 (en) 2016-10-06 2017-11-21 Lam Research Corporation Method for depositing metals free ald silicon nitride films using halide-based precursors
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10128116B2 (en) 2016-10-17 2018-11-13 Lam Research Corporation Integrated direct dielectric and metal deposition
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20180071960A (ko) * 2016-12-20 2018-06-28 램 리써치 코포레이션 가스 시일링을 갖는 화학적 증착 챔버
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US11306395B2 (en) * 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10927459B2 (en) * 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) * 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) * 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
KR20230148393A (ko) 2018-07-27 2023-10-24 어플라이드 머티어리얼스, 인코포레이티드 열 증착을 위한 가스 분배 판
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
JP7411641B2 (ja) * 2018-09-12 2024-01-11 ラム リサーチ コーポレーション 粒子測定方法および粒子測定装置
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
WO2020198050A1 (en) * 2019-03-22 2020-10-01 Desktop Metal, Inc. Controlled environment for additive manufacturing
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN111501025B (zh) * 2020-04-23 2022-05-27 北京北方华创微电子装备有限公司 沉积设备
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11447866B2 (en) * 2020-06-17 2022-09-20 Applied Materials, Inc. High temperature chemical vapor deposition lid
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
WO2022010809A1 (en) 2020-07-07 2022-01-13 Lam Research Corporation Integrated dry processes for patterning radiation photoresist patterning
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN111876752A (zh) * 2020-08-03 2020-11-03 中国科学院长春光学精密机械与物理研究所 一种mocvd装置及半导体材料生产设备
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230168592A1 (en) * 2021-11-30 2023-06-01 Canon Kabushiki Kaisha Reaction chamber with stop-gapped vacuum seal
CN114855146A (zh) * 2022-04-26 2022-08-05 江苏微导纳米科技股份有限公司 半导体设备及反应腔
CN114937632A (zh) * 2022-07-25 2022-08-23 华海清科股份有限公司 一种应用于晶圆处理的双向气封结构和晶圆处理装置
CN116875961A (zh) * 2023-09-01 2023-10-13 上海陛通半导体能源科技股份有限公司 原子层沉积设备

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060137608A1 (en) * 2004-12-28 2006-06-29 Choi Seung W Atomic layer deposition apparatus
CN101171365A (zh) * 2005-05-09 2008-04-30 Asm吉尼泰克韩国株式会社 多入口原子层沉积反应器
CN102762767A (zh) * 2010-03-12 2012-10-31 应用材料公司 具有多重注射道的原子层沉积腔室

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6616767B2 (en) * 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
US20070034228A1 (en) 2005-08-02 2007-02-15 Devitt Andrew J Method and apparatus for in-line processing and immediately sequential or simultaneous processing of flat and flexible substrates through viscous shear in thin cross section gaps for the manufacture of micro-electronic circuits or displays

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060137608A1 (en) * 2004-12-28 2006-06-29 Choi Seung W Atomic layer deposition apparatus
CN101171365A (zh) * 2005-05-09 2008-04-30 Asm吉尼泰克韩国株式会社 多入口原子层沉积反应器
CN102762767A (zh) * 2010-03-12 2012-10-31 应用材料公司 具有多重注射道的原子层沉积腔室

Also Published As

Publication number Publication date
US20150004798A1 (en) 2015-01-01
CN104250728A (zh) 2014-12-31
KR20150002543A (ko) 2015-01-07
KR102263328B1 (ko) 2021-06-10
TW201514337A (zh) 2015-04-16
JP2015010281A (ja) 2015-01-19

Similar Documents

Publication Publication Date Title
CN104250728B (zh) 具有气封的化学沉积腔室
KR102358027B1 (ko) 컨덕턴스 제어를 갖는 화학적 증착 장치
US10781516B2 (en) Chemical deposition chamber having gas seal
CN106148916B (zh) 高温衬底基座模块及其组件
KR102640272B1 (ko) 원자 층 증착 챔버들을 위한 덮개들 및 덮개 키트들
KR101081628B1 (ko) 배기 개구를 특징으로 하는 가스 분배 샤워헤드
US5895530A (en) Method and apparatus for directing fluid through a semiconductor processing chamber
KR20230151975A (ko) 가스 시일링을 갖는 화학적 증착 챔버
WO2001004937A2 (en) Method and apparatus for directing constituents through a processing chamber
JP2024037816A (ja) 裏側ポンピングを用いた熱処理チャンバのリッド
US20190338420A1 (en) Pressure skew system for controlling center-to-edge pressure change
CN108998776B (zh) 通过独立控制teos流量的沉积径向和边缘轮廓可维持性
US10508339B2 (en) Blocker plate for use in a substrate process chamber
US11955333B2 (en) Methods and apparatus for processing a substrate
US20220122819A1 (en) Semiconductor chamber components for back diffusion control
WO2022203763A1 (en) Methods and apparatus for processing a substrate
WO2024076479A1 (en) Adjustable pedestal

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant