CN102762767A - 具有多重注射道的原子层沉积腔室 - Google Patents

具有多重注射道的原子层沉积腔室 Download PDF

Info

Publication number
CN102762767A
CN102762767A CN2011800102635A CN201180010263A CN102762767A CN 102762767 A CN102762767 A CN 102762767A CN 2011800102635 A CN2011800102635 A CN 2011800102635A CN 201180010263 A CN201180010263 A CN 201180010263A CN 102762767 A CN102762767 A CN 102762767A
Authority
CN
China
Prior art keywords
gas
cover assembly
pit cover
passage
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2011800102635A
Other languages
English (en)
Other versions
CN102762767B (zh
Inventor
约瑟夫·尤多夫斯凯
安·N·阮
泰·T·恩戈
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102762767A publication Critical patent/CN102762767A/zh
Application granted granted Critical
Publication of CN102762767B publication Critical patent/CN102762767B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45504Laminar flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Fluid Mechanics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明的实施方式涉及在原子层沉积工艺期间在基板上沉积材料的设备和方法。在一种实施方式中,腔室盖组件包含:通道,所述通道具有上部和,其中所述通道沿着中心轴延伸;壳体,所述壳体具有内部区域并至少部分界定两个或更多个环状通道的壳体;插入件,所述插入件设置在所述内部区域中并界定所述上部,所述上部流通地耦接所述两个或更多个环状通道;以及锥形底部表面,从通道的底部延伸至腔室盖组件的周围部分。

Description

具有多重注射道的原子层沉积腔室
发明背景
发明领域
本发明的实施方式总体上涉及用于原子层沉积的设备和方法。更具体地,本发明的实施方式涉及用于原子层沉积的改良的气体传输设备和方法。
相关技术描述
可靠地制造亚微米以及更小的特征结构(feature)是用于下一代半导体装置的较大规模集成电路(very large scale integration,VLSI)以及超大规模集成电路(ultra large-scaleintegration,ULSI)的关键技术之一。然而,随电路技术的边缘受压缩,在VLSI和ULSI技术中互连结构(interconnect)的尺寸收缩(shrinking dimension)对处理能力有额外的需求。位于此技术核心的多层次互连结构需要精确处理高纵横比(aspect ratio)的特征结构,诸如通孔(via)及其他的互连结构。可靠的形成这些互连结构对于VLSI及ULSI的成功,以及对于继续致力于增加个体基板的电路密度及质量而言是非常重要的。
随电路密度的增加,诸如通孔、沟槽、触点及其它特征结构之类的互连结构加之所述部件之间的电介质材料的宽度,被减少到45nm和32nm尺寸,而电介质层的厚度实质上维持不变,结果造成特征结构的纵横比增加。许多传统沉积工艺难以填充纵横比超过4:1的亚微米结构,特别是在纵横比超过10:1的情况下。因此,现正大量地致力于形成实质上无空隙、无缝,并具有高纵横比的亚微米特征结构。
原子层沉积(ALD)是一种沉积技术,开发来用于将材料层沉积在具有高纵横比的特征结构上。ALD处理的一个实例包括顺序导入气体脉冲。例如,用于顺序导入气体脉冲的一个循环可包括:第一反应物气体的脉冲,接着是净化气体的脉冲和/或抽吸排气,接着是第二反应物气体的脉冲,接着是净化气体的脉冲和/或抽吸排气。本文所用的术语“气体”定义为包括单一气体或多种气体。顺序导入第一反应物和第二反应物的分别的脉冲,可导致基板表面上反应物单层的交替自限性吸收(self-limiting absorption),并由此形成各循环的材料单层。可重复循环以达到沉积材料的期望厚度。第一反应物气体的脉冲与第二反应物气体的脉冲之间的净化气体脉冲和/或抽吸排气,用于减少因腔室中留存过量反应物而使反应物有气相反应的可能性。
由于第一反应物和第二反应物的单层对于层的形成来说是必须的,故在用于ALD处理的某些腔室设计中已观察到,来自常规ALD腔室注射处理的气体流无法提供均匀的环状气体流,导致了在基板表面上产生局部的薄点(thin spot)。
因此,对于在ALD工艺期间使用以更均匀的气体流来沉积膜的设备和方法有所需求。
发明概述
本发明的实施方式涉及用于在原子层沉积(ALD)工艺期间在基板上均匀地沉积材料的设备和方法。在一种实施方式中,腔室盖组件包含:具有上部和下部的通道,其中所述通道沿着中心轴延伸;具有内部区域且至少部分界定两个或更多个环状通道的壳体;设置于所述内部区域中并界定所述上部的插入件,所述上部流通地(fluidly)耦接所述两个或更多个环状通道;以及自所述通道的底部延伸至腔室盖组件的周围部分的锥形底部表面。
在一种实施方式中,用于处理基板的方法包括下列步骤:将两种或更多种处理气体从一个或多个流体源流经腔室盖组件的多个流体传输管线;将所述两种或更多种处理气体自所述流体传输管线流经两个或更多个环状通道,所述环状通道至少部分由所述腔室盖组件的壳体所界定,所述壳体具有内部区域;将所述两种或更多种处理气体从所述两个或更多个的环状通道流经设置于所述内部区域中的插入件,并进入所述腔室盖组件中的通道的上部,所述插入件界定了所述通道的上部;以及将所述一种或多种处理气体流经所述通道并进入基板上方的反应区,所述基板设置于基板支撑件上。
附图简述
为使本发明的上述特征得以实现并被更详细地了解,参照实施方式来更具体地说明以上所概述的发明,其中部分实施方式表示在附图中。
然而,应注意的是,所附的附图仅为说明本发明的典型实施方式,因而非用于限制发明范围,本发明允许其它等效的实施方式。
图1A描绘了一种处理腔室的示意性剖面图,所述处理腔室包括适于本文一种实施方式所描述的原子层沉积用的盖组件以及气体传输设备;
图1B描绘了适于本文一种实施方式所描述的原子层沉积用的盖组件以及气体传输设备的示意性剖面图;
图1C描绘了适于本文一种实施方式所描述的原子层沉积用的盖组件以及气体传输设备的顶部的透视图;
图1D描绘了适于本文一种实施方式所描述的原子层沉积用的盖组件以及气体传输设备的示意性剖面图;
图2A描绘了适于本文一种实施方式所描述的原子层沉积用的盖组件及气体传输设备的壳体的一种实施方式的透视图;
图2B描绘了图2A中的用于盖组件及气体传输设备的壳体的一种实施方式的顶视图;
图2C描绘了图2A中的用于盖组件及气体传输设备的一种实施方式的示意性剖面图;
图2D描绘了图2A中的盖组件及气体传输设备的一种实施方式的示意性剖面图;
图2E描绘了图1A中的盖组件及气体传输设备的一种实施方式的透视剖面图;
图2F描绘了盖组件及气体传输设备的一种实施方式的示意性剖面图;
图2G描绘了图2F的盖组件及气体传输设备的一种实施方式的透视剖面图;
图3A描绘了用于盖组件及气体传输设备的插入件的一个实施方式的透视图,该盖组件及气体传输设备适用于本文一种实施方式所述的原子层沉积;
图3B描绘了图3A中的盖组件及气体传输设备的示意性剖面图;以及
图3C描绘了图3B中的盖组件及气体传输设备的示意性剖面图。
详细描述
本发明的实施方式提供了可用来在原子层沉积(ALD)工艺期间沉积材料的设备及方法。实施方式包括ALD处理腔室以及气体传输系统,所述气体传输系统包括多重注射道盖组件。另一些实施方式提供了在ALD工艺期间使用这些气体传输系统来沉积材料的方法。用于结合本文所述的设备的合适处理腔室的实例包括,可自加州圣大克劳拉市(Santa Clara,California)的Applied Materials,Inc.获得的高k介电常数和金属ALD沉积腔室。以下对处理腔室的描述是基于前后文及示范意图,不应被解读或推断为对本发明范围的限制。
图1A至1C为一种实施方式的处理腔室100的示意图,该处理腔室100包括适用于ALD处理的气体传输系统130。图1D为包括气体传输系统130的另一种实施方式的处理腔室100的示意图。处理腔室100包括腔室本体102,腔室本体102具有侧壁104以及底部106。处理腔室100中的狭缝阀(slit valve)108提供了机械手robot,未示出)的出入口(access),以将基板110,如200mm或300mm的半导体晶片或玻璃基板,传输进入处理腔室100或从处理腔室100中取回。
基板支撑件112在处理腔室100中将基板110支撑在基板接收表面111上。将基板支撑件112安装至升降马达114,用以升高和降低基板支撑件112以及设置于上面的基板110。连接至升降马达118的升降板116安装于处理腔室100中,并可升高和降低穿过基板支撑件112而可移动地设置的升降销120。升降销120在基板支撑件112的表面上方升高和降低基板110。基板支撑件112可包括真空夹盘(未示出)、静电夹盘(未示出)或夹持环(未示出),用以在沉积处理期间将基板110紧固至基板支撑件112。
基板支撑件112的温度可调整,以控制设置于上面的基板110的温度。例如,可使用诸如电阻加热器(未示出)之类的嵌入式加热元件来加热基板支撑件112,或可使用诸如设置于基板支撑件112上方的加热灯(未示出)之类辐射热来加热基板支撑件112。净化环122可设置于基板支撑件112上以界定净化通道124,净化通道124提供净化气体至基板110的周围部分,以防止上面的沉积。
气体传输系统130设置于腔室本体102的上部,以将诸如处理气体和/或净化气体之类的气体提供至处理腔室100。图1A至1D描绘了气体传输系统130,所述气体传输系统130配置成将基板110暴露至至少两种气体源或化学前驱物(precursor)。图1B为沿着图1A的1B线的剖面图。真空系统178连通抽吸通道179,以从处理腔室100中排出任何期望的气体,并协助维持处理腔室100的抽吸区166内的期望压力或期望压力范围。
在一种实施方式中,气体传输系统130包括腔室盖组件132,腔室盖组件132具有延伸经过腔室盖组件132的中央部分的气体分散通道134。气体分散通道134朝向基板接收表面111垂直延伸,并且也沿着气体分散通道134的中心轴133经过盖板170延伸至下表面160。在一个实例中,气体分散通道134的一部分沿着上部350内的中心轴133实质上呈圆柱状,且气体分散通道134的一部分相对于气体分散通道134的下部135内的中心轴133逐渐锥化。气体分散通道134进一步延伸通过下表面160并进入反应区164。下表面160从气体分散通道134的下部135延伸至扼流圈162。下表面160的尺寸及形状实质上覆盖了设置于基板支撑件112的基板接收表面111上的基板110。
处理气体在通过分散通道时,被迫绕着气体分散通道134的中心轴133回转(revolution)。环绕气体流174,即表示通过分散通道的处理气体流,可含有多种流动模式(flow pattern)类型,如漩涡模式、螺旋模式(helix pattern)、盘旋模式(spiral pattern)或上述模式的衍生模式。环绕气体流174可绕着气体分散通道134的中心轴133延伸至少约1个回转,优选地,至少约1.5个回转,更优选地,至少约2个回转,更优选地,至少约3个回转,且更优选地,约4个或更多个回转。
气体分散通道134包括上部350,该上部350具有气体入口340、345以提供来自两对类似的阀142A/152A、142B/152B的气体流,所述两对类似的阀可一起提供和/或分别提供。在一种配置中,阀142A及阀142B耦接至各自的反应物气体源,但优选地耦接至相同的净化气体源。例如,阀142A耦接至反应物气体源138,阀142B耦接至反应物气体源139,且阀142A、142B二者皆耦接至净化气体源140。各阀142A、142B包括具有阀座组件(valve seat assembly)144A、144B的传输管线143A、143B,且各阀152A、152B包括具有阀座组件146A、146B的净化管线145A、145B。传输管线143A、143B流体连通反应物气体源138及139,并流体连通气体分散通道134的气体入口340、345。传输管线143A、143B的阀座组件144A、144B控制从反应物气体源138及139到气体分散通道134的反应物气体流。净化管线145A、145B连通净化气体源140,并与传输管线143A、143B的阀座组件144A、144B下游的传输管线143A、143B相交。净化管线145A、145B的阀座组件146A、146B控制从净化气体源140到气体分散通道134的净化气体流。若使用载气从反应物气体源138及139传输反应物气体,优选使用相同的气体作为载气和净化气体(例如,以氩气作为载气和净化气体)。
各阀座组件144A、144B、146A、146B可包含隔板(diaphragm)(未示出)和阀座(未示出)。隔板可经偏压而开启或关闭,且可经致动而分别关闭或开启。隔板可经气动致动或经电动致动。气动致动阀包括可自Fujikin,Inc.以及Veriflo Division,Parker Hannifin,Corp获得的气动致动阀。电动致动阀包括可自Fujikin,Inc获得的电动致动阀。举例而言,可使用的ALD阀为Fujikin第FPR-UDDFAT-21-6.35-PI-ASN号型号,或Fujikin第FPR-NHDT-21-6.35-PA-AYT号型号。可编程逻辑控制器148A、148B可耦接至阀142A、142B,以控制阀142A、142B的阀座组件144A、144B、146A、146B的隔板的致动。气动致动阀可在低达约0.020秒的时间段内提供气体脉冲。电动致动阀可在低达约0.005秒的时间段内提供气体脉冲。典型地,电子致动阀需要使用耦接于阀与可编程逻辑控制器之间的驱动器。
各阀142A、142B可为零无效容积阀(zero dead volume valve),使得在阀座组件144A、144B关闭时,来自传输管线143A、143B的反应物气体能注满。例如,净化管线145A、145B可设置成邻近传输管线143A、143B的阀座组件144A、144B。当阀座组件144A、144B关闭时,净化管线145A、145B可提供净化气体充满传输管线143A、143B。在一种实施方式中,净化管线145A、145B设置成与传输管线143A、143B的阀座组件144A、144B稍微间隔开来,使得当阀座组件144A、144B开启时,净化气体不直接进入阀座组件144A、144B。如本文所用的零无效容积阀定义为具有可忽略的无效容积(即,并不必要为零无效容积)的阀。
各个阀对142A/152A、142B/152B可适于提供反应物气体和净化气体的组合气体流和/或分别气体流。关于阀对142A/152A,反应物气体和净化气体的组合气体流的一个实例包括,来自净化气体源140经过净化管线145A的连续净化气体流,以及来自反应物气体源138经过传输管线143A的反应物气体脉冲。可通过使净化管线145A的阀座组件146A的隔板开启来提供连续净化气体流。可通过开启和关闭传输管线143A的阀座组件144A的隔板来提供来自反应物气体源138的反应物气体脉冲。关于阀对142A/152A,反应物气体和净化气体的分别气体流的一个实例包括,来自净化气体源140经过净化管线145A的净化气体脉冲,以及来自反应物气体源138经过传输管线143A的反应物气体脉冲。可通过开启和关闭净化管线145A的阀座组件146A的隔板来提供净化气体的脉冲。可通过开启和关闭传输管线143A的阀座组件144A的隔板来提供来自反应物气体源138的反应物气体脉冲。
阀142A、142B的传输管线143A、143B可通过流体传输管线210、220以及环状通道260、265耦接气体入口340、345。流体传输管线210、220可与阀142A、142B整合或与阀142A、142B分开,并由此连接至一个或多个流体源。在一种方式中,阀142A、142B可尽量靠近地耦接气体分散通道134,以减少阀142A、142B与气体入口340、345间的传输管线143A、143B与流体传输管线210、220的任何不必要容积。
不愿受理论约束,据相信,气体分散通道134的直径——所述直径自气体分散通道134的上部350至沿着中心轴133的某点处恒定不变,而从该点至气体分散通道134的下部135渐增——允许经过气体分散通道134的气体有较少的绝热膨胀(adiabatic expansion),所述较少的绝热膨胀有助于控制环绕气体流174所含有的处理气体的温度。例如,传输进入气体分散通道134的气体的突发性绝热膨胀可能造成气体温度下降,因而可能造成气体的冷凝并形成液滴。另一方面,据相信,逐渐锥化的气体分散通道134可提供较少的气体绝热膨胀。因此,可将更多的热传递至气体或自气体传递出,并因而可通过控制腔室盖组件132的温度而更容易地控制气体的温度。气体分散通道134可逐渐锥化并含有一种或多种锥形内表面,如锥形笔直表面、下凹表面、凸出表面或其组合,或者可含有具一种或多种锥形内表面的区段(即,部分锥形而部分非锥形)。
图1D为图1B所示的处理腔室100的类似示图,且可包括类似的特征和组件。图1D表示了气体传输系统130的一种实施方式,该气体传输系统130包括四条流体传输管线210、215、220、225耦接分散通道134的气体入口340、345、370、375,以从如前所述的类似阀对提供气体流。在该实施方式中,包括上部350的气体分散通道134具有四组气体入口340、345、370、375,以从阀对提供气体流,所述气体流可一起提供和/或分开提供。阀的传输管线可经过流体传输管线210、215、220、225以及环状通道260、265、270、275耦接气体入口340、345、370、375。
腔室盖组件包括通道134,所述通道134沿着中心轴在腔室盖组件的一部分处延伸并扩展。可由设置于壳体200中的插入件300界定通道134的上部350。可在壳体200及插入件300上设置盖体400。
壳体200可包括设至在基座207上的环状歧管205。在如图2A至2G中所示的实施方式中,环状歧管205界定内部区域290并至少部分界定围绕内部区域290而设置的两个或更多个环状通道。第2C图为沿着图2A的2C线的剖面图。图2D为沿着图2C的2D线的剖面图。在可替代的实施方式中,环状歧管205界定内部区域290,并包含围绕内部区域290而设置的环状通道。图2F为另一实施方式,显示了三条流体传输管线以及两个环状通道。图2G为沿着图2F的2G线的剖面图。
两个或更多个环状通道沿着环状歧管205的中心轴133以彼此垂直分隔的方式设置。环状通道,如环状通道260,包含适于流体在其中流动的通道,并部分或完全地围绕内部区域290。环状通道可为内部区域提供多达360°的流体连通,例如自270°至360°地环绕内部区域。各环状通道允许流体,如处理气体,从流体源(如,气体源)传输至内部区域,用以经过形成于插入件300中的孔分散流体,所述插入件300耦接环状歧管205。各环状通道可具有多种截面形状和设计。例如,环状通道可为圆形、半圆形、矩形或椭圆形截面设计。优选地,截面设计适于提供流体(如处理气体)有效地从环状通道流动至耦接环状通道的孔。例如,环状通道可包含矩形截面的三个侧面,而第四侧面可为插入件300的垂直本体330。以这种方式,三个矩形截面的侧面与插入件300的垂直本体330的第四侧面一起界定环状通道。
在一种实施方式中,如图2D及2E所示,各环状通道(如环状通道260)环绕地越过(span)内部区域290,并提供内部区域360°的流体连通。在可替代的实施方式中,环状通道之一可具有360°的流体连通,并且至少一个第二环状通道具有少于360°的流体连通。在一种实施方式中,第一环状通道260及第二环状通道265均环绕内部区域290设置。
可在环状歧管205中设置一个或多个筒状加热器240。壳体200可由不锈钢制成。盖体400也可由不锈钢制成。
如图1D、2A和2F所示,各环状通道耦接各自的流体传输管线,如流体传输管线210、215、220、225。或者,如图2F和2G所示,各环状通道可耦接两个或更多个流体传输管线,所述两个或更多个流体传输管线可提供混合气体或者可替代气体流经环状通道。流体传输管线210、215和220耦接环状通道260、265。图2G显示流体传输管线210和215各自耦接环状通道265。
各流体管线耦接流体源,如气体源。或者,各流体管线可耦接两个或更多个气体源,所述两个或更多个气体源可提供混合气体或者可替代气体流经环状通道。多重环状通道的使用可允许供应不同前驱物,如氯化铪和水用于氧化铪沉积处理,和/或允许以不同的浓度供应相同前驱物。此外,充气部(plenum)可供应不同的前驱物,包括混合前驱物或者前驱物的交替传输。
至少一条净化管线,如净化管线250,也可形成于环状歧管205中。净化管线被导入环状歧管205的垂直部分。净化管线包含耦接一条或多条间隙净化管线280的水平气体输送管线,所述间隙净化管线280设置来接触一系列环状通道的上方和下方的内部区域290。内部区域处的各间隙净化管线280可具有延伸的环状通道,如以围绕方式形成的环状通道245、255,形成于环状歧管205邻近内部区域的表面处。净化管线250也耦接垂直设置于环状歧管205中的管线230。所述一条或多条间隙净化管线也沿着插入件300的垂直本体330提供净化气体,流至介于插入件300与盖体罩172的材料之间的材料交会处380,所述盖体罩172形成了邻近的气体分散通道134。净化气体将进一步防止处理气体与任何设置于壳体与插入件的间的结构性密封材料(如O型环385)反应,其中盖体罩172的下层材料和盖板组件形成邻近的气体分散通道134。
净化管线250可连接至如前所述用于处理腔室的多个净化气体源之一,且净化气体可包含非反应性气体,如氮或惰性气体。净化管线在插入件与环状歧管205之间提供净化气体,以去除那些区域中的不想要的处理气体。由此净化气体可保护诸如O型环材料等之类的敏感性材料不受处理气体影响,所述敏感性材料当暴露于诸如金属卤化物前驱物之类的反应性处理气体时,会随时间降解。
参见图3A至3C,插入件300设置于内部区域290中并界定通道134的上部350。插入件包含耦接盖体310,该耦接盖体310具有适于耦接至壳体200顶部的截切部分320,插入件还包含适于设置在环状歧管205内部并充满环状歧管205内部的垂直本体330。本体330界定上部350。该上部可包含圆柱形状或实质上的圆柱形状。在一个实例中,如图3B所示,上部350包含圆柱状上部351以及扩展的底部352,且扩展的底部352设置在底部一组的多个孔346的下方。
可在插入件300的垂直本体中设置一个或多个气体入口340、345。沿着垂直本体330一部分的水平平面,入口340、345可包含多个孔341、346,从而形成多重孔入口340、345。沿着各水平平面的孔341、346的数量可介于2与10个孔之间,例如,图3A至3C所示的6个孔。可沿着插入件300形成一组或多组的多个孔。可环绕垂直本体330的水平平面以彼此等侧向(equilaterally)的方式设置孔341、346。或者,可分隔和/或成组地设置孔341、346,以提供进入上部350的期望的气体流特征。据相信,环绕垂直本体330的水平平面以彼此等侧向(equilaterally)的方式设置的孔,结合上部350形成均等沟槽,可允许相同或实质上相同的压力和气体流速率经过各孔341、346,以在基板表面处提供更均匀的处理气体流。
可以相对于中心轴133以任何角度设置孔341、346,如大约与中心轴133或气体分散通道134相切并通过垂直本体330。可将孔341、346定向成以不同的角度朝向径向和垂直方向。优选地,孔341、346在水平和/或垂直方向上以0°至90°的角度设置以提供期望的流体特征。在一个实施方式中,孔341和346定位成与上部350相切的角度,如在大约0°至大约90°的范围内的角度,优选地在大约0°至大约60°,优选地在大约0°至大约45°,且更优选地在大约0°至大约20°。
孔341、346设置成流通地耦接环状歧管205的两个或更多个环状通道260、265。多组的多个孔可以与对应于在环状歧管205中形成的环状通道的各个入口一起使用。孔可为任何截面形状,例如,矩形形状、圆柱状管体或泪滴形状。据相信,环状通道和具有多孔的入口的组合在基板表面处提供了更均匀的处理气体流。
插入件300可以由不会与处理气体(如,金属卤化物前驱物气体)反应的材料制成。此类材料之一为石英。在附图所示的配置中,观察到石英插入件具有增加的材料兼容性,即,石英插入件与卤化物前驱物和其它处理气体(如,水)的反应性低于诸如不锈钢或铝之类其它材料与卤化物前驱物和其它处理气体的反应性。此外,插入件材料还可更兼容于插入件300可能接触的其它腔室结构性部件材料。例如,盖体罩172和围绕气体分散通道134的部分盖板170典型地以石英制成,由此石英插入件300将具有良好的材料兼容性,且对制造和组装而言在结构上更具兼容性。
据相信,本文所述的盖体罩与多个孔(多个进入点)形成的入口在基板表面上方提供了更均匀的处理气体流,从而在环状方向上提供了比单一进入点/单一进入入口更为均匀的厚度。已观察到,相较于单一进入点/单一进入入口而言,用本文所述的盖体罩172组件的多-环状通道沿着2英寸环分析(ring analysis)、4英寸环分析以及在距离基板边缘3mm处,可实现具有提高的厚度均匀性的较小晶片-内-晶片(wafer-in-wafer;WiW)厚度。之前,针对单一进入点/单一进入入口,观察到距基板边缘3mm处的基板部份为具有不希望的沉积厚度和不均匀性的停滞区(stagnant zone)。此外,已观察到,相较于单一进入点/单一进入入口,本文所述的多-环状通道可降低逆扩散的风险,允许通过分别的管线独立控制处理气体,且相较于单一进入点/单一进入入口可提供加热的惰性气体净化,以保护O型环。此外,多-环状通道允许使用PVC阀,以增强腐蚀保护,提供简化的硬件设计,如以VCR配件取代面密封(face seal),并去掉对单一进入点/单一进入入口而言为必须的部件,因而相较于单一进入点/单一进入入口而言提高了适用性。
图1A至1B描绘了气体行进到如本文实施方式所描述的气体分散通道134的路径。处理气体从流体传输管线210和220传输进入气体环状通道260和265,经过气体入口340、345,并进入上部350且经过气体分散通道134。图2D、3B以及3C表示了处理气体或前驱物气体的行进路径,即,从流体传输管线210进入气体环状通道265,经过入口340,并进入上部350。如图1B、2D、3B和3C所描绘,第二路径从流体传输管线220延伸进入气体环状通道260,经过入口345,并进入上部350。
图1B为气体分散通道134的上部350和腔室盖组件132的气体分散通道134的剖面图,显示了通过其中的气体流的简化表现形式。虽然通过气体分散通道134的确切流动模式未知,但据相信,环绕气体流174(图1B)可从入口340、345以循环流模式(如,涡流、螺旋流、盘旋流、旋流(swirl flow)、捻流(twirl flow)、扭转流(twist flow)、线圈流(coil flow)、螺旋锥流(corkscrew flow)、曲流(curl flow)、漩涡流(whirlpool flow)、上述模式的衍生模式,或者上述模式的组合)行进而通过气体分散通道134。如图1B所示,可以在“处理区域(processing region)”,即与基板110相对的分隔的隔间,中提供循环流。在一种方式中,由于跨越气体分散通道134的内表面的涡流模式的清扫作用(sweeping action)之故,环绕气体流174可有助于建立更有效的气体分散通道134净化。
在一种实施方式中,图1B描绘了基板110表面处的点176a与气体分散通道134的圆柱状上部351处的点176b之间的距离175。不希望距离175足够长到使环绕气体流174分散成如跨越基板110的表面的盘旋气流般的向下气流。据相信,以层迭(laminar)方式行进的环绕气体流174可有效地净化腔室盖组件132和基板110的表面。在另一种实施方式中,沿着中心轴133延伸的距离175或气体分散通道134具有在大约3英寸至大约9英寸的范围内的长度,优选地,在大约3.5英寸至大约7英寸,且更优选地,在大约4英寸至大约6英寸,如大约5英寸。
图1A描绘了腔室盖组件132的下表面160的至少一部分可呈从气体分散通道134至腔室盖组件132的周围部分的锥形,以协助提供从气体分散通道134跨越基板110的表面(即,自基板中央至基板边缘)的改良气体流速度轮廓(profile)。下表面160可含有一个或多个锥形表面,如笔直表面、下凹表面、凸出表面或上述表面的组合。在一种实施方式中,下表面160呈漏斗型状中的锥形。
在一个实例中,下表面160向下倾斜以帮助减少行进在腔室盖组件132的下表面160与基板110之间的处理气体的速度变化,同时协助使基板110的表面均匀暴露给反应物气体。在一种实施方式中,处于腔室盖组件132向下倾斜的下表面160与基板110的表面之间的流截面(flow section)最大面积与流截面最小面积的比例小于大约2,优选地,小于大约1.5,更优选地,小于大约1.3,且更优选地,是大约1。
不愿受理论约束,据相信,以更均匀的速度行进跨越基板110表面的气体流有助于在基板110上提供更均匀的气体沉积。据相信,气体的速度与气体的浓度成正比,而气体的浓度又与基板110表面的气体沉积速率成正比。因此,在基板110表面的第一区域处相比第二区域处的较高气体速度相信可在第一区域上提供更高的气体沉积。据相信,具有向下倾斜的下表面160的腔室盖组件132可提供跨越基板110表面的更均匀的气体沉积,这是因为下表面160提供了更均匀的速度,因而提供了跨越基板110表面的更均匀的气体浓度。
根据本发明的实施方式,可利用多种方法来处理基板。在一种实施方式中,处理基板的方法包括:使来自诸如气体源138、139之类的一个或多个流体源的两种或更多种的处理气体流经腔室盖组件132的流体传输管线210、220,并使来自流体传输管线210、220的两种或更多种的处理气体流经至少部分由腔室盖组件132的壳体200所界定的两个或更多个环状通道260、265。壳体具有内部区域290。两种或更多种的处理气体从两个或更多个环状通道260、265流经设置于内部区域290中的插入件300,并进入腔室盖组件132中的通道134的上部350。插入件300界定通道134的上部350。一种或更多种处理气体流经通道134并进入设置于基板支撑件112上的基板110上方的反应区164。
图1A描绘位于腔室盖组件132的周围部分并邻近基板110的周缘的扼流圈162。扼流圈162含有当腔室盖组件132被组装而在基板110周围形成处理区时,可在邻近基板110周缘的区域处限制气流穿过其中的任何构件。
在一种具体实施方式中,扼流圈162与基板支撑件112之间的间距在大约0.04英寸和大约2.0英寸之间,优选在0.04英寸和大约0.2英寸的间。间距可依据待传输的气体以及沉积期间的处理条件而变化。通过将反应区164与抽吸区166的不均匀压力分布隔开,扼流圈162有助于在腔室盖组件132与基板110之间所界定的容积或反应区164内提供更均匀的压力分布(图1A)。
参见图1A,在一种方式中,由于反应区164与抽吸区166隔开,反应物气体或净化气体仅需要充分地填充反应区164,以确保基板110充分暴露给反应物气体或净化气体。在常规的化学气相沉积中,为了确保反应物的共同反应跨越基板110的表面而均匀地发生,现有技术的腔室必须同时且均匀地提供结合的反应物流给基板的整个表面。在原子层沉积中,处理腔室100向基板110的表面顺序导入反应物,以在基板110的表面上提供反应物的交替薄层吸收。因此,原子层沉积不需要同时到达基板110的表面的反应物流。取而代之,反应物流需要以足以使基板110的表面上吸收反应物薄层的量提供。
由于反应区164相较于常规的CVD腔室的内部容积可含有较小的容积,故对原子层沉积工序中的特定处理而言,仅需较少量的气体来填充反应区164。例如,在一种实施方式中,对适于处理200mm直径的基板的腔室而言,反应区164的容积约为1,000cm3或更小,优选为500cm3或更小,更优选为200cm3或更小。在一种实施方式中,对适于处理300mm直径的基板的腔室而言,反应区164的容积约为3,000cm3或更小,优选为1,500cm3或更小,更优选为600cm3或更小。在一种实施方式中,可升高或降低基板支撑件112,以调整用于沉积的反应区164的容积。因为反应区164的容积较小,故只须使少量气体,无论是沉积气体还是净化气体,流入处理腔室100。因此,由于使用较少量气体而降低了运作成本的缘故,处理腔室100的产量更高且浪费减到最小。
图1A至1B所示的腔室盖组件132包含盖体罩172和盖板170,其中盖体罩172和盖板170形成了气体分散通道134。在一种实施方式中,如图1A至1B所示,处理腔室100包含盖体罩172,盖体罩172包括具有气体环状通道260和265的壳体200。可选择性地在盖板170和盖体罩172之间设置额外的板件(未示出)。额外的板件可用来调整(例如,增加)盖体罩172与盖板170之间的距离,从而对应改变形成于其中的分散通道134的长度。在另一种实施方式中,设置于盖板170与盖体罩172之间的可选择的额外板件含有不锈钢。在其它实施方式中,气体分散通道134可由单片材料一体成形。
腔室盖组件132可根据传输于其中的特定气体而包括冷却元件和/或加热元件。控制腔室盖组件132的温度可用来防止气体分解、沉积或冷凝于腔室盖组件132上。例如,可在腔室盖组件132中形成水通道(未示出),以冷却腔室盖组件132。在另一实例中,加热元件(未示出)可嵌入或环绕腔室盖组件132的部件,以加热腔室盖组件132。在一种实施方式中,可独立地加热或冷却腔室盖组件132的部件。例如,参见图1A,腔室盖组件132可包含盖板170和盖体罩172,其中盖板170和盖体罩172形成了气体分散通道134。可将盖体罩172维持在一个温度范围,而将盖板170维持在另一个温度范围。例如,可通过将盖体罩172包裹在加热器带中,或通过使用其它加热装置来加热盖体罩172,以防止反应物气体冷凝,并可使盖板170维持在环境温度下。在另一实例中,可加热盖体罩172,并用穿过盖板170形成的水通道冷却盖板170,以防止反应物气体在盖板170上热分解。
腔室盖组件132的部件和零件可含有诸如不锈钢、铝、镀镍铝、镍、上述材料的合金之类的材料,或者其它合适的材料。在一种实施方式中,盖体罩172和盖板170可独立地制造、加工、锻造,或以其他方式由诸如铝、铝合金、钢、不锈钢、上述材料的合金或上述材料的组合之类的金属制成。
在一种实施方式中,气体分散通道134的内表面131(包括盖板170的内表面和盖体罩172的内表面二者)以及腔室盖组件132的下表面160可含有镜面抛光表面(mirrorpolished surface),以帮助产生沿着气体分散通道134和腔室盖组件132的下表面160的气体层流(laminar flow)。在另一种实施方式中,流体传输管线210和220的内表面可经过电抛光,以帮助产生穿过其中的气体层流。
在可替代的实施方式中,气体分散通道134的内表面131(包括盖板170的内表面和盖体罩172的内表面二者)和腔室盖组件132的下表面160可包含粗糙化表面或加工表面,以产生跨越(across)内表面131和下表面160的多个表面区域。粗糙化表面提供给不期望的聚集材料在内表面131及下表面160上以更好的黏着力。不期望的膜通常是由于实施气相沉积处理之故而产生的,并可能会从内表面131和下表面160剥落或脱落而污染基板110。在一个实例中,下表面160和/或内表面131的平均粗糙度(Ra)可为至少约10μin,如,在在约10μin(约0.254μm)至约200μin(约5.08μm)的范围内,优选地,在约20μin(约0.508μm)至约100μin(约2.54μm),更优选地,在约30μin(约0.762μm)至约80μin(约2.032μm)。在另一实例中,下表面160和/或内表面131的平均粗糙度可为至少约100μin(约2.54μm),优选地,在约200μin(约5.08μm)至约500μin(约12.7μm)的范围内。
图1A描绘了诸如编程个人计算机、工作站计算机等之类的控制单元180耦接至处理腔室100,以控制处理条件。例如,控制单元180可配置成在基板处理工序的不同阶段期间,控制来自气体源138、139和140的各种处理气体流和净化气体流通过阀142A和142B。作为解释地,控制单元180包含中央处理单元(CPU)182、支持电路184以及含有相关控制软件183的存储器186。
控制单元180可为任何形式的通用计算机处理器之一,所述通用计算机处理器可用在工业设定中以用于控制多种腔室及子处理器。CPU 182可使用任何合适的存储器186,如本地或远程的随机存取存储器、只读存储器、软盘驱动器、硬盘或任何其它形式的数字储存器。多种支持电路可耦接至CPU 182,用以支持处理腔室100。控制单元180可耦接至位于单个腔室部件附近的另一控制器,例如,阀142A、142B的可编程逻辑控制器148A、148B。控制单元180与处理腔室100的多种其它部件之间的双向沟通是通过许多信号缆线来处理的,这些信号缆线总称为信号总线188,图1A中示出了其中一些信号总线188。除了控制来自气体源138、139、140和来自阀142A、142B的可编程逻辑控制器148A、148B的处理气体和净化气体以外,控制单元180可配置成负责用于晶片处理的其它活动(如,晶片输送、温度控制、腔室排气以及其它活动)的自动控制,其中一些活动在本文的其他部分有所描述。
参见图1A至1B,在操作中,基板110通过机械手(未示出)经过狭缝阀108传输至处理腔室100。通过升降销120和机械手的合作,将基板110定位于基板支撑件112上。基板支撑件112升高基板110至靠近腔室盖组件132的下表面160的相对面。可通过阀142A将第一气体流注射进入处理腔室100的气体分散通道134,同时或分别(即,脉冲式)地通过阀142B将第二气体流注射进入处理腔室100。第一气体流可含有来自净化气体源140的持续净化气体流以和来自反应物气体源138的反应物气体脉冲,或者可含有来自反应物气体源138的反应物气体脉冲和来自净化气体源140的净化气体脉冲。第二气体流可含有来自净化气体源140的持续净化气体流和来自反应物气体源139的反应物气体脉冲,或者可含有来自反应物气体源139的反应物气体脉冲和来自净化气体源140的净化气体脉冲。
环绕气体流174以涡流形式行进通过气体分散通道134,从而提供了跨越气体分散通道134的内表面的清扫作用。环绕气体流174分散成朝向基板110表面的向下气流。当气体流行进通过气体分散通道134时速度降低。气体流接着行进跨越基板110的表面并跨越腔室盖组件132的下表面160。腔室盖组件132的向下倾斜的下表面160有助于降低跨越基板110表面的气体流的速度变化。气体流接着行进经过扼流圈162,并进入处理腔室100的抽吸区166。过量气体、副产物等流入抽吸通道179,并接着通过真空系统178从处理腔室100排出。在一种方式中,气体流以层流方式行进通过气体分散通道134以及基板110的表面与腔室盖组件132的下表面160之间,这有助于使反应物气体均匀暴露给基板110的表面,并有效净化腔室盖组件132的内表面。
如图1A至1B所示,本文所描述的处理腔室100具有多种特征的结合。在一种方式中,处理腔室100提供了包含与常规CVD腔室相比小容积的反应区164。处理腔室100需要较少量的气体,如反应物气体或净化气体,来填充反应区164以用于特定的处理。在另一种方式中,处理腔室100提供具有向下倾斜或漏斗状下表面160的腔室盖组件132,以减少在腔室盖组件132的底部表面与基板110之间行进的气体流的速度轮廓的变化。在还有一种方式中,处理腔室100提供气体分散通道134,以降低被导入通过其中的气体流的速度。在还有一种方式中,处理腔室100以相对气体分散通道134的中心α角度提供流体传输管线。处理腔室100提供了如本文其他部分所描述的特征。适用于原子层沉积的腔室的其它实施方式可并入一个或多个这些特征。
尽管上文涉及本发明的优选实施方式,但在不悖离本发明的基本范围下可设计出本发明的其它和进一步的实施方式,本发明的范围由随后的权利要求所确定。

Claims (15)

1.一种腔室盖组件,所述腔室盖组件包含:
通道,所述通道具有上部和下部,其中所述通道沿着中心轴延伸;
壳体,具有内部区域并至少部分界定两个或更多个环状通道;
插入件,设置于所述内部区域中并界定所述上部,所述上部流通地耦接所述两个或更多个环状通道;以及
锥形底部表面,自所述通道的底部延伸至所述腔室盖组件的周围部分。
2.如权利要求1所述的腔室盖组件,其中所述壳体进一步包含设置于基座上的环状歧管,所述环状歧管界定所述内部区域。
3.如权利要求2所述的腔室盖组件,其中所述两个或更多个环状通道以垂直间隔方式沿着所述中心轴设置。
4.如权利要求1所述的腔室盖组件,其中各环状通道环绕地越过所述内部区域,并提供360°的流体连通,并且各环状通道耦接流体传输管线,其中各流体传输管线耦接一个或多个流体源。
5.如权利要求2所述的腔室盖组件,进一步包含净化管线,所述净化管线设置于所述环状歧管中。
6.如权利要求5所述的腔室盖组件,其中所述净化管线包含耦接一条或多条间隙净化管线的水平气体输送管线,所述一条或多条间隙净化管线流通地耦接所述内部区域。
7.如权利要求6所述的腔室盖组件,其中至少一条间隙净化管线在所述两个或更多个环状通道上方耦接所述内部区域,且至少一条间隙净化管线在所述两个或更多个环状通道下方耦接所述内部区域。
8.如权利要求6所述的腔室盖组件,其中各间隙净化管线进一步包含越过所述内部区域而环绕地形成的环状通道。
9.如权利要求6所述的腔室盖组件,其中所述净化管线进一步包含垂直设置的管线,所述管线设置于所述环状歧管中。
10.如权利要求2所述的腔室盖组件,其中所述插入件包含耦接盖体,所述耦接盖体具有适于耦接至所述壳体的顶部的截切部分,所述插入件还包含适于设置于所述环状歧管内部并充满所述环状歧管内部的垂直本体。
11.如权利要求10所述的腔室盖组件,其中所述插入件进一步包含一组或多组的多个孔,所述多个孔沿着水平平面穿过所述垂直本体而设置,以耦接各环状通道并在各环状通道与所述上部之间提供多重-孔入口。
12.如权利要求第10所述的腔室盖组件,其中所述通道的所述上部由所述垂直本体界定以包含圆柱形状。
13.如权利要求12所述的腔室盖组件,其中所述垂直本体进一步包含圆柱状的上部以及扩展的底部,所述扩展的底部设置于一组多个底部孔下方。
14.如权利要求1所述的腔室盖组件,其中各所述孔流通地耦接所述两个或更多个环状通道中的至少一个环状通道。
15.一种处理基板的方法,所述方法包含下列步骤:
将两种或更多种处理气体从一个或多个流体源流经腔室盖组件的多个流体传输管线;
将所述两种或更多种处理气体从所述流体传输管线流经两个或更多个环状通道,所述环状通道至少部分由所述腔室盖组件的壳体所界定,所述壳体具有内部区域;
将所述两种或更多种处理气体从所述两个或更多个环状通道流经设置于所述内部区域中的插入件,并进入所述腔室盖组件中的通道的上部,所述插入件界定了所述通道的所述上部;以及
将所述一种或多种处理气体流经所述通道并进入基板上方的反应区,所述基板设置于基板支撑件上。
CN201180010263.5A 2010-03-12 2011-03-08 具有多重注射道的原子层沉积腔室 Active CN102762767B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US31357310P 2010-03-12 2010-03-12
US61/313,573 2010-03-12
PCT/US2011/027599 WO2011112617A2 (en) 2010-03-12 2011-03-08 Atomic layer deposition chamber with multi inject

Publications (2)

Publication Number Publication Date
CN102762767A true CN102762767A (zh) 2012-10-31
CN102762767B CN102762767B (zh) 2015-11-25

Family

ID=44560249

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201180010263.5A Active CN102762767B (zh) 2010-03-12 2011-03-08 具有多重注射道的原子层沉积腔室

Country Status (8)

Country Link
US (1) US9175394B2 (zh)
EP (1) EP2545197B1 (zh)
JP (1) JP5889806B2 (zh)
KR (1) KR101810532B1 (zh)
CN (1) CN102762767B (zh)
SG (2) SG183536A1 (zh)
TW (1) TWI576460B (zh)
WO (1) WO2011112617A2 (zh)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104250728A (zh) * 2013-06-28 2014-12-31 朗姆研究公司 具有气封的化学沉积腔室
CN105321847A (zh) * 2014-07-31 2016-02-10 株式会社日立国际电气 衬底处理装置以及衬底的生产方法
CN107429393A (zh) * 2014-10-06 2017-12-01 应用材料公司 具有热盖的原子层沉积腔室
CN107532297A (zh) * 2015-04-22 2018-01-02 应用材料公司 具有漏斗状气体分散通道及气体分配板的原子层沉积腔室
CN107546152A (zh) * 2016-06-24 2018-01-05 东京毅力科创株式会社 气体处理装置、气体处理方法和存储介质
CN107699865A (zh) * 2017-11-10 2018-02-16 西安鑫垚陶瓷复合材料有限公司 一种气相沉积炉用均匀进气的装置
CN109306470A (zh) * 2018-09-29 2019-02-05 北京北方华创微电子装备有限公司 用于原子层沉积工艺的进气装置及原子层沉积装置
CN109478494A (zh) * 2016-06-03 2019-03-15 应用材料公司 通过扩散腔室内部的气流而得的较低粒子计数及较佳晶片品质的有效且新颖的设计
US10781516B2 (en) 2013-06-28 2020-09-22 Lam Research Corporation Chemical deposition chamber having gas seal

Families Citing this family (357)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
KR102104018B1 (ko) * 2013-03-12 2020-04-23 어플라이드 머티어리얼스, 인코포레이티드 방위각 및 방사상 분배 제어되는 다중-구역 가스 주입 조립체
CN104782234B (zh) 2013-03-15 2017-07-14 应用材料公司 具有高度对称四重式气体注入的等离子体反应器
KR102156795B1 (ko) * 2013-05-15 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 증착 장치
WO2015047832A1 (en) * 2013-09-26 2015-04-02 Veeco Ald Inc. Printing of colored pattern using atommic layer deposition
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
DE102014106523A1 (de) 2014-05-09 2015-11-12 Aixtron Se Vorrichtung und Verfahren zum Versorgen einer CVD- oder PVD-Beschichtungseinrichtung mit einem Prozessgasgemisch
US20150345019A1 (en) * 2014-05-30 2015-12-03 Applied Materials, Inc. Method and apparatus for improving gas flow in a substrate processing chamber
US10487399B2 (en) * 2014-06-26 2019-11-26 Applied Materials, Inc. Atomic layer deposition chamber with counter-flow multi inject
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10113232B2 (en) 2014-07-31 2018-10-30 Lam Research Corporation Azimuthal mixer
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9951421B2 (en) * 2014-12-10 2018-04-24 Lam Research Corporation Inlet for effective mixing and purging
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10954597B2 (en) * 2015-03-17 2021-03-23 Asm Ip Holding B.V. Atomic layer deposition apparatus
JP6487747B2 (ja) * 2015-03-26 2019-03-20 株式会社Screenホールディングス 基板処理装置と処理ガス供給ノズル
JP5961297B1 (ja) * 2015-03-26 2016-08-02 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
JP6545053B2 (ja) * 2015-03-30 2019-07-17 東京エレクトロン株式会社 処理装置および処理方法、ならびにガスクラスター発生装置および発生方法
WO2016158054A1 (ja) * 2015-03-30 2016-10-06 東京エレクトロン株式会社 処理装置および処理方法、ならびにガスクラスター発生装置および発生方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10208380B2 (en) * 2015-12-04 2019-02-19 Applied Materials, Inc. Advanced coating method and materials to prevent HDP-CVD chamber arcing
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
KR102553629B1 (ko) * 2016-06-17 2023-07-11 삼성전자주식회사 플라즈마 처리 장치
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
RU172394U1 (ru) * 2017-01-13 2017-07-06 Федеральное государственное автономное образовательное учреждение высшего образования "Национальный исследовательский университет "Московский институт электронной техники" Устройство для атомно-слоевого осаждения
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102535194B1 (ko) * 2018-04-03 2023-05-22 주성엔지니어링(주) 기판처리장치
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11261522B2 (en) * 2018-10-18 2022-03-01 Diamond Foundry Inc. Axisymmetric material deposition from plasma assisted by angled gas flow
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
JP2022515081A (ja) * 2018-12-20 2022-02-17 アプライド マテリアルズ インコーポレイテッド 処理チャンバの処理空間に改善されたガス流を供給するための方法および装置
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
WO2020243288A1 (en) 2019-05-28 2020-12-03 Applied Materials, Inc. Thermal process chamber lid with backside pumping
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
CN110904438A (zh) * 2019-12-04 2020-03-24 沈阳拓荆科技有限公司 用于多种化学源之气体分配装置
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11447866B2 (en) 2020-06-17 2022-09-20 Applied Materials, Inc. High temperature chemical vapor deposition lid
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115613009A (zh) * 2022-11-03 2023-01-17 江苏微导纳米科技股份有限公司 原子层沉积设备

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5846330A (en) * 1997-06-26 1998-12-08 Celestech, Inc. Gas injection disc assembly for CVD applications
US20070051312A1 (en) * 2003-08-07 2007-03-08 Ofer Sneh Perimeter partition-valve with protected seals and associated small size process chambers and multiple chamber systems
US20070151514A1 (en) * 2002-11-14 2007-07-05 Ling Chen Apparatus and method for hybrid chemical processing
US20080107809A1 (en) * 2001-10-26 2008-05-08 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3880112A (en) * 1971-10-20 1975-04-29 Commissariat Energie Atomique Device for the preparation of thin films
US4949671A (en) * 1985-10-24 1990-08-21 Texas Instruments Incorporated Processing apparatus and method
US5198034A (en) * 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
JP2618443B2 (ja) * 1988-06-16 1997-06-11 古河電気工業株式会社 気相成長装置
JP2934565B2 (ja) * 1993-05-21 1999-08-16 三菱電機株式会社 半導体製造装置及び半導体製造方法
TW295677B (zh) * 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
TW356554B (en) * 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
US6786998B1 (en) * 1995-12-29 2004-09-07 Cypress Semiconductor Corporation Wafer temperature control apparatus and method
US6092486A (en) * 1996-05-27 2000-07-25 Sumimoto Metal Indsutries, Ltd. Plasma processing apparatus and plasma processing method
JP3050124B2 (ja) * 1996-05-27 2000-06-12 住友金属工業株式会社 プラズマ処理装置
US5885358A (en) * 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US6051286A (en) * 1997-02-12 2000-04-18 Applied Materials, Inc. High temperature, high deposition rate process and apparatus for depositing titanium layers
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6068703A (en) * 1997-07-11 2000-05-30 Applied Materials, Inc. Gas mixing apparatus and method
US6090206A (en) * 1997-10-20 2000-07-18 Applied Materials, Inc. Throttle valve providing enhanced cleaning
US6063441A (en) * 1997-12-02 2000-05-16 Applied Materials, Inc. Processing chamber and method for confining plasma
US6210485B1 (en) * 1998-07-21 2001-04-03 Applied Materials, Inc. Chemical vapor deposition vaporizer
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6245149B1 (en) * 1999-07-01 2001-06-12 Applied Materials, Inc. Inert barrier for high purity epitaxial deposition systems
US6303501B1 (en) * 2000-04-17 2001-10-16 Applied Materials, Inc. Gas mixing apparatus and method
US6387207B1 (en) * 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US20030019428A1 (en) * 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
TW563176B (en) * 2001-10-26 2003-11-21 Applied Materials Inc Gas delivery apparatus for atomic layer deposition
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
TWI344525B (en) * 2003-01-17 2011-07-01 Applied Materials Inc Combination manual/pneumatics valve for fluid control assembly
US7408225B2 (en) * 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
US7279049B2 (en) * 2004-02-05 2007-10-09 Applied Materials, Inc. Apparatus for reducing entrapment of foreign matter along a moveable shaft of a substrate support
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060137608A1 (en) * 2004-12-28 2006-06-29 Choi Seung W Atomic layer deposition apparatus
WO2007142690A2 (en) * 2005-11-04 2007-12-13 Applied Materials, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
CN102586761B (zh) * 2006-10-24 2014-10-15 应用材料公司 用于原子层沉积的涡流室盖
US8821637B2 (en) * 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
JP4985183B2 (ja) * 2007-07-26 2012-07-25 東京エレクトロン株式会社 基板処理装置及び基板処理方法並びに記憶媒体
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8187381B2 (en) * 2008-08-22 2012-05-29 Applied Materials, Inc. Process gas delivery for semiconductor process chamber

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5846330A (en) * 1997-06-26 1998-12-08 Celestech, Inc. Gas injection disc assembly for CVD applications
US20080107809A1 (en) * 2001-10-26 2008-05-08 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US20070151514A1 (en) * 2002-11-14 2007-07-05 Ling Chen Apparatus and method for hybrid chemical processing
US20070051312A1 (en) * 2003-08-07 2007-03-08 Ofer Sneh Perimeter partition-valve with protected seals and associated small size process chambers and multiple chamber systems

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10781516B2 (en) 2013-06-28 2020-09-22 Lam Research Corporation Chemical deposition chamber having gas seal
CN104250728A (zh) * 2013-06-28 2014-12-31 朗姆研究公司 具有气封的化学沉积腔室
CN104250728B (zh) * 2013-06-28 2020-10-02 朗姆研究公司 具有气封的化学沉积腔室
CN105321847A (zh) * 2014-07-31 2016-02-10 株式会社日立国际电气 衬底处理装置以及衬底的生产方法
CN105321847B (zh) * 2014-07-31 2018-10-26 株式会社日立国际电气 衬底处理装置以及衬底的生产方法
CN107429393A (zh) * 2014-10-06 2017-12-01 应用材料公司 具有热盖的原子层沉积腔室
CN107429393B (zh) * 2014-10-06 2020-07-03 应用材料公司 腔室盖组件及清洁处理腔室的方法
CN107532297A (zh) * 2015-04-22 2018-01-02 应用材料公司 具有漏斗状气体分散通道及气体分配板的原子层沉积腔室
CN112877675B (zh) * 2015-04-22 2024-03-08 应用材料公司 具有漏斗状气体分散通道及气体分配板的原子层沉积腔室
CN112877675A (zh) * 2015-04-22 2021-06-01 应用材料公司 具有漏斗状气体分散通道及气体分配板的原子层沉积腔室
CN107532297B (zh) * 2015-04-22 2021-02-02 应用材料公司 具有漏斗状气体分散通道及气体分配板的原子层沉积腔室
CN109478494A (zh) * 2016-06-03 2019-03-15 应用材料公司 通过扩散腔室内部的气流而得的较低粒子计数及较佳晶片品质的有效且新颖的设计
CN107546152B (zh) * 2016-06-24 2020-07-24 东京毅力科创株式会社 气体处理装置、气体处理方法和存储介质
CN107546152A (zh) * 2016-06-24 2018-01-05 东京毅力科创株式会社 气体处理装置、气体处理方法和存储介质
CN107699865A (zh) * 2017-11-10 2018-02-16 西安鑫垚陶瓷复合材料有限公司 一种气相沉积炉用均匀进气的装置
CN107699865B (zh) * 2017-11-10 2024-04-19 西安鑫垚陶瓷复合材料股份有限公司 一种气相沉积炉用均匀进气的装置
CN109306470A (zh) * 2018-09-29 2019-02-05 北京北方华创微电子装备有限公司 用于原子层沉积工艺的进气装置及原子层沉积装置

Also Published As

Publication number Publication date
JP5889806B2 (ja) 2016-03-22
SG10201501824XA (en) 2015-05-28
SG183536A1 (en) 2012-09-27
TW201202467A (en) 2012-01-16
US9175394B2 (en) 2015-11-03
EP2545197A2 (en) 2013-01-16
EP2545197A4 (en) 2014-01-01
CN102762767B (zh) 2015-11-25
WO2011112617A3 (en) 2011-11-24
TWI576460B (zh) 2017-04-01
JP2013522463A (ja) 2013-06-13
KR20130030745A (ko) 2013-03-27
US20110223334A1 (en) 2011-09-15
WO2011112617A2 (en) 2011-09-15
KR101810532B1 (ko) 2017-12-19
EP2545197B1 (en) 2020-12-16

Similar Documents

Publication Publication Date Title
CN102762767A (zh) 具有多重注射道的原子层沉积腔室
US10982326B2 (en) Counter-flow multi inject for atomic layer deposition chamber
KR102661401B1 (ko) 열 덮개를 구비한 원자 층 증착 챔버
US20200149166A1 (en) Flow control features of cvd chambers
TWI689615B (zh) 供應製程氣體及處理半導體晶圓的設備
US20130306758A1 (en) Precursor distribution features for improved deposition uniformity
TWI589724B (zh) 熱絲化學氣相沉積腔室之噴頭設計
TWI398547B (zh) 基於蒸氣之組合式處理
CN100537843C (zh) 微特征工件处理装置和用于在微特征工件上批量沉积材料的方法
CN105624646B (zh) 借助可再入流路径的阀歧管盲管消除
WO2014083400A1 (en) Deposition systems having interchangeable gas injectors and related methods
WO2024078175A1 (zh) 一种气体分配件、气体输送装置及其薄膜处理装置
US20230124246A1 (en) Manifold for equal splitting and common divert architecture
TW200527511A (en) Chemical vapor deposition apparatus and film deposition method
US11222771B2 (en) Chemical control features in wafer process equipment
US20240043999A1 (en) Single process gas feed line architecture
JPH05251374A (ja) バッチ式拡散・cvd装置、それに用いる廃ガス導出部材、及び排気方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant