JP5889806B2 - 複式噴射を伴う原子層堆積チャンバ - Google Patents

複式噴射を伴う原子層堆積チャンバ Download PDF

Info

Publication number
JP5889806B2
JP5889806B2 JP2012557180A JP2012557180A JP5889806B2 JP 5889806 B2 JP5889806 B2 JP 5889806B2 JP 2012557180 A JP2012557180 A JP 2012557180A JP 2012557180 A JP2012557180 A JP 2012557180A JP 5889806 B2 JP5889806 B2 JP 5889806B2
Authority
JP
Japan
Prior art keywords
gas
lid assembly
chamber lid
annular
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2012557180A
Other languages
English (en)
Other versions
JP2013522463A (ja
Inventor
ジョゼフ ユドフスキー,
ジョゼフ ユドフスキー,
アン, エヌ. グエン,
アン, エヌ. グエン,
タイ, ティー. ンゴ,
タイ, ティー. ンゴ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2013522463A publication Critical patent/JP2013522463A/ja
Application granted granted Critical
Publication of JP5889806B2 publication Critical patent/JP5889806B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45504Laminar flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]

Description

本発明の実施形態は、概して原子層を堆積するための装置および方法に関する。詳細には、本発明の実施形態は、原子層を堆積するための改良されたガス供給システムおよびガス供給方法に関する。
サブミクロン以下の特徴の高信頼度製造は、半導体デバイスの次世代の超大規模集積(VLSI)および超々大規模集積(ULSI)にとって従来な技術の1つである。しかし、回路技術の限界を突き詰めるにしたがって、VLSI技術およびULSI技術における配線部の寸法縮小は、処理能力に対してさらなる課題を課すこととなった。この技術の中核に位置する多層配線部は、ビアおよび他の配線部などの高アスペクト比の特徴の正確な処理を要求する。これらの配線部の高信頼度形成は、VLSIおよびULSIの成功にとって、ならびに個々の基板の回路密度および品質を高めるための継続的努力にとって、非常に重要となる。
回路密度が上昇するにつれて、ビア、トレンチ、コンタクト、および他の特徴などの配線部、ならびにそれらの間に位置する誘電体材料の幅は、45nmおよび32nmの寸法にまで縮小されるが、誘電体層の厚さは、実質的に一定に留まるため、その結果として特徴のアスペクト比が上昇する。多くの従来の堆積プロセスにおいては、4:1のアスペクト比を超える、特に10:1のアスペクト比を超えるサブミクロン構造の要求を満たすことが困難である。したがって、実質的にボイドおよびシームを含まない高アスペクト比のサブミクロン特徴を形成するために、多大なる努力が続けられている。
原子層堆積(ALD)は、高アスペクト比の特徴の上に材料層を堆積することを目的として研究されつつある堆積技術である。ALDプロセスの一実施例には、ガスのパルス送出の連続導入が含まれる。例えば、ガスのパルス送出の連続導入の1サイクルには、第1の反応ガスのパルス送出、その後のパージガスのパルス送出および/またはポンプ排出、その後の第2の反応ガスのパルス送出、ならびにその後のパージガスのパルス送出および/またはポンプ排出が含まれる場合がある。本明細書においては、「ガス」という用語は、単一のガスまたは複数のガスを含むものとして定義される。第1の反応物および第2の反応物のそれぞれ別個のパルス送出を連続導入することにより、基板の表面上においてこれらの反応物からなる単層の自己限定的な吸収が交互に生じ、したがってサイクルごとに材料の単層が形成される。このサイクルは、堆積される材料が所望の厚さになるまで繰り返され得る。パージガスのパルス送出および/または第1の反応ガスのパルス送出と第2の反応ガスのパルス送出との間におけるポンプ排出は、過剰量の反応物がチャンバ内に残留することによりこれらの反応物が気相反応する可能性を低下させる役割を果たす。
層形成には、第1の反応物からなる単層および第2の反応物からなる単層が必要であるため、ALD処理用のいくつかのチャンバ設計においては、従来のALDチャンバ噴射プロセスによるガス流により、均一な環状ガス流が得られず、そのため基板表面上に薄いスポットが局在する結果となることが判明している。
したがって、ALDプロセスの際により均一なガス流によって膜を堆積するために用いられる装置および方法が必要である。
本発明の実施形態は、原子層堆積(ALD)プロセスの際に基板上に材料を均一に堆積させるための装置および方法に関する。一実施形態においては、チャンバリッドアセンブリが、上部および下部を有し、中心軸に沿って延びるチャネルと、内方領域を有し、2つ以上の環状チャネルを少なくとも部分的に画定するハウジングと、内方領域内に設置され、上部を画定するインサートであって、この上部が2つ以上の環状チャネルと流体結合されるインサートと、チャネルの底部からこのチャンバリッドアセンブリの外周部分まで延びるテーパ状下部表面とを備える。
一実施形態においては、基板を処理するための方法が、1つまたは複数の流体源からチャンバリッドアセンブリの流体供給ラインを経由して2つ以上のプロセスガスを流すステップと、流体供給ラインから、チャンバリッドアセンブリのハウジングにより少なくとも部分的に画定されたこれらの2つ以上の環状チャネルを経由して2つ以上のプロセスガスを流すステップであって、ハウジングが内方領域を有する、ステップと、2つ以上の環状チャネルから、内方領域内に設置されたインサートを通過し、チャンバリッドアセンブリ内のチャネルの上部内へとこれらの2つ以上のプロセスガスを流すステップであって、インサートは、チャネルの上部を画定する、ステップと、チャネルを通り、基板支持体の上に配置された基板の上方の反応ゾーン内にこの1つまたは複数のプロセスガスを流すステップとを含む。
本発明の上記特徴を達成し詳細に理解することが可能となるように、上記で簡潔に要約した本発明のさらに具体的な説明を、添付の図面に図示されるそれらの実施形態を参照として行う。
しかし、添付図面は、本発明の典型的な実施形態を示すものに過ぎず、したがって本発明の範囲を限定するものではない。なぜならば、本発明は、他の同様に有効な実施形態を許容し得るからである。
本明細書の一実施形態において説明されるような、原子層堆積を行うリッドアセンブリおよびガス供給装置を備えるプロセスチャンバの概略断面図である。 本明細書の一実施形態において説明されるような、原子層堆積を行うリッドアセンブリおよびガス供給装置の概略断面図である。 本明細書の一実施形態において説明されるような、原子層堆積を行うリッドアセンブリの頂部およびガス供給装置の斜視図である。 本明細書の一実施形態において説明されるような、原子層堆積を行うリッドアセンブリおよびガス供給装置の概略断面図である。 本明細書の一実施形態において説明されるような、原子層堆積を行うリッドアセンブリ用のハウジングおよびガス供給装置の一実施形態の斜視図である。 図2Aのリッドアセンブリ用のハウジングおよびガス供給装置の一実施形態の上面図である。 図2Aのリッドアセンブリおよびガス供給装置の一実施形態の概略断面図である。 図2Aのリッドアセンブリおよびガス供給装置の一実施形態の概略断面図である。 図1Aのリッドアセンブリおよびガス供給装置の一実施形態の斜視断面図である。 リッドアセンブリおよびガス供給装置の一実施形態の概略断面図である。 図2Fのリッドアセンブリおよびガス供給装置の一実施形態の斜視断面図である。 本明細書の一実施形態において説明されるような、原子層堆積を行うリッドアセンブリ用のインサートおよびガス供給装置の一実施形態の斜視図である。 図3Aにおけるリッドアセンブリおよびガス供給装置の概略断面図である。 図3Bにおけるリッドアセンブリおよびガス供給装置の概略断面図である。
本発明の実施形態は、原子層堆積(ALD)プロセスの際に材料を堆積させるために利用し得る装置および方法を提供する。実施形態は、ALDプロセスチャンバと、複式射出リッドアセンブリを含むガス供給システムとを備える。他の実施形態は、ALDプロセスの際にこれらのガス供給システムを使用して材料を堆積するための方法を提供する。本明細書において説明する装置を組み込むのに適した処理チャンバの例には、米国カリフォルニア州Santa Clara在のApplied Materials,Inc.社より市販されている高誘電率を有し金属製のALD堆積チャンバが含まれる。プロセスチャンバに関する以下の説明は、あるコンテクストに関連しておよび例示を目的として提示されるものであり、本発明の範囲を限定するものとして解釈されるまたは見なされるべきではない。
図1A〜図1Cは、ALDプロセス向けに適合化されたガス供給システム130を含むプロセスチャンバ100の一実施形態の概略図である。図1Dは、ガス供給システム130の別の実施形態を含むプロセスチャンバ100の概略図である。プロセスチャンバ100は、側壁部104および底部106を有するチャンバ本体102を備える。プロセスチャンバ100中のスリットバルブ108は、ロボット(図示せず)が200mmもしくは300mmの半導体ウエハまたはガラス基板などの基板110をプロセスチャンバ100に供給しプロセスチャンバ100から引き戻すためのアクセスを提供する。
基板支持体112は、プロセスチャンバ100内において基板受け表面111の上に基板110を支持する。基板支持体112は、基板支持体112およびその上に配置された基板110を上下させるためのリフトモータ114に取り付けられる。リフトモータ118に連結されたリフトプレート116は、プロセスチャンバ100内に取り付けられ、基板支持体112を貫通して可動的に設置されたリフトピン120を上下させる。リフトピン120は、基板支持体112の表面上の基板110を上下させる。基板支持体112は、堆積プロセスの際に基板支持体112に基板110を固定するために、真空チャック(図示せず)、静電チャック(図示せず)、またはクランプリング(図示せず)を備えてもよい。
基板支持体112の温度は、その上に配置される基板110の温度を制御するために調節することができる。例えば、基板支持体112は、抵抗ヒータ(図示せず)などの埋設された加熱素子を使用して加熱されてもよく、または基板支持体112の上方に設置された加熱ランプ(図示せず)などの放射熱を利用して加熱されてもよい。パージリング122が、基板110の外周部分上への堆積を防止するために、基板110の外周部分にパージガスを供給するパージチャネル124を画定するように基板支持体112の上に設置されてもよい。
ガス供給システム130は、プロセスチャンバ100にプロセスガスおよび/またはパージガスなどのガスを供給するために、チャンバ本体102の上部に設置される。図1A〜図1Dは、少なくとも2つのガス源または化学前駆体に基板110をさらすように構成されたガス供給システム130を図示する。図1Bは、図1Aの線1Bに沿った断面図である。真空システム178が、ポンプチャネル179と連通状態にあり、それにより、プロセスチャンバ100から任意の所望のガスを排気させ、プロセスチャンバ100のポンプゾーン166の内部において所望の圧力または所望の圧力範囲を維持するのを助ける。
一実施形態においては、ガス供給システム130は、チャンバリッドアセンブリ132の中心部分を貫通して延びるガス分散チャネル134を有するチャンバリッドアセンブリ132を含む。ガス分散チャネル134は、基板受け表面111の方向に垂直方向に延在し、またガス分散チャネル134の中心軸133に沿ってリッドプレート170を貫通して下方表面160まで延びる。一実施例においては、ガス分散チャネル134の一部分が、上部350内においては中心軸133に沿って実質的に円筒状であり、ガス分散チャネル134の一部分が、ガス分散チャネル134の下部135内においては中心軸133から離れるようにテーパ状である。さらに、ガス分散チャネル134は、下方表面160を越えて反応ゾーン164内まで延びる。下方表面160は、ガス分散チャネル134の下部135からチョーク162まで延びる。下方表面160は、基板支持体112の基板受け表面111の上に配置された基板110を実質的に覆うようにサイズ設定および形状設定される。
処理ガスは、分散チャネルを通過する際にガス分散チャネル134の中心軸133を中心として回転される。分散チャネルを通過するプロセスガス流を示す円形ガス流174は、渦パターン、蔓巻パターン、螺旋パターン、またはそれらの派生パターンなど、様々なタイプの流れパターンを含んでもよい。円形ガス流174は、ガス分散チャネル134の中心軸133を中心とした、少なくとも約1回転に、好ましくは少なくとも約1.5回転に、より好ましくは少なくとも約2回転に、より好ましくは少なくとも約3回転に、より好ましくは約4回転以上に及ぶものであってもよい。
上部350を含むガス分散チャネル134は、一体的におよび/または別個に設けられてもよい2つの同様の対のバルブ142A/152A、142B/152Bからのガス流を供給するためのガス入口340、345を有する。一構成においては、バルブ142Aおよびバルブ142Bは、別個の反応ガス源に結合されるが、好ましくは同一のパージガス源に結合される。例えば、バルブ142Aは、反応ガス源138に結合され、バルブ142Bは、反応ガス源139に結合され、両バルブ142A、142Bが、パージガス源140に結合される。各バルブ142A、142Bは、バルブシートアセンブリ144A、144Bを有する供給ライン143A、143Bを備え、各バルブ152A、152Bは、バルブシートアセンブリ146A、146Bを有するパージライン145A、145Bを備える。供給ライン143A、143Bは、反応ガス源138および139と流体連通状態にあり、ガス分散チャネル134のガス入口340、350と流体連通状態にある。供給ライン143A、143Bのバルブシートアセンブリ144A、144Bは、反応ガス源138および139からのおよびガス分散チャネル134への反応ガスの流れを制御する。パージライン145A、145Bは、パージガス源140と連通状態にあり、供給ライン143A、143Bのバルブシートアセンブリ144A、144Bの下流にて供給ライン143A、143Bと交差する。パージライン145A、145Bのバルブシートアセンブリ146A、146Bは、パージガス源140からガス分散チャネル134へのパージガスの流れを制御する。キャリアガスが、反応ガス源138および139から反応ガスを供給するために使用される場合には、好ましくは、このキャリアガスは、キャリアガスおよびパージガスとして使用される(例えばアルゴンガスがキャリアガスおよびパージガスとして使用される)。
各バルブシートアセンブリ144A、144B、146A、146Bは、膜板(図示せず)およびバルブシート(図示せず)を備えてもよい。膜板は、それぞれ開状態または閉状態に付勢され得るものであり、閉状態または開状態に作動され得るものである。膜板は、空気圧により作動されてもよく、または電気的に作動されてもよい。空気圧作動バルブには、Fujikin,Inc.社およびVeriflo Division,Parker Hannifin Corp社より市販されている空気圧作動バルブが含まれる。電気作動バルブには、Fujikin,Inc.社より市販されている電気作動バルブが含まれる。例えば、使用することのできるALDバルブは、Fujikin社のModel No. FPR−UDDFAT−21−6.35−PI−ASN、またはFujikin社のModel No. FPR−NHDT−21−6.35−PA−AYTである。プログラマブル論理コントローラ148A、148Bは、バルブ142A、142Bのバルブシートアセンブリ144A、144B、146A、146Bの膜板の作動を制御するために、バルブ142A、142Bに結合されてもよい。空気圧作動バルブは、約0.020秒の狭い時間間隔でガスのパルス送出を行うことができる。電気作動バルブは、約0.005秒の狭い時間間隔でガスのパルス送出を行うことができる。典型的には、電気作動バルブは、バルブとプログラマブル論理コントローラとの間に結合されたドライバの使用を必要とする。
各バルブ142A、142Bは、バルブシートアセンブリ144A、144Bが閉じられた場合に供給ライン143A、143Bからの反応ガスのフラッシングを可能にするために、デッドボリュームの無いバルブであってもよい。例えば、パージライン145A、145Bは、供給ライン143A、143Bのバルブシートアセンブリ144A、144Bに隣接して位置決めされてもよい。バルブシートアセンブリ144A、144Bが、閉じられた場合に、パージライン145A、145Bは、パージガスを供給して、供給ライン143A、143Bをフラッシングしてもよい。一実施形態においては、パージライン145A、145Bは、バルブシートアセンブリ144A、144Bが開いている場合に、パージガスがバルブシートアセンブリ144A、144B内に直に供給されることがないように、送給ライン143A、143Bのバルブシートアセンブリ144A、144Bから若干離間されて位置決めされる。本明細書においては、デッドボリュームの無いバルブは、無視し得る程度のデッドボリュームを有する(すなわちデッドボリュームが必ずしもゼロではない)バルブとして定義される。
各バルブ対142A/152A、142B/152Bは、反応ガスおよびパージガスの混合ガス流および/または個別ガス流を供給するように適合化されてもよい。バルブ対142A/152Aを参照すると、反応ガスおよびパージガスの混合ガス流の一実施例は、パージガス源140からパージライン145Aを経由するパージガスの連続流と、反応ガス源138から供給ライン143Aを経由する反応ガスのパルス送出とを含む。パージガスの連続流は、パージライン145Aのバルブシートアセンブリ146Aの膜板を開状態のままにすることによって供給され得る。反応ガス源138からの反応ガスのパルス送出は、供給ライン143Aのバルブシートアセンブリ144Aの膜板を開閉することによって実現され得る。バルブ対142A/152Aを参照すると、反応ガスおよびパージガスの個別ガス流の一実施例は、パージガス源140からパージライン145Aを経由するパージガスのパルス送出と、反応ガス源138から供給ライン143Aを経由する反応ガスのパルス送出とを含む。パージガスのパルス送出は、パージライン145Aのバルブシートアセンブリ146Aの膜板を開閉することにより実現され得る。反応ガス源138からの反応ガスのパルス送出は、供給ライン143Aのバルブシートアセンブリ144Aの膜板を開閉することによって実現され得る。
バルブ142A、142Bの供給ライン143A、143Bは、流体供給ライン210、220および環状チャネル260、265を介してガス入口340、345に結合されてもよい。流体供給ライン210、220は、バルブ142A、142Bと一体化されてもよく、またはバルブ142A、142Bとは別個であってもよく、それにより1つまたは複数の流体源に連結されてもよい。一態様においては、バルブ142A、142Bは、供給ライン143A、143B、ならびにバルブ142A、142Bとガス入口340、345との間の流体供給ライン210、220の不要な体積を削減するために、ガス分散チャネル134に近接して結合される。
理論に縛られることを望むものではないが、ガス分散チャネル134の直径が、ガス分散チャネル134の上部350から中心軸133に沿ったある点までは一定であり、この点からガス分散チャネル134の下部135までは増大することにより、ガス分散チャネル134を通過するガスの断熱膨張がより低下することが可能となり、これが、円形ガス流174中に含まれるプロセスガスの温度制御を助けることが考えられる。例えば、ガス分散チャネル134内に供給されたガスの急激な断熱膨張により、ガスの温度が低下する場合があり、これは、ガスの凝結および液滴の形成を引き起こす場合がある。他方において、徐々にテーパ状になるガス分散チャネル134は、ガスの断熱膨張をより低下させることが考えられる。したがって、より多くの熱が、ガスにおよびガスから転移され得ることとなり、したがってガスの温度は、チャンバリッドアセンブリ132の温度を制御することによって、より容易に制御され得る。ガス分散チャネル134は、徐々にテーパ状となり、テーパ状直線表面、凹状表面、凸状表面、もしくはそれらの組合せなどの1つまたは複数のテーパ状内表面を有してもよく、または、1つまたは複数のテーパ状内表面のセクション(すなわちテーパ状の部分および非テーパ状の部分)を含んでもよい。
図1Dは、図1Bに示すプロセスチャンバ100と同様の図であり、同様の特徴および構成要素を備え得る。図1Dは、先述と同様のバルブ対からガス流を供給するために分散チャネル134のガス入口340、345、370、375と結合された4つの流体供給ライン210、215、220、225を備えるガス供給システム130の一実施形態を図示する。この実施形態においては、上部350を備えるガス分散チャネル134は、一体的におよび/または別個に設けられてもよいバルブ対からガス流を供給するために4セットのガス入口340、345、370、375を有する。バルブの供給ラインは、流体供給ライン210、215、220、225および環状チャネル260、265、270、275を介してガス入口340、345、370、375と結合されてもよい。
チャンバリッドアセンブリは、チャンバリッドアセンブリの一部分にて中心軸に沿って延在し拡張するチャネル134を備える。チャネル134の上部350は、ハウジング200内に設置されたインサート300により画定される。キャップ400が、ハウジング200およびインサート300の上に設置されてもよい。
ハウジング200は、ベース207の上に設置された環状マニホルド205を備えてもよい。図2A〜図2Gに示すような実施形態においては、環状マニホルド205は、内方領域290を画定し、内方領域290の周囲に設置された2つ以上の環状チャネルを少なくとも部分的に画定する。図2Cは、図2Aの線2Cに沿った断面図である。図2Dは、図2Cの線2Dに沿った断面図である。代替的な一実施形態においては、環状マニホルド205は、内方領域290を画定し、内方領域290の周囲に設置された環状チャネルを備える。図2Fは、3つの流体供給ラインおよび2つの環状チャネルを示す別の実施形態である。図2Gは、図2Fの線2Gに沿った断面図である。
この2つ以上の環状チャネルは、環状マニホルド205の中心軸133に沿って互いから垂直方向に離間された態様で設置される。環状チャネル260などの環状チャネルが、中に流体を流すチャネルを備え、内方領域290を部分的にまたは完全に囲む。この環状チャネルは、内方領域に対して内方領域の周囲に例えば270°〜360°など、最大で360°の流体連通をもたらすことができる。各環状チャネルは、環状マニホルド205と結合されたインサート300中に形成された開孔を通して流体を分散させるために、処理ガスなどの流体が流体源(例えばガス源)から内方領域まで供給されるのを可能にする。環状チャネルはそれぞれ、様々な断面形状および設計を有してもよい。例えば、環状チャネルは、円形、半円形、矩形、または楕円形の断面設計であってもよい。好ましくは、断面設計は、環状チャネルから環状チャネルに結合された開孔までの処理ガスなどの流体の効果的な流れをもたらすように適合化される。例えば、環状チャネルは、矩形断面の3つの側面を備えてもよく、第4の側面が、インサート300の垂直本体330であってもよい。この態様においては、3つの矩形断面側面とインサート300の垂直本体330からなる第4の側面とが共に、環状チャネルを画定する。
一実施形態においては、図2Dおよび図2Eに示すように、各環状チャネルは、環状チャネル260のように、内方領域290に全体に円周状に延びて、内方領域の360°にわたる流体連通をもたらす。代替的な一実施形態においては、環状チャネルの中の1つが、360°にわたる流体連通をもたらし、少なくとも第2の環状チャネルが、360°未満にわたる流体連通をもたらしてもよい。一実施形態においては、第1の環状チャネル260および第2の環状チャネル265が、内方領域290の周囲に設置される。
1つまたは複数のカートリッジヒータ240が、環状マニホルド205内に設置されてもよい。ハウジング200は、ステンレス鋼から作製されてもよい。キャップ400もまた、ステンレス鋼から作製されてもよい。
図1D、図2A、および図2Fに示すように、各環状チャネルは、流体供給ライン210、215、220、225などの各流体供給ラインと結合される。あるいは、各環状チャネルは、図2Fおよび図2Gに示すように、2つ以上の流体供給ラインと結合されてもよく、これにより、混合ガスまたは一方のガスが、環状チャネルを通り流れるのが可能となり得る。流体供給ライン210、215、および220は、環状チャネル260、265と結合される。図2Gは、環状チャネル265とそれぞれ結合された流体供給ライン210および215を示す。
各流体ラインは、ガス源などの流体源と結合される。あるいは、各流体ラインは、2つ以上のガス源と結合されてもよく、これにより、混合ガスまたは一方のガスが、環状チャネルを通り流れるのが可能となり得る。複数の環状チャネルを使用することにより、酸化ハフニウム堆積プロセスのための塩化ハフニウムおよび水など、種々の前駆体の供給が可能となり、および/または、異なる濃度を有する同一の前駆体の供給が可能となり得る。さらに、プレナムが、混合前駆体を含む種々の前駆体を供給してもよく、またはこれらの前駆体の交互の供給を行ってもよい。
また、パージライン250などの少なくとも1つのパージラインが、環状マニホルド205中に形成されてもよい。パージラインは、環状マニホルド205の垂直部分中に引かれる。パージラインは、一連の環状チャネルの上方および下方にて内方領域290と接触するように設置された1つまたは複数の間隙パージライン280と結合された水平方向ガス輸送ラインを備える。各間隙パージライン280は、内方領域において、内方領域に隣接して設置された環状マニホルド205の表面に形成された、円周方向に形成された環状チャネル245、255などの延在環状チャネルを有してもよい。また、パージライン250は、環状マニホルド205内に設置された垂直方向に設置されたライン230と結合される。また、1つまたは複数のガスパージラインにより、インサート300と、隣接するガス分散チャネル134を形成するリッドキャップ172の材料との間の材料交差部380までの、インサート300の垂直本体330に沿ったパージガスの流れが可能となる。さらに、リッドキャップ172の基礎材料およびリッドプレートのアセンブリが、隣接するガス分散チャネル134を形成し、パージガスは、処理ガスが、ハウジングとインサートとの間に設置されたOリング385などの任意の構造的シール材料と反応するのを防止する。
パージライン250は、処理チャンバに関連して先に説明したようなパージガス源の中の1つに連結されてもよく、パージガスは、窒素または希ガスなどの非反応ガスを含んでもよい。パージラインは、インサートと環状マニホルド205との間にパージガスを供給することにより、これらのエリア内の不要な処理ガスを除去する。これにより、パージガスは、金属ハロゲン化物前駆体などの反応性処理ガスにさらされた場合に経時的に劣化し得るOリング材料などの影響を受けやすい材料を、処理ガスから保護する。
図3A〜図3Cに示すように、インサート300が内方領域290内に設置されて、チャネル134の上部350を画定する。インサートは、ハウジング200の頂部に結合される切頭部分320を有する結合リッド310と、環状マニホルド205の内部に設置され環状マニホルド205の内部と同一平面となる垂直本体330とを備える。この本体330は、上部350を画定する。上部は、円筒形状または実質的に円筒形状を有してもよい。一実施例においては、図3Bに示すように、上部350は、円筒状上部351および拡張底部352を備え、拡張底部352は、複数の開孔346の下部セットの下方に設置される。
1つまたは複数のガス入口340、345は、インサート300の垂直本体中に設置されてもよい。入口340、345は、垂直本体330の一部分に水平面に沿って複数の開孔341、346を備えてもよく、これにより、複数開孔入口340、345を形成してもよい。各水平面に沿った開孔341、346の個数は、例えば図3A〜図3Cに示すような6つの開孔など、2〜10個の開孔であってもよい。複数の開孔の1つまたは複数のセットが、インサート300に沿って形成されてもよい。開孔341、346は、垂直本体330の水平面の周囲に互いから等距離に設置されてもよい。あるいは、開孔341、346は、上部350内へのガス流の所望の特徴を実現するように離間および/またはグループ化されてもよい。垂直本体330の水平面の周囲に互いから等距離に設置された開孔が、上部350と組み合わされることにより、均一化溝が形成され、これにより、同一または実質的に同一の圧力および各開孔341、346を通過するガス流量によって、基板表面により均一なプロセスガス流を供給することが可能となることが考えられる。
開孔341、346は、中心軸133またはガス分散チャネル134に対してほぼ接線方向となるなど、中心軸133に対して任意の角度で、および垂直本体330を貫通して設置されてもよい。開孔341、346は、ラジアル方向および垂直方向に様々な角度で配向されてもよい。開孔341、346は、好ましくは、水平および/または垂直に0°〜90°の間で角度づけられて、所望の流れ特徴を実現する。一実施形態においては、開孔341および346は、約0°〜約90°の範囲内、好ましくは約0°〜約60°の範囲内、好ましくは約0°〜約45°の範囲内、より好ましくは約0°〜約20°の範囲内などの、上部350に対して接線方向となる角度で位置決めされる。
開孔341、346は、環状マニホルド205の2つ以上の環状チャネル260、265と流体結合されるように設置される。複数の開孔の複数のセットが、環状マニホルド205内に形成された環状チャネルに対応する各入口と共に使用されてもよい。これらの開孔は、例えば矩形形状、円筒状チューブ、または涙滴形状などの任意の断面形状のものであってもよい。環状チャネルと複数の開孔を有する入口との組合せにより、基板表面により均一なプロセスガス流が供給されることが考えられる。
インサート300は、金属ハロゲン化物前駆体ガスなどの処理ガスと反応しない材料から作製されてもよい。かかる材料の1つは、石英である。図面に示される構成においては、石英インサートが、高い材料適合性を有することが観察され、すなわち、石英インサートは、ステンレス鋼またはアルミニウムなどの他の材料に比べて、ハロゲン化物前駆体および水などの他の処理ガスとの間における反応性がより低い。さらに、このインサート材料は、インサート300が接触し得るチャンバの他の構造的構成要素との間における適合性に関してもより高いものとなり得る。例えば、リッドキャップ172およびガス分散チャネル134を囲むリッドプレート170の複数部分は、典型的には石英から作製され、この石英により、石英インサート300は、良好な材料適合性を有することとなり、製造および組立に関して構造的適合性がより高いものとなる。
入口を形成する複数の開孔(複数の進入ポイント)を有する本明細書に記載のリッドキャップは、基板表面上へより均一な処理ガス流をもたらし、それにより、単一進入ポイント/単一進入入口の場合に比べて環状方向においてより均一な厚さを実現すると考えられる。本明細書に記載のリッドキャップ172アセンブリの複数の環状チャネルを用いることにより、単一進入ポイント/単一進入入口の場合に比べて、2インチリング分析、4インチリング分析、および基板エッジから3mmの位置において、厚さの均一性が改善されたより薄いウエハ内(WiW)厚さが実現され得ることが認められた。以前は、単一進入ポイント/単一進入入口の場合には、基板エッジから3mmの位置における基板部分は、望ましくない堆積厚さおよび均一性を伴う不調ゾーンとなることが認められた。さらに、本明細書に記載の複数の環状チャネルは、単一進入ポイント/単一進入入口の場合に比べて逆拡散リスクを低下させ、個別のラインを通過する処理ガスの個別の制御を可能にし、単一進入ポイント/単一進入入口の場合に比べて加熱された不活性ガスパージによりOリングが保護されることが認められている。さらに、複数の環状チャネルにより、腐食保護を向上させるPVCバルブの使用が可能となり、VCR継手の代わりに端面シールなどの簡易なハードウェア設計が可能となり、単一進入ポイント/単一進入入口の場合に必要な構成要素が不要となり、これにより、単一進入ポイント/単一進入入口の場合に比べて保守性が高められ得る。
図1A〜図1Bは、本明細書の実施形態において説明されるようなガス分散チャネル134へとガスが移動する経路を図示する。プロセスガスは、流体供給ライン210および220からガス環状チャネル260および265内に進み、ガス入口340、345を通り、上部350内に進み、ガス分散チャネル134を通り供給される。図2D、図3B、および図3Cは、プロセスガスまたは前駆体ガスが移動する、すなわち流体供給ライン210からガス環状チャネル265内に進み、入口340を通り、上部350内に進むための経路を図示する。第2の経路が、図1B、図2D、図3B、および図3Cに図示するように、流体供給ライン220からガス環状チャネル260内に進み、入口345を通り、上部350内にまで続く。
図1Bは、ガス分散チャネル134の上部350と、チャンバリッドアセンブリ132のガス分散チャネル134との断面図であり、これらの中を通過するガス流を概略的に示す。ガス分散チャネル134を通過する正確な流れパターンは不明であるが、円形ガス流174(図1B)が、渦流、蔓巻流、螺旋流、旋回流、回転流、捻れ流、コイル流、コークスクリュー流、カール流、ワールプール流、それらの派生流、またはそれらの組合せなどの円形流パターンで、入口340、345からガス分散チャネル134を通り移動し得ることが考えられる。図1Bに示すように、円形流は、基板110から隔離された区画内とは対照的に、「処理領域」内において実現され得る。一態様においては、円形ガス流174は、ガス分散チャネル134の内表面中における渦流パターンの一掃作用により、ガス分散チャネル134のより効率的なパージの確保を助け得る。
一実施形態においては、図1Bは、基板110の表面の点176aとガス分散チャネル134の円筒状上部351の点176bとの間の距離175を示す。距離175は、基板110の表面中の螺旋流が望ましくものとなり得ることから、円形ガス流174が消失して下方流となるのに十分な長さである。円形ガス流174は、チャンバリッドアセンブリ132の表面および基板110を効率的にパージする層流的な態様で進むことが考えられる。別の実施形態においては、中心軸133に沿って延びる距離175またはガス分散チャネル134は、約3インチ(76.2mm)〜約9インチ(229mm)の範囲内の、好ましくは約3.5インチ(88.9mm)〜約7インチ(178mm)の範囲内の、より好ましくは約4インチ(102mm)〜約6インチ(152mm)の範囲内の、例えば約5インチ(127mm)の長さを有する。
図1Aは、基板110の表面中(すなわち基板の中心から基板のエッジまで)におけるガス分散チャネル134からのガス流の速度プロファイルの改善を助けるために、チャンバリッドアセンブリ132の下方表面160の少なくとも一部分が、ガス分散チャネル134からチャンバリッドアセンブリ132の外周部分までテーパ状になされてもよいことを図示する。下方表面160は、直線表面、凹状表面、凸状表面、またはそれらの組合せなどの、1つまたは複数のテーパ状表面を備えてもよい。一実施形態においては、下方表面160は、漏斗形状へとテーパ状になされる。
一実施例において、下方表面160は、反応ガスに対する基板110の表面の均一な露出の実現を支援すると共に、チャンバリッドアセンブリ132の下方表面160と基板110との間を移動するプロセスガスの速度の変動を低減させるのを助けるために、下方に傾斜している。一実施形態においては、チャンバリッドアセンブリ132の下方に傾斜している下方表面160と基板110の表面との間における流れ断面の最小面積に対する流れ断面の最大面積の比は、約2未満であり、好ましくは約1.5未満であり、より好ましくは約1.3未満であり、より好ましくは約1である。
理論に縛られることを望むものではないが、ガス流が基板110の表面中においてより均一な速度で移動することは、基板110上におけるガスのより均一な堆積の実現を助けることが考えられる。ガスの速度は、ガスの濃度に正比例し、このガスの濃度は、ひいては基板110の表面上におけるガスの堆積速度に正比例すると考えられる。したがって、基板110の表面の第2のエリアに対する基板110の表面の第1のエリアにおけるガスの速度がより高いことにより、第1のエリア上におけるガスの堆積がより高まることが考えられる。下方に傾斜している下方表面160を有するチャンバリッドアセンブリ132は、下方表面160が、より均一な速度を実現し、したがって基板110の表面中におけるガスのより均一な濃度を実現することにより、基板110の表面中におけるガスのより均一な堆積をもたらすことが考えられる。
また、本発明の実施形態による基板を処理するために、様々な方法を利用してもよい。一実施形態においては、基板を処理する方法は、ガス源138、139などの1つまたは複数の流体源からチャンバリッドアセンブリ132の流体供給ライン210、220を経由して2つ以上のプロセスガスを流すことと、流体供給ライン210、220からチャンバリッドアセンブリ132のハウジング200により少なくとも部分的に画定された2つ以上の環状チャネル260、265を経由してこれらの2つ以上のプロセスガスを流すこととを含む。ハウジングは、内方領域290を有する。これらの2つ以上のプロセスガスは、2つ以上の環状チャネル260、265から内方領域290内に設置されたインサート300を通り、チャンバリッドアセンブリ132内のチャネル134の上部350内に流される。インサート300は、チャネル134の上部350を画定する。この1つまたは複数のプロセスガスは、チャネル134を通り、基板支持体112の上に配置された基板110の上方の反応ゾーン164内に流される。
図1Aは、基板110の外周部に隣接するチャンバリッドアセンブリ132の外周部分に位置するチョーク162を図示する。チョーク162は、チャンバリッドアセンブリ132が組み付けられて基板110の周囲に処理ゾーンを形成する場合に、基板110の外周部に隣接するエリアにてこのチョーク132を通過するガス流を制約する任意の部材を含む。
特定の一実施形態においては、チョーク162と基板支持体112との間の間隔は、約0.04インチ(1.02mm)〜約2.0インチ(50.8mm)であり、好ましくは0.04インチ(1.02mm)〜約0.2インチ(5.08mm)である。この間隔は、供給されるガスおよび堆積中のプロセス条件に応じて変更されてもよい。チョーク162は、ポンプゾーン166(図1A)の非均一な圧力分布から反応ゾーン164を隔離することにより、チャンバリッドアセンブリ132と基板110との間に画定された体積または反応ゾーン164内においてより均一な圧力分布を実現するのを助ける。
図1Aに示すように、一態様においては、反応ゾーン164がポンプゾーン166から隔離されることにより、反応ガスまたはパージガスは、反応ガスまたはパージガスに対する基板110の十分な露出を確保するのに十分なだけ、反応ゾーン164を満たすだけでよい。従来の化学気相堆積においては、先行技術のチャンバは、反応物の共反応が基板110の表面中にわたって確実に均一に生じるようにするために、基板の全表面に対して反応物の混合流を同時におよび均一に供給することが必要とされる。原子層堆積においては、プロセスチャンバ100は、基板110の表面に対して反応物を連続的に導入して、基板110の表面上への反応物の交互の薄層の吸収を達成する。その結果、原子層堆積は、基板110の表面に同時に到達する反応物流を必要としない。その代わりに、反応物流は、基板110の表面上に反応物の薄層を吸収させるのに十分な量において供給されることが必要となる。
反応ゾーン164は、従来的なCVDチャンバの内方堆積と比較した場合により小さな体積を有し得るため、原子層堆積シーケンスにおけるある特定のプロセスのために反応ゾーン164を満たすために必要となるガスの量が、より少量となる。例えば、一実施形態においては、反応ゾーン164の体積は、200mm径の基板を処理するチャンバの場合には、約1,000cm以下であり、好ましくは500cm以下であり、より好ましくは200cm以下である。一実施形態においては、反応ゾーン164の体積は、300mm径の基板を処理するチャンバの場合には、約3,000cm以下であり、好ましくは1,500cm以下であり、より好ましくは600cm以下である。一実施形態においては、基板支持体112は、堆積のための反応ゾーン164の体積を調節するために、上昇されてもまたは下降されてもよい。反応ゾーン164の体積がより小さいことにより、堆積ガスまたはパージガスにかかわらず、より少量のガスが、処理チャンバ100内に流されることが必要となる。したがって、プロセスチャンバ100のスループットがより上昇し、より少量のガスの使用による作業コストの削減により無駄が最小限に抑えられ得る。
チャンバリッドアセンブリ132は、ガス分散チャネル134を形成するリッドキャップ172およびリッドプレート170を含むものとして、図1A〜図1Bに示されている。一実施形態においては、プロセスチャンバ100は、図1A〜図1Bに示すように、ガス環状チャネル260および265を有するハウジング200を備えるリッドキャップ172を含む。任意には、追加のプレートが、リッドプレート170とリッドキャップ172との間に設置されてもよい(図示せず)。この追加のプレートは、リッドキャップ172とリッドプレート170との間の距離を調節する(例えば増大させる)ことにより、それらを貫通して形成される分散チャネル134の長さをそれぞれ変更するために使用されてもよい。別の実施形態においては、リッドプレート170とリッドキャップ172との間に設置されたこのオプションの追加のプレートは、ステンレス鋼を含む。他の実施形態においては、ガス分散チャネル134は、単一の材料片から一体的に作製されてもよい。
チャンバリッドアセンブリ132は、中を通り供給される特定のガスに応じて冷却素子および/または加熱素子を備えてもよい。チャンバリッドアセンブリ132の温度の制御は、チャンバリッドアセンブリ132上におけるガスの分解、堆積、または凝結を防止するために使用され得る。例えば、水チャネル(図示せず)が、チャンバリッドアセンブリ132を冷却するためにチャンバリッドアセンブリ132内に形成されてもよい。別の例においては、加熱素子(図示せず)が、チャンバリッドアセンブリ132を加熱するために、チャンバリッドアセンブリ132の構成要素内に埋設されてもよく、またはチャンバリッドアセンブリ132の構成要素を囲んでもよい。一実施形態においては、チャンバリッドアセンブリ132の構成要素は、個別に加熱または冷却され得る。例えば、図1Aに示すように、チャンバリッドアセンブリ132は、ガス分散チャネル134を形成するリッドプレート170およびリッドキャップ172を含んでもよい。リッドキャップ172は、1つの温度範囲に維持されてもよく、リッドプレート170は、別の温度範囲に維持されてもよい。例えば、リッドキャップ172は、ヒータテープ内に巻かれることによってか、または別の加熱デバイスを使用することによって加熱されて、それにより反応ガスの凝結を防止してもよく、リッドプレート170は、周囲温度に維持されてもよい。別の例においては、リッドキャップ172は、加熱されてもよく、リッドプレート170は、貫通して形成された水チャネルにより冷却されて、リッドプレート170上における反応ガスの熱分解を防止してもよい。
チャンバリッドアセンブリ132の構成要素およびパーツは、ステンレス鋼、アルミニウム、ニッケルメッキ加工処理されたアルミニウム、ニッケル、それらの合金、または他の適切な材料などの材料を含んでもよい。一実施形態においては、リッドキャップ172およびリッドプレート170は、個別に製造、機械加工、鍛造、またはアルミニウム、アルミニウム合金、鋼、ステンレス鋼、それらの合金、もしくはそれらの組合せなどの金属から作製されてもよい。
一実施形態において、ガス分散チャネル134の内表面131(リッドプレート170およびリッドキャップ172の両内表面を含む)と、チャンバリッドアセンブリ132の下方表面160とは、ガス分散チャネル134およびチャンバリッドアセンブリ132の下方表面160に沿ったガスの層流の生成を助けるために、鏡面研磨表面を含むことができる。別の実施形態においては、流体供給ライン210および220の内表面は、これらの流体供給ライン210および220の中を通るガスの層流の生成を助けるために、電解研磨されてもよい。
代替的な一実施形態においては、ガス分散チャネル134の内表面131(リッドプレート170およびリッドキャップ172の両内表面を含む)と、チャンバリッドアセンブリ132の下方表面160とは、これらの表面中においてより大きな表面積をもたらすために、粗面化表面または機械加工された表面を含んでもよい。粗面化された表面は、内表面131および下方表面160の上における望ましくない蓄積材料のより良好な接着を生じさせる。これらの望ましくない膜は、通常は、気相堆積プロセスを実施した結果として形成され、内表面131および下方表面160から剥落または剥離することにより、基板110を汚染する場合がある。一実施例においては、下方表面160および/または内表面131の平均粗度(R)は、約10μin(約0.254μm)〜約200μin(約5.08μm)の範囲内、好ましくは約20μin(約0.508μm)〜約100μin(約2.54μm)の範囲内、より好ましくは約30μin(約0.762μm)〜約80μin(約2.032μm)の範囲内など、少なくとも約10μinであってもよい。別の例においては、下方表面160および/または内表面131の平均粗度は、少なくとも約100μin(約2.54μm)であってもよく、好ましくは約200μin(約5.08μm)〜約500μin(約12.7μm)の範囲内であってもよい。
図1Aは、処理条件を制御するためにプロセスチャンバ100に結合された、プログラマブルパーソナルコンピュータまたはワークステーションコンピュータ等々の制御ユニット180を図示する。例えば、制御ユニット180は、基板プロセスシーケンスの個々の段階の際にガス源138、139、および140からバルブ142Aおよび142Bを経由する様々なプロセスガスおよびパージガスの流れを制御するように構成されてもよい。実例としては、制御ユニット180は、中央演算処理ユニット(CPU)182、サポート回路184、および対応する制御ソフトウェア183を含むメモリ186を含む。
制御ユニット180は、工業的環境において様々なチャンバおよびサブプロセッサを制御するために使用し得る汎用コンピュータプロセッサの任意の形態のものであってもよい。CPU182は、ランダムアクセスメモリ、リードオンリーメモリ、フロッピディスクドライブ、ハードディスク、またはローカルもしくはリモートの任意の他の形態のデジタルストレージなどの、任意の適切なメモリ186を使用してもよい。様々なサポート回路が、プロセスチャンバ100を支援するためにCPU182に結合されてもよい。制御ユニット180は、バルブ142A、142Bのプログラマブル論理コントローラ148A、148Bなどの、個々のチャンバ構成要素に隣接して配置された別のコントローラに結合されてもよい。制御ユニット180とプロセスチャンバ100の様々な他の構成要素との間の2方向通信は、まとめて信号バス188と呼ばれる多数の信号ケーブルを介して処理され、これらの信号バス188のいくつかが、図1に図示される。ガス源138、139、140からのおよびバルブ142A、142Bのプログラマブル論理コントローラ148A、148Bからのプロセスガスおよびパージガスの制御に加えて、制御ユニット180は、作業の中でもとりわけウエハ搬送、温度制御、チャンバ排気などの、ウエハ処理において利用される他の作業の自動制御に関与するように構成されてもよい。これらの作業の中のいくつかは、本明細書の他の箇所で説明される。
図1A〜図1Bに示すように、作動時には、基板110が、ロボット(図示せず)によりスリットバルブ108を通してプロセスチャンバ100に供給される。基板110は、リフトピン120およびロボットの協働により基板支持体112の上に位置決めされる。基板支持体112は、チャンバリッドアセンブリ132の下方表面160の近傍に対向するように基板110を上昇させる。第1のガス流が、バルブ142Bによりプロセスチャンバ100内に噴射される第2のガス流と共に、または別個に(すなわちパルス送出で)、バルブ142Aによりプロセスチャンバ100のガス分散チャネル134内に噴射されてもよい。第1のガス流は、パージガス源140からのパージガスの連続流と、反応ガス源138からの反応ガスのパルス送出とを含んでもよく、または反応ガス源138からの反応ガスのパルス送出と、パージガス源140からのパージガスのパルス送出とを含んでもよい。第2のガス流は、パージガス源140からのパージガスの連続流と、反応ガス源139からの反応ガスのパルス送出とを含んでもよく、または反応ガス源139からの反応ガスのパルス送出と、パージガス源140からのパージガスのパルス送出とを含んでもよい。
円形ガス流174は、ガス分散チャネル134の内表面に一掃作用をもたらす渦流として、ガス分散チャネル134を通り移動する。円形ガス流174は、基板110の表面の方向に向かって消失し、下方流になる。ガス流の速度は、ガス分散チャネル134を通り移動するにつれて減速する。次いで、ガス流は、基板110の表面中をおよびチャンバリッドアセンブリ132の下方表面160中を移動する。下方に傾斜しているチャンバリッドアセンブリ132の下方表面160は、基板110の表面中におけるガス流の速度の変動を低減させるのを助ける。次いで、ガス流は、チョーク162を過ぎ、プロセスチャンバ100のポンプゾーン166内に移動する。過剰ガス、副生成物、等々は、ポンプチャネル179内に流れ、真空システム178によりプロセスチャンバ100から排出される。一態様においては、このガス流は、ガス分散チャネル134を通り、基板110の表面とチャンバリッドアセンブリ132の下方表面160との間を層流の態様で進むが、これによって、基板110の表面に反応ガスを均一にさらし、チャンバリッドアセンブリ132の内表面を効率的にパージするのを助ける。
本明細書においては、図1A〜図1Bに図示するように、特徴の組合せを有するものとしてプロセスチャンバ100を説明した。一態様においては、プロセスチャンバ100は、従来的なCVDチャンバに比べて小さな体積を有する反応ゾーン164を提供する。プロセスチャンバ100は、ある特定のプロセスのために反応ゾーン164を満たすために、より少量の反応ガスまたはパージガスなどのガスを必要とする。別の態様においては、プロセスチャンバ100は、チャンバリッドアセンブリ132の下方表面と基板110との間を移動するガス流の速度プロファイルの変動を低減させるために、下方に傾斜しているかまたは漏斗形状の下方表面160を有するチャンバリッドアセンブリ132を提供する。さらに別の態様においては、プロセスチャンバ100は、中に導入されるガス流の速度を減速させるためにガス分散チャネル134を提供する。さらに別の態様においては、プロセスチャンバ100は、ガス分散チャネル134の中心から角度αの流体供給ラインを提供する。プロセスチャンバ100は、本明細書の他の箇所で説明されるような他の特徴を提供する。原子層堆積向けに適合化されたチャンバの他の実施形態は、これらの特徴の中の1つまたは複数を組み込む。
前述の内容は、本発明の好ましい実施形態に関するものであるが、本発明の基本範囲から逸脱することなく、本発明の他のおよびさらなる実施形態を考案することができる。また、この基本範囲は、以下に続く特許請求の範囲によって規定される。

Claims (13)

  1. チャンバリッドアセンブリであって、
    上部および下部を有し、前記チャンバリッドアセンブリの中心軸に沿って延びるガス分散チャネルと、
    内方領域を有し、前記ガス分散チャネルを囲うように2つ以上の環状チャネルを少なくとも部分的に画定するハウジングと、
    前記内方領域内に設置され、切頭部分を有する結合リッドを備えるインサートであって、前記インサートは所望のガス流特性を前記ガス分散チャネルの前記上部に供給するように前記ガス分散チャネルの前記上部を部分的に画定し、前記インサートは前記ハウジング内の前記2つ以上の環状チャネルに隣接して配置されるように前記インサート内に形成された複数の開孔を有するように構成され、前記複数の開孔は前記2つ以上の環状チャネルと流体結合されるインサートと、
    前記ガス分散チャネルの前記下部から前記チャンバリッドアセンブリの外周部分まで延びるテーパ状下部表面と
    を備えるチャンバリッドアセンブリ。
  2. 前記ハウジングが、ベース上に設置されて前記内方領域を画定する環状マニホルドをさらに備えている、請求項1に記載のチャンバリッドアセンブリ。
  3. 前記2つ以上の環状チャネルは前記中心軸に沿って垂直方向に離間して設置されている、請求項2に記載のチャンバリッドアセンブリ。
  4. 各環状チャネルは、前記内方領域において円周状に延びて、360°にわたる流体連通をもたらし、流体供給ラインに結合しており、各流体供給ラインは1つまたは複数の流体源と結合している、請求項1に記載のチャンバリッドアセンブリ。
  5. 前記環状マニホルド内に設置されたパージラインをさらに備えている、請求項2に記載のチャンバリッドアセンブリ。
  6. 前記パージラインが、前記内方領域と流体結合された1つまたは複数の間隙パージラインと結合された水平方向ガス輸送ラインを備えている、請求項5に記載のチャンバリッドアセンブリ。
  7. 少なくとも1つの間隙パージラインが、前記2つ以上の環状チャネルの上方において前記内方領域と結合し、少なくとも1つの間隙パージラインが、前記2つ以上の環状チャネルの下方にて前記内方領域と結合している、請求項6に記載のチャンバリッドアセンブリ。
  8. 各間隙パージラインが、前記内方領域にわたって円周状に形成された環状チャネルをさらに備えている、請求項6に記載のチャンバリッドアセンブリ。
  9. 前記パージラインが、前記環状マニホルド内に垂直方向に設置されたラインをさらに備えている、請求項6に記載のチャンバリッドアセンブリ。
  10. 前記切頭部分を有する前記結合リッドが前記ハウジングの頂部に結合され、前記インサートが、前記ガス分散チャネルの前記上部を少なくとも部分的に画定し、かつ前記環状マニホルドの内部に設置されて前記環状マニホルドの内部と同一平面になる垂直本体とをさらに備えている、請求項2に記載のチャンバリッドアセンブリ。
  11. 前記インサートが、各環状チャネルと結合されて各環状チャネルと前記上部との間に複数の開孔入口を形成する、前記垂直本体を貫通して水平面に沿って設置された前記複数の開孔の1つまたは複数のセットをさらに備えている、請求項10に記載のチャンバリッドアセンブリ。
  12. 前記ガス分散チャネルの前記上部が、円筒形状を有するように前記垂直本体によって画定されている、請求項10に記載のチャンバリッドアセンブリ。
  13. 前記インサートの前記垂直本体が円筒状上部および拡張底部をさらに備えており、前記拡張底部が前記複数の開孔の下部セットの下方に設置されている、請求項12に記載のチャンバリッドアセンブリ。
JP2012557180A 2010-03-12 2011-03-08 複式噴射を伴う原子層堆積チャンバ Active JP5889806B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US31357310P 2010-03-12 2010-03-12
US61/313,573 2010-03-12
PCT/US2011/027599 WO2011112617A2 (en) 2010-03-12 2011-03-08 Atomic layer deposition chamber with multi inject

Publications (2)

Publication Number Publication Date
JP2013522463A JP2013522463A (ja) 2013-06-13
JP5889806B2 true JP5889806B2 (ja) 2016-03-22

Family

ID=44560249

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012557180A Active JP5889806B2 (ja) 2010-03-12 2011-03-08 複式噴射を伴う原子層堆積チャンバ

Country Status (8)

Country Link
US (1) US9175394B2 (ja)
EP (1) EP2545197B1 (ja)
JP (1) JP5889806B2 (ja)
KR (1) KR101810532B1 (ja)
CN (1) CN102762767B (ja)
SG (2) SG183536A1 (ja)
TW (1) TWI576460B (ja)
WO (1) WO2011112617A2 (ja)

Families Citing this family (355)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10008368B2 (en) * 2013-03-12 2018-06-26 Applied Materials, Inc. Multi-zone gas injection assembly with azimuthal and radial distribution control
CN107221487B (zh) * 2013-03-15 2019-06-28 应用材料公司 具有高度对称四重式气体注入的等离子体反应器
KR102156795B1 (ko) * 2013-05-15 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 증착 장치
US10781516B2 (en) 2013-06-28 2020-09-22 Lam Research Corporation Chemical deposition chamber having gas seal
US20150004798A1 (en) * 2013-06-28 2015-01-01 Lam Research Corporation Chemical deposition chamber having gas seal
WO2015047832A1 (en) * 2013-09-26 2015-04-02 Veeco Ald Inc. Printing of colored pattern using atommic layer deposition
US10683571B2 (en) * 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
DE102014106523A1 (de) 2014-05-09 2015-11-12 Aixtron Se Vorrichtung und Verfahren zum Versorgen einer CVD- oder PVD-Beschichtungseinrichtung mit einem Prozessgasgemisch
US20150345019A1 (en) * 2014-05-30 2015-12-03 Applied Materials, Inc. Method and apparatus for improving gas flow in a substrate processing chamber
US10487399B2 (en) * 2014-06-26 2019-11-26 Applied Materials, Inc. Atomic layer deposition chamber with counter-flow multi inject
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
JP5792364B1 (ja) * 2014-07-31 2015-10-07 株式会社日立国際電気 基板処理装置、チャンバリッドアセンブリ、半導体装置の製造方法、プログラム及び記録媒体
US10113232B2 (en) 2014-07-31 2018-10-30 Lam Research Corporation Azimuthal mixer
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9951421B2 (en) * 2014-12-10 2018-04-24 Lam Research Corporation Inlet for effective mixing and purging
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10954597B2 (en) * 2015-03-17 2021-03-23 Asm Ip Holding B.V. Atomic layer deposition apparatus
JP5961297B1 (ja) * 2015-03-26 2016-08-02 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
JP6487747B2 (ja) * 2015-03-26 2019-03-20 株式会社Screenホールディングス 基板処理装置と処理ガス供給ノズル
WO2016158054A1 (ja) * 2015-03-30 2016-10-06 東京エレクトロン株式会社 処理装置および処理方法、ならびにガスクラスター発生装置および発生方法
JP6545053B2 (ja) * 2015-03-30 2019-07-17 東京エレクトロン株式会社 処理装置および処理方法、ならびにガスクラスター発生装置および発生方法
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
CN108292588B (zh) * 2015-12-04 2022-02-18 应用材料公司 用以防止hdp-cvd腔室电弧放电的先进涂层方法及材料
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
CN109478494B (zh) * 2016-06-03 2023-07-18 应用材料公司 扩散腔室内部的气流的设计
KR102553629B1 (ko) * 2016-06-17 2023-07-11 삼성전자주식회사 플라즈마 처리 장치
JP6696322B2 (ja) * 2016-06-24 2020-05-20 東京エレクトロン株式会社 ガス処理装置、ガス処理方法及び記憶媒体
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
RU172394U1 (ru) * 2017-01-13 2017-07-06 Федеральное государственное автономное образовательное учреждение высшего образования "Национальный исследовательский университет "Московский институт электронной техники" Устройство для атомно-слоевого осаждения
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
CN107699865B (zh) * 2017-11-10 2024-04-19 西安鑫垚陶瓷复合材料股份有限公司 一种气相沉积炉用均匀进气的装置
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102535194B1 (ko) * 2018-04-03 2023-05-22 주성엔지니어링(주) 기판처리장치
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN109306470A (zh) * 2018-09-29 2019-02-05 北京北方华创微电子装备有限公司 用于原子层沉积工艺的进气装置及原子层沉积装置
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
US11261522B2 (en) * 2018-10-18 2022-03-01 Diamond Foundry Inc. Axisymmetric material deposition from plasma assisted by angled gas flow
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
WO2020131214A1 (en) * 2018-12-20 2020-06-25 Applied Materials, Inc. Method and apparatus for supplying improved gas flow to a processing volume of a processing chamber
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
WO2020243288A1 (en) 2019-05-28 2020-12-03 Applied Materials, Inc. Thermal process chamber lid with backside pumping
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
KR20210017147A (ko) * 2019-08-07 2021-02-17 주성엔지니어링(주) 가스 유입 장치 및 이를 이용한 기판 처리 장치
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
CN110904438A (zh) * 2019-12-04 2020-03-24 沈阳拓荆科技有限公司 用于多种化学源之气体分配装置
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20230024400A (ko) 2020-06-17 2023-02-20 어플라이드 머티어리얼스, 인코포레이티드 고온 화학 기상 증착 덮개
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115613009A (zh) * 2022-11-03 2023-01-17 江苏微导纳米科技股份有限公司 原子层沉积设备

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3880112A (en) * 1971-10-20 1975-04-29 Commissariat Energie Atomique Device for the preparation of thin films
US4949671A (en) * 1985-10-24 1990-08-21 Texas Instruments Incorporated Processing apparatus and method
US5198034A (en) * 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
JP2618443B2 (ja) * 1988-06-16 1997-06-11 古河電気工業株式会社 気相成長装置
JP2934565B2 (ja) * 1993-05-21 1999-08-16 三菱電機株式会社 半導体製造装置及び半導体製造方法
TW295677B (ja) * 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
TW356554B (en) * 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
US6786998B1 (en) * 1995-12-29 2004-09-07 Cypress Semiconductor Corporation Wafer temperature control apparatus and method
JP3050124B2 (ja) * 1996-05-27 2000-06-12 住友金属工業株式会社 プラズマ処理装置
US6092486A (en) * 1996-05-27 2000-07-25 Sumimoto Metal Indsutries, Ltd. Plasma processing apparatus and plasma processing method
US5885358A (en) * 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US6051286A (en) * 1997-02-12 2000-04-18 Applied Materials, Inc. High temperature, high deposition rate process and apparatus for depositing titanium layers
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US5846330A (en) * 1997-06-26 1998-12-08 Celestech, Inc. Gas injection disc assembly for CVD applications
US6068703A (en) * 1997-07-11 2000-05-30 Applied Materials, Inc. Gas mixing apparatus and method
US6090206A (en) * 1997-10-20 2000-07-18 Applied Materials, Inc. Throttle valve providing enhanced cleaning
US6063441A (en) * 1997-12-02 2000-05-16 Applied Materials, Inc. Processing chamber and method for confining plasma
US6210485B1 (en) * 1998-07-21 2001-04-03 Applied Materials, Inc. Chemical vapor deposition vaporizer
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6245149B1 (en) * 1999-07-01 2001-06-12 Applied Materials, Inc. Inert barrier for high purity epitaxial deposition systems
US6303501B1 (en) * 2000-04-17 2001-10-16 Applied Materials, Inc. Gas mixing apparatus and method
US6387207B1 (en) * 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US20030019428A1 (en) * 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
DE60203413T2 (de) * 2001-10-26 2006-04-20 Applied Materials, Inc., Santa Clara Gaszuführvorrichtung zur abscheidung von atomaren schichten
US7780789B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Vortex chamber lids for atomic layer deposition
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
TWI344525B (en) * 2003-01-17 2011-07-01 Applied Materials Inc Combination manual/pneumatics valve for fluid control assembly
WO2005015613A2 (en) * 2003-08-07 2005-02-17 Sundew Technologies, Llc Perimeter partition-valve with protected seals
US7408225B2 (en) * 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
US7279049B2 (en) * 2004-02-05 2007-10-09 Applied Materials, Inc. Apparatus for reducing entrapment of foreign matter along a moveable shaft of a substrate support
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
KR20060076714A (ko) * 2004-12-28 2006-07-04 에이에스엠지니텍코리아 주식회사 원자층 증착기
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
CN104073778B (zh) * 2006-10-24 2017-08-25 应用材料公司 用于原子层沉积的涡流室盖
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
JP4985183B2 (ja) * 2007-07-26 2012-07-25 東京エレクトロン株式会社 基板処理装置及び基板処理方法並びに記憶媒体
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US8187381B2 (en) * 2008-08-22 2012-05-29 Applied Materials, Inc. Process gas delivery for semiconductor process chamber

Also Published As

Publication number Publication date
WO2011112617A2 (en) 2011-09-15
EP2545197A2 (en) 2013-01-16
US20110223334A1 (en) 2011-09-15
SG10201501824XA (en) 2015-05-28
US9175394B2 (en) 2015-11-03
TW201202467A (en) 2012-01-16
KR101810532B1 (ko) 2017-12-19
CN102762767B (zh) 2015-11-25
CN102762767A (zh) 2012-10-31
SG183536A1 (en) 2012-09-27
JP2013522463A (ja) 2013-06-13
KR20130030745A (ko) 2013-03-27
EP2545197A4 (en) 2014-01-01
EP2545197B1 (en) 2020-12-16
WO2011112617A3 (en) 2011-11-24
TWI576460B (zh) 2017-04-01

Similar Documents

Publication Publication Date Title
JP5889806B2 (ja) 複式噴射を伴う原子層堆積チャンバ
US10982326B2 (en) Counter-flow multi inject for atomic layer deposition chamber
CN107429393B (zh) 腔室盖组件及清洁处理腔室的方法
US11932939B2 (en) Lids and lid assembly kits for atomic layer deposition chambers
US7780785B2 (en) Gas delivery apparatus for atomic layer deposition
EP1444380B1 (en) Gas delivery apparatus for atomic layer deposition
KR101151192B1 (ko) 혼합 화학 프로세스를 위한 장치 및 방법
KR100956189B1 (ko) 원자층 증착용 가스 전달 장치
KR102661401B1 (ko) 열 덮개를 구비한 원자 층 증착 챔버

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140305

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150121

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150224

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150522

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150818

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160119

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160217

R150 Certificate of patent or registration of utility model

Ref document number: 5889806

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250