KR20060076714A - 원자층 증착기 - Google Patents

원자층 증착기 Download PDF

Info

Publication number
KR20060076714A
KR20060076714A KR1020050130487A KR20050130487A KR20060076714A KR 20060076714 A KR20060076714 A KR 20060076714A KR 1020050130487 A KR1020050130487 A KR 1020050130487A KR 20050130487 A KR20050130487 A KR 20050130487A KR 20060076714 A KR20060076714 A KR 20060076714A
Authority
KR
South Korea
Prior art keywords
gas
plate
dispersion mechanism
reaction chamber
gas dispersion
Prior art date
Application number
KR1020050130487A
Other languages
English (en)
Inventor
최승우
이춘수
박광래
Original Assignee
에이에스엠지니텍코리아 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠지니텍코리아 주식회사 filed Critical 에이에스엠지니텍코리아 주식회사
Priority to JP2005379752A priority Critical patent/JP2009120859A/ja
Publication of KR20060076714A publication Critical patent/KR20060076714A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 전도성 박막과 절연성 박막을 원자층 증착법이나 플라즈마 강화 원자층 증착법으로 증착할 수 있는 원자층 증착 장치에 관한 것이다. 전도성 박막을 증착하는 경우에도 플라즈마를 발생시키기 위한 RF 전극으로 사용되는, 샤워 헤드와 같은 기체 분산 기구의 전기 절연성을 유지할 수 있다. 각 원료 기체에 대해 별도로 마련된 미세 천공관은 플라즈마의 발생을 억제하고 원료 기체 사이의 불필요한 반응을 막는다. 각각의 미체 천공관을 통과한 공정 기체들은 좁은 유도홈을 통과하며 소용돌이를 이루어 기체 분산 기구 안에서 효과적으로 혼합된다. 기체 분산 기구와 기체 흐름 조절판에 대칭적으로 배치한 패드가 정의하는 기체 통로를 통해 흐르는 퍼지 기체는 불필요한 박막 형성을 억제한다. 본 발명은 세정 주기가 길고 생산성이 높은 원자층 증착 장치를 제공한다.
원자층 증착, ALD, PEALD, 플라즈마, 전도성 박막, 기체 통로, 나선 흐름, 미세 천공판

Description

원자층 증착기{ATOMIC LAYER DEPOSITION APPARATUS}
도 1은 종래 기술에 따른 원자층 증착 장치의 단면도이다.
도 2는 종래 기술에 따른 원자층 증착 장치의 기체 유입 부위의 단면도이다.
도 3은 종래 기술에 따른 원자층 증착 장치의 일부분에 대한 세부 단면도이다.
도 4는 본 발명의 실시예에 따른 원자층 증착 장치를 개략적으로 도시한 단면도이다.
도 5는 본 발명의 실시예에 따른 원자층 증착 장치의 공정 기체 유입부의 확대 단면도이다.
도 6은 본 발명의 실시예에 따른 원자층 증착 장치의 공정 기체 유입부 중 유선 유도판의 상부와 하부 개략도이다.
도 7은 본 발명의 실시예에 따른 원자층 증착 장치의 공정 기체 유입부에서의 기체 흐름의 개략도이다.
도 8은 본 발명의 실시예에 따른 원자층 증착 장치에서의 불활성 기체 흐름에 대한 사시도이다.
도 9는 본 발명의 실시예에 따른 원차층 증착 장치에서 불필요한 증착 방지 및 입자 발생 방지를 위한 불활성 기체 흐름 개략도이다.
본 발명은 전도성 또는 비전도성 박막을 매우 얇고 균일하게 증착할 수 있도록 하는 원자층 증착 장치에 관한 것이다. 특히 전도성 박막을 증착하는 경우에도 플라즈마 발생을 위한 전극과 기타 접지 부위 간에 전기적인 단락을 방지함으로써 반응실 내에 플라즈마를 안정적으로 발생할 수 있고, 복수의 공정 기체들을 독립적으로 유입하여 반응실 내에서 적절하게 혼합하고 유입부와 반응실 사이의 전기적인 절연을 유지할 수 있는 플라즈마 강화 원자층 증착(Plasma Enhanced Atomic Layer Deposition, PEALD) 장치에 관한 것이다.
반도체 집적 기술이 발전함에 따라, 비아(via) 또는 트렌치(trench)에서도 단차에 따른 편차 없이 매우 얇은 나노미터 두께의 박막을 균일하게 증착할 수 있는 박막 형성 기술이 매우 중요해지고 있다. 이와 같은 박막 형성 기술 중 가장 주목을 받고 있는 기술은 종래의 화학 기상 증착(Chemical Vapor Deposition, CVD)으로부터 발전된 형태인 원자층 증착 (Atomic Layer Deposition, ALD) 기술이다.
반응을 위한 모든 기체들이 동시에 공급되는 종래의 CVD 기술과는 다르게, ALD에서는 반응을 위한 원료 기체들이 시분할되어 순차적으로, 그리고 반복적으로 공급된다. 즉, 두 가지 이상의 원료 기체들을 각각 교대로 유입하고, 각 원료 기체의 유입 사이에는 반응성이 없는 불활성 기체를 흘려주어 원료 기체들이 기체 상태에서 혼합되는 것을 방지한다. 따라서 유입되는 원료 기체들은 기체 상태에서는 서로간에 반응하지 않고, 하나의 원료 기체가 기판의 표면에 흡착된 상태에서 후속 원료 기체와 반응하여 박막을 증착한다. 이러한 공정을 원하는 두께의 박막이 얻어질 때까지 주기적으로 반복하면, 단차피복성(step coverage)이 매우 우수한 박막을 증착할 수 있다. 또한 박막 두께는 반복되는 주기의 횟수로 조절할 수 있게 되어, 두께의 정확도가 요구되는 박막 증착시에 매우 유리하다.
상기한 ALD의 기본적인 장점 외에도, ALD시에 원료 기체 공급 주기에 동기하여 플라즈마를 발생시키면, 더 많은 장점을 얻을 수 있다. 예를 들면, 플라즈마를 이용하면 ALD에 이용할 수 있는 공정 기체의 화학종의 수를 확장할 수 있다. 플라즈마는 열에너지 외에 반응 공정 기체를 활성화할 수 있는 부가적인 에너지원이므로, 열에너지만으로는 반응이 잘 일어나지 않는 공정 기체 사이에도 반응을 유발하여 박막을 증착할 수 있게 한다. 예를 들면 탄탈륨 할라이드(예, TaCl5, TaF5) 계의 화합물들은 수소 (H2) 기체와는 400℃ 미만의 낮은 온도에서는 잘 반응하지 않는다. 따라서 이들을 이용하여 상기 400℃ 미만의 온도 범위에서 ALD 기술로 Ta 금속막을 형성하기는 어렵다. 그러나 중성 수소 단원자나 수소 이온 등은 탄탈륨 할라이드계 화합물과 400℃ 보다 낮은 온도에서도 쉽게 반응하여, Ta 금속막을 형성한다. 수소 기체의 플라즈마에는 상기 중성 수소 단원자나 수소 이온이 존재한다. 수소 기체의 플라즈마는 수소 기체에 고주파(radio frequency, RF) 전력을 인가함으로써 발생시킬 수 있다. 따라서 PEALD을 이용하면, 종래에 열에너지만을 이용하는 ALD에서는 서로간의 반응성이 떨어져서 사용하기 어려운 화학종들을 이용하여서 도 박막을 증착할 수 있다. 예를 들어 자세히 설명하면 다음과 같다. 탄탈륨 박막을 PEALD법으로 제조 시 우선 탄탈륨의 원료가 되는 TaF5을 기화시켜 반응실에 공급하여 기판에 흡착시킨다. 흡착이 완료되면 불활성 기체를 반응실 내부 및 기판 위에 흘려주어 기상에 존재하거나 불완전하게 흡착된 TaF5를 퍼지시켜 반응실 밖으로 배출시킨다. 이어서 TaF5가 흡착된 기판 위에 H2 기체를 공급하면서 이와 동시에 플라즈마를 발생시킨다. 이때 플라즈마를 통해 생성되는 수소 단원자 또는 수소 이온이 기판 표면에서 TaF5(또는 흡착된 TaF5의 조각)와 반응하여 탄탈륨 금속과 반응 부산물인 HCl을 형성한다. 형성된 탄탈륨은 기판 표면에 금속박막으로 남고, 부산물인 HCl은 휘발성이 커서 기판에서 이탈하여 기체 상태로 배기된다. 기판에서 이러한 반응이 완료되면 플라즈마를 제거하고 잔류하는 HCl를 모두 배기시킨다. 이때 HCl가 쉽게 배기되도록 추가적인 불활성 퍼지 기체를 더 흘려줄 수도 있다. 이러한 반응 공정 진행 과정을 계속적으로 반복하면 원하는 두께의 탄탈륨 금속박막을 얻을 수 있게 된다. 한편 위의 예에서는 별도의 불활성 기체를 사용하지 않고 플라즈마를 켜고 꺼서 플라즈마가 발생되지 않은 상태의 H2 기체를 퍼지 기체로 활용할 수 도 있다. 이 경우 H2 기체가 연속적으로 공급되는 상태에서 TaF5 원료 기체의 공급과 플라즈마 발생을 위한 고주파 공급이 교대로 반복된다.
더욱이, 위와 같이 플라즈마를 이용하여 발생시킨 화학 반응물(예, 수소 단원자, 수소 이온 등)들은 플라즈마가 사라지면 짧은 시간 (수십 밀리 초 이하) 안 에 소멸되는 특성이 있어서, 플라즈마가 발생되지 않는 영역에서는 박막이 형성되지 않는 특징이 있다. 다시 말해서 플라즈마가 발생하는 영역과 그에 매우 가까운 영역에서만 박막이 증착되므로, 반응실 내에서 기판이 아닌 여타 부위에서의 불필요한 증착을 억제할 수 있게 한다.
이외에도 PEALD을 이용하면 일반적으로 기존의 ALD을 이용하여 제조한 박막에 비해, 밀도가 높고 불순물이 적은 박막을 얻을 수 있는 장점이 있다. 따라서 PEALD는 최근 반도체 소자 제조용 박막 증착 공정으로 기대를 모으고 있다.
한편 상기한 기술적 특징을 이용하고자 하는 발명이 이춘수 등에 의해 대한민국 특허 제273473 및 미국 특허 제6,645,574에 개시되었는데, 상기 발명에서는 공급되는 반응 원료 중 적어도 하나와 동기하여 플라즈마를 발생시킴으로써 박막을 제조할 수 있도록 하는 원자층 증착 방법에 대해 개시하였다. 또한 이춘수 등은 대한민국 특허 공개 제2003-0011399 및 미국 특허 출원 10/486,311; 미국 특허 공개 US2004/0231799에 상기 플라즈마 강화 원자층 증착을 구현할 수 있는 장치 및 이를 이용한 전도성 박막 증착 방법에 대해 공개하였다.
그런데 상기 공개된 발명의 증착 장치에 따르면(도 1 참조), 상기 종래 증착 장치의 반응실은 PEALD의 특징을 적극적으로 활용하도록만 구성되어 있어서, 플라즈마 없이도 전도성 막을 증착시킬 수 있는 가능성이 있는 반응 원료들을 사용하게 되는 경우에는 그 사용이 제약될 수 있다. ALD나 PEALD로 전기가 통하지 않는 부도체 막을 형성하는 경우에는 문제가 없지만 ALD 공정으로 전도성 막을 형성한 후에는 플라즈마를 발생시키는데 필수적인 절연 부위에 불필요한 전도성 박막이 증착 되어 전기 절연성을 잃어버리게 되어 더이상 플라즈마를 발생시킬 수 없게 되므로 절연 부위에 형성된 전도성 박막을 제거하기 전에는 ALD 공정은 수행할 수 있으나 PEALD 공정은 수행할 수 없게 된다. 따라서 상기 발명의 증착 장치를 사용하여 전도성 박막을 형성할 때, ALD 공정 전용 또는 PEALD 공정 전용으로는 사용할 수 있으나 PEALD 공정과 ALD 공정을 혼합하거나 순차적으로 적용하는 공정에는 사용할 수가 없다. 또한 상기 발명의 증착 장치에서 PEALD 공정을 수행할 때 플라즈마 없이도 미약하나마 열적 활성화에 의해서 반응이 일어나는 반응 기체를 사용하는 경우 쉽게 절연성이 훼손되어 PEALD 공정을 지속할 수 있는 시간이 짧다는 문제점이 있었다.
아래는 도 2를 참조하여 종래 기술을 설명한다. 종래 기술에 따르면, 부도체로 이루어진 미세 천공관(14)이 절연층벽(24) 위에 배치된다. 미세 천공관(14)은, 전기적으로 접지되며 기체 유입구(12)를 형성하는 기체 유입관(10)과 고주파(RF) 전력이 인가되는 샤워헤드 블럭(26, 28)사이에 인가되는 전기장에 의하여 발생할 수 있는 플라즈마를 억제하는 역할을 한다. 그러나 모든 반응 원료 기체가 미세 천공관(14)을 통과하게 되어 있고, 미세 천공관(14) 주변의 온도는 열전도 등으로 일부 기체의 열적 활성화가 충분할 정도로 상승할 수 있으므로 미세 천공관(14) 표면에는 여전히 불필요한 박막(16)이 증착될 가능성이 있다. 따라서 이처럼 불필요하게 증착되는 박막(16)이 전도성 박막이라면, 반응실의 샤워헤드 블럭(26,28)과 기체 유입관(10) 사이의 절연성이 파괴되어 샤워헤드 블럭(26, 28)에 인가한 RF 전력이 기체 유입관(10)을 통해 누설되므로 반응실 내에 더이상 플라즈마 를 발생시킬 수 없게 되고 따라서 PEALD 공정 진행이 불가능해진다.
종래 기술에서의 또 다른 문제점은 도 3에 나타낸 바와 같이 절연층벽(24) 하부에 박막 누적이 매우 빠르게 진행된다는 점이다. 상기 절연층벽(24)은 샤워헤드 블럭(26,28)에 밀착되어 있고, 절연층벽 하부(25)는 기판 위의 반응영역(27)에 매우 가깝게 위치하게 되어 있어서, 수 십 또는 수 백 차례의 공정 진행 후에는 상당한 두께의 박막(23)이 상기 절연층벽 하부(25) 표면 위에 증착될 수 있다. 만약 이러한 박막(23)이 전기 전도성을 가지고 계속 증착된다면, 결국 샤워헤드 블럭(26,28)과 이와 짧은 간격을 두고 배치된 접지된 상태의 플라즈마 차단벽(22)을 전기적으로 단락시키게 된다. 이 경우도 역시 더 이상 반응실 내에 플라즈마를 발생시킬 수 없게 된다. 또한 경우에 따라서는 전기 전도성 박막이 매우 적게 증착되더라도 샤워헤드(28)의 전압 분포는 변화될 수 있고 이는 기판(특히 기판의 가장자리)의 증착 특성을 불균일하거나 비대칭으로 만들 수 있다.
또한 종래 기술에는 퍼지 기체의 통로가 되는 원통형 틈새(544, 도 1 참조)를 조립 편차 때문에 일정하게 유지할 수 없다는 문제가 있다. 예를 들어 원통형 틈새(544)의 간격을 2mm로 유지하도록 반응실을 설계하더라도 조립 편차가 0.5mm라면 원통형 틈새가 좁은 쪽은 1.5mm, 넓은 쪽은 2.5mm가 된다. 대칭적이지 않은 원통형 틈새를 통해 흐르는 퍼지 기체의 흐름은 비대칭적이 되고 이것은 기판 가장 자리에서 기체의 흐름을 비대칭적으로 만들기 때문에 균일한 두께로 박막을 증착하기가 어렵다.
따라서 본 발명의 기술적 과제는 PEALD 또는 ALD를 이용하여 전도성 박막을 증착할 때, 반응실 내에서의 불필요한 박막 증착을 방지하여 반응실 내의 전기 절연성을 유지하여 지속적으로 플라즈마를 발생시킬 수 있도록 하는 증착 장치를 제공하는 것이다.
본 발명의 또 다른 기술적 과제는 PEALD 공정과 ALD 공정을 혼합하거나 순차적으로 적용하여 계속적으로 막을 형성할 수 있는 증착 장치를 제공하는데 있다.
본 발명의 또 다른 기술적 과제는 반응실의 기판에 인접한 부분에 불필요한 박막이 증착되는 것을 효과적으로 억제할 수 있도록 하는 증착 장치를 제공하는데 있다.
또한 본 발명의 또 다른 기술적 과제는 PEALD 또는 ALD를 이용하여 박막을 증착하기 위한 복수의 공정 기체들을 반응실까지 상호 분리하여 독립적으로 공급하여 반응실 내부에서 공정 기체들을 적절하게 혼합할 수 있는 원자층 증착 장치를 제공하는 것이다.
본 발명의 한 실시예에 따른 기판 상에 박막을 증착하는 원자층 증착 장치는 상기 기판을 지지하는 기판 지지대, 상기 기판 지지대 위에 형성되어 있으며 상기 기판 지지대와 접촉한 상태에서 반응실을 규정하는 반응실벽, 상기 반응실 내로 공정 기체를 유입하는 기체 유입관, 상기 기판 지지대와 함께 반응 영역을 규정하며, 상기 기체 유입관과 연결되어 있고 상기 반응 영역으로 기체를 공급하기 위한 복수의 분사구멍을 갖는 기체 분산 기구, 상기 기체 분산 기구 위에 배치되어 있으며, 절연물질로 이루어진 기체 분산 기구 절연판, 상기 기체 분산 기구 절연판과 상기 반응실벽 사이에 배치되어 있는 기체 흐름 조절판, 상기 반응실 내부의 기체를 유출하기 위한 기체 유출구, 그리고 고주파 전력을 인가하기 위해 상기 기체 분산 기구에 연결되어 있는 고주파 접속 단자를 포함하고, 상기 기체 분산 기구와 상기 기체 분산 기구 절연판 사이, 상기 기체 분산 기구 절연판과 상기 기체 흐름 조절판 사이, 그리고 상기 기체 흐름 조절판과 상기 반응실벽 사이에 기체가 통과할 수 있는 기체 통로가 형성되어 있다.
상기 원자층 증착 장치는 상기 기체 분산 기구와 상기 기체 분산 기구 절연판 사이에 형성되어 있으며, 상기 기체 분산 기구의 측면을 따라서 소정의 간격으로 대칭적으로 배치되어 있는 복수의 패드를 더 포함하고, 상기 기체 분산 기구와 상기 기체 분산 기구 절연판 사이의 기체 통로의 폭은 상기 패드의 높이에 의하여 조절될 수 있다.
상기 패드는 상기 기체 분산 기구 절연판 또는 상기 기체 분산 기구와 일체로 형성되어 있을 수 있다.
상기 원자층 증착 장치는 상기 기체 흐름 조절판과 상기 반응실벽 사이에 형성되어 있으며, 상기 기체 분산 기구의 측면을 따라서 소정의 간격으로 배치되어 있는 복수의 패드를 더 포함하고, 상기 기체 흐름 조절판과 상기 반응실벽 사이의 기체 통로의 폭은 상기 패드의 높이에 의하여 정의된다.
상기 패드는 상기 기체 흐름 조절판 또는 상기 반응실벽과 일체로 형성되어 있을 수 있다.
상기 원자층 증착 장치는 기체 유입구와 유출구를 가지는 플랜지 실린더 형태의 기체 통로 집합관을 더 포함할 수 있다.
상기 고주파 접속 단자는 상기 반응실벽을 관통하여 상기 기체 분산 기구에 연결되고, 상기 반응실벽과 전기적으로 절연되도록 설치되어 있을 수 있다.
상기 원자층 증착 장치는 상기 기판 지지대 아래에 배치되어 있으며, 상기 기판을 가열하는 가열판을 더 포함할 수 있다.
상기 원자층 증착 장치는 상기 반응실벽에 설치되어 있는 가열장치를 더 포함할 수 있다.
상기 기판 지지대는 상하로 이동하여 상기 반응실벽과 접촉하여 반응실을 규정하거나 분리되어 상기 기판의 착탈을 가능하게 할 수 있다.
본 발명의 다른 실시예에 따른 원자층 증착 장치는 기판을 지지하기 위한 기판 지지대, 상기 기판 지지대 위에 형성되어 있으며 상기 기판 지지대와 접촉한 상태에서 반응실을 규정하는 반응실벽, 서로 다른 복수의 반응 원료 기체를 별도로 유입하기 위한 복수의 기체 유입구를 가지는 기체 유입관, 상기 기판 지지대와 함께 반응 영역을 규정하며, 상기 기체 유입관과 연결되어 있고 상기 반응 영역으로 기체를 공급하기 위한 기체 분산 기구, 상기 기체 유입관과 상기 기체 분산 기구 사이에 구비되며, 복수의 미세한 관을 가지는 천공판, 그리고 상기 천공판과 상기 기체 분산 기구 사이에 구비되어 있는 나선 흐름 유도판을 포함한다.
상기 천공판은 상기 기체 유입관과 연결되는 도전 천공판과 상기 나선 흐름 유도판과 연결되는 절연 천공판을 포함할 수 있다.
상기 원자층 증착 장치는 상기 기체 분산 기구 위에 배치되어 있으며 절연물질로 이루어진 기체 분산 기구 절연판, 상기 기체 분산 기구 절연판과 상기 반응실벽 사이에 배치되어 있는 기체 흐름 조절판, 상기 반응실의 기체를 유출하기 위한 기체 유출구, 그리고 고주파 전력을 인가하기 위해 상기 기체 분산 기구에 연결되어 있는 고주파 접속 단자를 포함하고, 상기 기체 분산 기구와 상기 기체 분산 기구 절연판 사이, 상기 기체 분산 기구 절연판과 상기 기체 흐름 조절판 사이, 그리고 상기 기체 흐름 조절판과 상기 반응실벽 사이에 기체가 통과할 수 있는 기체 통로가 형성되어 있을 수 있다.
상기 도전 천공판 및 상기 절연 천공판이 가지는 복수의 미세관의 내경은 0.1mm 내지 1.2mm인 것이 바람직하다.
상기 도전 천공판이 가지는 복수의 미세관과 상기 절연 천공판이 가지는 복수의 미세관은 서로 일렬로 배치되어 각각 하나의 배관을 이룰 수 있다.
상기 나선 흐름 유도판은 상기 기체 분산 기구와 전기적 및 기계적으로 접속되어 상기 기체 분산 기구와 등전위를 가질 수 있다.
상기 나선 흐름 유도판의 상부에는 상기 절연 천공판이 가지는 복수의 미세관과 접속되는 복수의 미세구가 형성되어 있으며, 상기 나선 흐름 유도판의 하부에는 상기 미세구멍을 통하여 유입되는 기체의 흐름 방향을 유도하는 복수의 유도홈과 이들 복수의 유도홈의 중심을 이루는 혼합 영역이 형성되어 있을 수 있다. 상기 미세관을 통한 기체의 흐름은 유도판과 대체로 직각을 이룬다.
상기 유도홈은 시계방향 또는 시계 반대 방향으로 꺾인 형태를 가지고, 상기 혼합 영역은 원판 형태를 가지며, 상기 유도홈은 상기 혼합 영역의 원주에 접하는 형태로 상기 혼합 영역과 연결되어 있을 수 있다.
상기 기체 분산 기구는 하부에 위치하는 복수의 분사구멍을 가지는 분산판과 측면을 이루는 분산관을 포함하며, 상기 분산관은 상부에서 하부로 갈수록 반경이 커지는 나팔관 형태를 가지는 샤워 헤드일 수 있다.
상기 원자층 증착 장치는 상기 기체 분산 기구와 상기 기체 분산 기구 절연판 사이에 형성되어 있으며, 대칭적으로 배치되어 있는 복수의 패드를 더 포함하고, 상기 기체 분산 기구와 상기 기체 분산 기구 절연판 사이의 기체 통로의 폭은 상기 패드의 높이에 의하여 정의된다.
상기 패드는 상기 기체 분산 기구 절연판 또는 상기 기체 분산 기구와 일체로 형성되어 있을 수 있다.
상기 원자층 증착 장치는 상기 기체 흐름 조절판과 상기 반응실벽 사이에 형성되어 있으며, 대칭적으로 배치되어 있는 복수의 패드를 더 포함하고, 상기 기체 흐름 조절판과 상기 반응실벽 사이의 기체 통로의 폭은 상기 패드의 높이에 의하여 정의된다.
상기 패드는 상기 기체 흐름 조절판 또는 상기 반응실벽과 일체로 형성되어 있을 수 있다.
상기 원자층 증착 장치는 기체 유입구와 유출구를 가지는 플랜지 실린더 형태의 기체 통로 집합관을 더 포함할 수 있다.
상기 고주파 접속 단자는 상기 반응실벽을 관통하여 상기 기체 분산 기구에 연결되고, 상기 반응실벽과 전기적으로 절연되도록 설치되어 있을 수 있다.
상기 도전 천공판 및 상기 절연 천공판이 가지는 복수의 미세관의 내경은 0.1㎜ 내지 1.2㎜인 것이 바람직하다.
상기 도전 천공판이 가지는 복수의 미세관과 상기 절연 천공판이 가지는 복수의 미세관은 서로 일렬로 배치되어 각각 하나의 배관을 이룰 수 있다.
이하, 첨부한 도면을 참조하여 본 발명의 실시예에 대하여 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자가 용이하게 실시할 수 있도록 상세히 설명한다. 그러나 본 발명은 여러 가지 상이한 형태로 구현될 수 있으며 여기에서 설명하는 실시예에 한정되지 않는다.
도면에서 여러 층 및 영역을 명확하게 표현하기 위하여 두께를 확대하여 나타내었다. 명세서 전체를 통하여 유사한 부분에 대해서는 동일한 도면 부호를 붙였다. 층, 막, 영역, 판 등의 부분이 다른 부분 "위에" 있다고 할 때, 이는 다른 부분 "바로 위에" 있는 경우 뿐만 아니라 그 중간에 또 다른 부분이 있는 경우도 포함한다. 반대로 어떤 부분이 다른 부분 "바로 위에" 있다고 할 때에는 중간에 다른 부분이 없는 것을 뜻한다.
그러면 도 4를 참고로 하여 본 발명의 실시예에 따른 원자층 증착 장치에 대하여 상세하게 설명한다. 도 4는 본 발명의 실시예에 따른 원자층 증착 장치를 개략적으로 도시한 단면도이다.
도 4를 참고하면, 본 발명의 실시예에 따른 원자층 증착 장치는 외벽(300), 기체 통로 집합관(315), 기체 유입관(310), 도전 천공판(321), 절연 천공판(320), 나선 흐름 유도판(332), 반응실벽(361), 가열 장치(366, 367), 샤워 헤드 형태의 기체 분산 기구(330, 335), 기판 지지대(360), 기판 지지대 구동부(380), 기체 흐름 조절판(345), 기체 분산 기구 절연판(340), 기체 분산 기구 절연관(349), 패드(350, 336), 고주파 접속 단자(325)등으로 이루어져 있다.
각 구성 요소에 대하여 좀 더 구체적으로 설명한다.
기판 지지대(360) 위에 증착 대상 기판(370)이 배치되고, 기판 지지대(360) 아래에는 가열판(365)이 배치되어 있다. 가열판(365)은 기판의 온도를 공정에 필요한 온도까지 상승시키는 역할을 한다.
기판 지지대(360)를 구동하기 위한 기판 지지대 구동부(380)는 원자층 증착 장치의 외벽(300) 하단에 고정되어 있는 공압실린더(384)와, 공압실린더(384) 사이의 평형을 조절해주는 이동판(378), 기판 지지대(360)를 지지하는 중앙 지지핀(372) 등으로 구성된다.
증착 공정 전후에는, 공압실린더(384)에 연결된 기판 지지대(360) 및 가열판(365)이 아래로 이동하여 반응실벽(361)과 기판 지지대(360)가 분리됨으로써 반응실이 개방되어 기판(370)을 반응실 내부에 장착하거나 외부로 탈착할 수 있다. 반응실이 개방된 상태에서 중앙 지지핀(372)이 상승하거나 하강하여 기판(370)을 기판 지지대(360)로부터 탈착하거나 기판지지대(360)에 장착할 수 있다.
증착 공정 시에는, 중앙 지지핀(372)이 하강하여 기판(370)을 기판지지대(360)에 장착한 상태에서 공압실린더(384)에 연결된 기판 지지대(360) 및 가열판(365)이 위쪽으로 이동하여 반응실벽(361) 하부와 기판 지지대(360)의 상단이 밀착 되어 반응실을 규정한다.
한편 반응실 내부의 온도를 요구되는 높은 온도에서 유지하지 위하여, 반응실벽(361)의 바깥면에 별도의 가열장치(366, 367)가 배치된다. 상기 가열장치(366, 367)가 공급하는 열이 외벽(300)을 통해 전도되어 유실되는 것을 최소화하기 위하여, 가열장치(366, 367)가 설치되어 있는 반응실벽(361)은 플랜지 실린더 형태의 기체 통로 집합관(315)에 의해서 챔버 외벽(300)에 기계적으로 접합되어 고정된다. 이러한 구조에 따르면, 예를 들어 반응실 내부의 온도가 300℃ 내외로 유지되는 경우에도 외벽(300)의 온도는 약 65℃ 이하로 유지될 수 있다. 또한 원자층 증착 장치의 열손실이 너무 심하거나 온도 구배를 조절할 필요가 있는 경우에는 별도의 삽입형 가열장치(나타내지 않음)를 기체 통로 집합관(315)에 부착할 수 있다.
기체 통로 집합관(315)의 중앙부에는 복수의 공정 기체들을 공급하는 복수의 기체 유입구(311, 312, 313)를 형성하는 기체 유입관(310)이 형성되어 있다. 기체 유입관(310)의 아래에는 미세한 복수의 배관을 가지는 도전 천공판(321)이 위치한다. 도전 천공판(321)의 아래쪽에는 도전 천공판(321)의 복수의 구멍과 마주보는 위치에 미세한 복수의 배관을 가지는 절연 천공판(320)이 배치되어 있으며, 절연 천공판(320)의 아래쪽에는 절연 천공판(320)과 분리되어 있는 나선 흐름 유도판(332)이 구성되어 있다. 도전 천공판(321)과 절연 천공판(320)이 가지는 미세관의 내경은 0.1㎜ 내지 1.2㎜일 수 있다.
전도성 물질로 이루어진 나선 흐름 유도판(332)은 기체 분산 기구(330, 335)의 상부를 이루는 분산관(330)와 전기적 및 기계적으로 연결되어 있다. 기체 분산 기구(330, 335)는 분산관(330) 및 분산판(335)으로 구성되는데, 분산판(335)은 기판(370)과 평행하게 기판(370) 위에 배치되며, 복수의 분사구멍(334)을 가진다. 분산관(330)은 나선 흐름 유도판(332)의 직경에 맞게 구멍 난 상부 끝부분과 분산판(335)에 맞게 구멍 난 하부 끝부분을 가지는 나팔관 형태를 가진다.
기체 분산 기구(330, 335)는 금속봉 형태인 고주파 접속 단자(325)와 전기적으로 연결된다. 고주파 접속 단자(325)는 외부의 고주파 전원 발생 장치(도시하지 않음)로부터 발생된 고주파 전력을 기체 분산 기구(330, 335)에 인가하는 역할을 한다. 고주파 접속 단자(325)는 다른 접지 부위와 전기적으로 단락 되지 않도록 피복용 절연체(326)로 둘러싸여 있다.
기체 분산 기구(330, 335)의 전기적 절연을 위하여, 분산관(330) 위에 기체 분산 기구 절연판(340)이 설치되고, 분산관(330)의 측면에 기체 분산 기구 절연관(349)이 구비된다.
기체 분산 기구 절연판(340)과 반응실벽(361) 사이에는 퍼지 기체 및 공정 기체의 통로(341,347)를 제공하는 기체 흐름 조절판(345)이 배치된다. 기체 흐름 조절판(345) 상부에는 패드(350)가 배치되어 있어서 조절판 상부 기체 통로(347)의 폭을 정의한다. 또한 기체 분산 기구의 분산관(330) 위에 복수의 패드(336)가 대칭적으로 배치되어 있어서, 분산관(330)과 기체 분산 기구 절연판(340) 사이의 절연판 하부 기체 통로(342)의 폭을 정의한다.
그러면 기체 흐름 조절판(345), 기체 분산 기구 절연판(340), 패드에 대하여 도 8을 참고로 하여 보다 자세히 설명한다.
또한 반응실벽(361)은 이중으로 형성되어 있는데, 내부벽은 외부벽보다 다소 짧게 형성되어서 내부벽과 외부벽과의 사이와 내부벽과 기판 지지대(360) 사이에 반응실벽 내부 기체 통로(362)를 형성한다. 또한 내부벽의 가장자리 아래쪽에는 홈이 파여서 이 부분은 기체 흐름 완충용 채널(363)을 형성한다.
이제, 본 발명의 실시예에 따른 원자층 증착 장치에서의 공정 기체 흐름에 대하여 설명한다.
먼저, 도 4에 표시된 화살표는 공정 기체들의 흐름을 나타낸다. 기체 유입관(310), 도전 천공판(321), 절연 천공판(320), 나선 흐름 유도판(332)을 거쳐 기체 분산 기구의 분산판(335)의 분사구멍(334)을 통하여 기판에 도달하는 기체들은 방사형 방향으로 흐르게 되며, 기판(370) 가장자리를 지나서 기체 분산 기구(330, 335)와 반응실벽(361)의 사이를 지나 조절판 상부 기체 통로(347)를 통하여 기체 통로 집합관(315)까지 흘러 기체 유출구(316)를 통해 장치 외부의 진공 펌프(도시하지 않음)로 빠져나간다.
이러한 공정 기체가 기체 유입구(311, 312, 313)를 지나 기판(370)에 공급되는 것에 대하여 도 5 내지 도 7을 참고로 하여 더욱 상세하게 설명한다.
도 5는 본 발명의 실시예에 따른 원자층 증착 장치의 공정 기체 유입부의 확대 단면도이고, 도 6은 본 발명의 실시예에 따른 원자층 증착 장치의 공정 기체 유입부 중 나선 흐름 유도판의 상부와 하부 개략도이고, 도 7은 본 발명의 실시예에 따른 원자층 증착 장치의 공정 기체 유입부에서의 기체 흐름의 개략도이다.
도 5의 화살표는 공정 기체의 흐름 방향을 나타낸다. 공정 기체는 기체 유 입관(310)이 형성하며 각기 분리되어 있는 기체 유입구(311, 312, 313)을 통해 공급되어, 복수의 미세관을 가지며 도전체로 이루어진 도전 천공판(321)을 통과한 후, 도전 천공판(321)이 가지는 복수의 관의 갯수, 위치, 지름 크기가 같은 복수의 관을 가지는 부도체로 이루어진 절연 천공판(320)을 통과한다. 도전 천공판(321)과 절연 천공판(320)을 통과한 각 공정 기체는 도전성 물질로 이루어진 나선 흐름 유도판(332)을 지나 기체 분산 기구(330, 335)의 분산관(330) 내에 도달한다.
기체 유입구(311, 312, 313)는 복수의 공정 기체 들이 각기 독립적으로 공급되도록 서로 분리되어 형성되어 있고, 도전 천공판(321)과 절연 천공판(320)은 병렬로 배치되어 있는 복수의 미세관이 형성되어 있는 구조인데, 도전 천공판(321)과 절연 천공판(320)은 서로 연결되어 있어서, 천공판(321, 320)이 각기 가지는 복수개의 미세관은 각각 하나의 연속되는 배관 형태를 이룬다. 나선 흐름 유도판(332)의 상부에도 천공판(321, 320)의 미세관과 접속하기 위한 복수의 미세구멍이 형성되어 있다.
도전 천공판(321)에 복수의 좁은 배관을 형성하는 것은 공정 기체 유입 시 공정 기체가 통과하는 관 내에서 플라즈마가 발생하는 것을 저지하여 불필요한 박막이 증착되지 않도록 하기 위한 것이다. 이처럼 공정 기체가 통과하는 관을 좁게 형성하면, 좁은 공간에서는 중성 기체 입자에서 전자를 떼어 내기에 충분한 에너지를 가질 수 있을 만큼 전자가 가속될 수 없기 때문에 공정 기체가 반응실로 유입되기 전에는 플라즈마가 발생하지 않는다.
절연 천공판(320)은 도전 천공판(321)과 나선 흐름 유도판(332) 사이를 전기 적으로 절연시키는 역할을 수행하면서, 도전 천공판(321)과 동일한 복수의 미세관을 통하여 공정 기체가 이동하도록 한다.
나선 흐름 유도판(332)은 기체 분산 기구(330, 335)와 전기적으로 연결되어 있어서 같은 전위를 가진다. 따라서 기체 분산 기구(330, 335)에 고주파 전압이 가해질 때 기체 분산 기구(330, 335)와 나선 흐름 유도판(332) 사이에는 전위차가 형성되지 않고, 따라서 기체 분산 기구(330, 335)와 나선 흐름 유도판(332)의 내부 공간에는 플라즈마가 발생하지 않아 불필요한 박막이 기체 분산 기구(330, 335)와 나선 흐름 유도판(332)의 내부 표면에 증착되는 것을 방지할 수 있다. 절연 천공판(320)의 미세관 하부와 나선 흐름 유도판(332) 사이의 공간은 충분히 좁게, 예를 들어 2mm 이하로 하여 플라즈마가 발생하지 않게 한다.
한편, 원자층 증착 장치의 분산관(330) 외부에서 공정 기체가 혼합되면 공정 기체 사이에 불필요한 화학 반응 등으로 도전성 물질이나 오염물이 생성될 수 있다. 따라서 분산관(330) 외부에서의 공정 기체의 혼합을 방지하는 것이 중요하다.
본 발명의 실시예에 따른 원자층 증착 장치의 도전 천공판(321)과 절연 천공판(320)에 복수의 미세관이 형성되어 있고, 나선 흐름 유도판(332) 상부에는 복수의 미세구멍이 형성되어 있다. 따라서 지름이 큰 기체 유입구(311, 312, 313)에서의 공정 기체의 유속보다 지름이 매우 작은 미세관(321, 320, 332)에서의 공정 기체의 유속이 더 빠르게 된다. 이에 의하여 분산관(330) 내부에 유입된 공정 기체가 기체 유입구(311, 312, 313)로 역류하여 분산관(330) 외부에서 공정 기체가 혼합되는 것을 방지할 수 있다.
또한 본 발명의 실시예에 따른 원자층 증착 장치에 유입되는 공정 기체는 미세관(321, 320, 332)을 통해서 독립적으로 이동하기 때문에 도전 천공판(321) 및 절연 천공판(320)을 통과하는 동안 공정 기체는 혼합되지 않는다.
본 발명의 실시예에 따른 원자층 증착 장치의 나선 흐름 유도판(332)은 도전 천공판(321) 및 절연 천공판(320)을 통과한 공정 기체들에 원주 방향의 나선 흐름을 유도하여 공정 기체와 불활성 기체들을 서로 효과적으로 혼합하는 역할을 한다. 원자층 증착법에서 기체 유입구(311, 312, 313)를 통해 두 가지 이상의 원료 기체가 동시에 공급되는 경우는 없기 때문에 이것은 원료 기체들을 혼합하기 위한 것이 아니라, 기체 유입구(311, 312, 313) 중 하나를 통해 공급되는 원료 기체와 다른 두 기체 유입구를 통해 공급되는 불활성 기체를 효과적으로 혼합하기 위한 것이다. 퍼지 기체를 플라즈마로 활성화하여 원료 기체로 사용하는 경우에도 분산관 안에는 플라즈마가 발생하기 않기 때문에 분산관 안에서 원료 기체들이 기체 상태에서 반응하는 일은 발생하지 않는다. 이에 대하여 도 6을 참고로 설명한다.
도 6의 (a)에서는 나선 흐름 유도판(332)의 상부를 개략적으로 나타내고, (b)에서는 나선 흐름 유도판(332)의 하부를 개략적으로 나타낸다. 도 6에 도시한 바와 같이, 나선 흐름 유도판(332)의 상부에는 도전 천공판(321) 및 절연 천공판(320)의 미세관과 접속하기 위한 복수의 미세구멍이 형성되어 있고, 하부는 각각 시계 방향으로 꺾여 있는 유도홈을 가지며 중심부에는 원판형 혼합 영역을 가진다. 유도홈은 원판형 혼합 영역의 원주에 접하는 형태로 원판형 혼합 영역과 연결되어 있다. 여기서 유도판과 평행한 면에 형성된 유도홈은 공정 기체가 혼합 영역에서 소용돌이를 형성하여 혼합될 수 있도록 하기 위한 것으로서 직각으로 꺾이는 대신 소정의 곡률로 구부러진 형태이거나 또는 원판형 혼합 영역의 원주에 접하는 직선 형태 등 다른 모양으로 변형될 수 있다.
본 실시예에서는 시계 방향으로 꺾여 있는 유도홈을 설명하였지만, 유도홈은 시계 방향 대신 시계 반대 방향으로 꺾여 있을 수 있고, 이 경우 나선의 방향이 반대로 될 뿐 공정 기체가 혼합 영역에서 섞이는 효과는 같다.
도전 천공판(321)과 절연 천공판(320), 그리고 나선 흐름 유도판(332)의 상부의 미세구멍을 통과한 공정 기체들은 좁은 유도홈을 통과하면서 빠르게 가속된다.
도 7의 화살표는 공정 기체의 흐름 방향을 나타낸다. 도 7에 도시한 바와 같이, 기체 유입구(311, 312, 313)로 각각 유입된 공정 기체는 도전 천공판(321)과 절연 천공판(320)과 나선 흐름 유도판(332) 상부의 미세 구멍을 통과한다. 이때 기체 유입구와 천공판을 통과한 기체의 흐름은 대체로 나선 흐름 유도판(332)와 수직을 이룬다. 각각의 공정 기체들의 흐름은 기판(370)과 평행한 나선 흐름 유도판(332) 하부의 좁은 유도홈을 지나며 시계 방향 또는 시계 반대 방향으로 회전한다. 이러한 회전에 의하여 각각의 공정 기체들은 소용돌이를 일으키면서 분산관(330) 내부로 유입되는데, 이러한 소용돌이 흐름에 의하여 분산관(330) 안에서 기체 유입구(311, 312, 313)로 각각 유입된 공정 기체와 불활성 기체가 잘 혼합된다.
분산관(330)의 내부는 와류를 억제하고 층류(laminar flow)를 유도하도록 나팔 모양의 곡면 형태를 가져서, 유입되어 혼합된 공정 기체의 흐름을 원할히 분산 시킬 뿐만 아니라, 분산관(330) 내부의 면적을 최소화하여 공정기체의 전환이 빠르도록 하는 특성이 있다. 즉 순차적인 공정 기체의 공급 과정에서 이전 공급 기체가 불필요하게 기체 분산 기구(330, 335) 내부에 누적되어 차후 공급되는 기체와 기상 반응을 일으키는 것을 최소화 할 수 있다. 원자층 증착기에서 공정 기체의 전환이 빠르면, 원자층 증착법에서 단위 시간 당 기체 공급 주기의 수가 늘 수 있고, 단위 시간 당 막 증착 속도가 커질 수 있다. 나선 흐름 유도판(332)과 함께 분산관(330)은 짧은 원자층 증착 기체 공급 주기 동안에도 잘 혼합된 균일한 공정 기체를 기판(370) 표면에 공급한다.
또한 하부의 분산판(335)은 분산관(330)에 의해 1차로 분산된 기체들을 분사구멍(334)을 통하여 기판(370)에 도달하게 하므로 기체를 기판(370) 상에 더욱 균일하게 공급할 수 있다.
기체 유입구(311, 312, 313)와 도전 천공판(321)과 절연 천공판(320)과 나선 흐름 유도판(332) 상부의 미세 구멍을 통과한 공정 기체의 흐름은 기판(370)에 대해 비대칭적이지만 나선 흐름 유도판(332)을 통과하며 기판(370)에 평행한 방향으로 소용돌이를 형성하여 혼합된 후 기판에 대칭적으로 바뀐다. 기체 유입구 중 한 곳으로 유입된 원료 기체는 다른 두 곳으로 유입된 불활성 기체와 효과적으로 혼합되어 기판에 균일하게 흡착된다. 기판에 대체로 수직한 방향의 공정 기체의 흐름을 효과적으로 혼합하여 대칭적으로 만드는 나선 흐름 유도판의 작용은 나선 흐름 유도판(332)과 기판(370) 사이에 기체의 흐름을 유도하는 기체 분산 기구와 관련이 없다. 따라서, 본 발명의 다른 한 실시예에 따른 원자층 증착기는 분산판(335)를 생략하고 나선 흐름 유도판(332)와 분산관(330) 만을 구비할 수도 있는데, 이러한 원자층 증착기에 의하더라도 공정 기체를 기판(370)에 대체로 수직하게 균일하게 공급할 수 있다.
본 발명의 실시예에 따른 원자층 증착기의 기체 분산 기구(330, 335)에 고주파 접속 단자(325)를 통하여 고주파 전력이 인가되면, 전기적으로 접지되어 있는 기판 지지대(360)와 기체 분산 기구의 분산판(335) 사이에서 플라즈마가 발생하여, 기판(370)에 박막이 증착된다.
이 때, 고주파 전압이 인가되는 기체 분산 기구(330, 335)와 기체 분산 기구 절연판(340) 사이에 공정 기체가 흐르게 되면 불필요한 박막이 증착될 수 있고, 박막이 증착되는 기판(370)과 공정 기체가 공급되는 분산판(335)에 인접한 반응실의 내부벽(361)의 하부에 불필요한 박막이 증착될 수도 있다.
본 발명의 실시예에 따른 원자층 증착기는 박막의 형성되어서는 안 되는 영역에 불활성 기체의 흐름을 유지하여 이러한 불필요한 박막의 증착을 방지한다.
이제, 도 8 및 도 9를 참고로 하여 본 발명의 실시예에 따른 원자층 증착기의 불활성 기체의 흐름에 대하여 설명한다. 도 8은 본 발명의 실시예에 따른 원자층 증착 장치에서의 불활성 기체 흐름을 개략적으로 나타낸 사시도이고, 도 9는 본 발명의 실시예에 따른 원차층 증착 장치에서 불필요한 증착 방지 및 입자 발생 방지를 위한 불활성 기체 흐름 개략도이다. 도 8 및 도 9에서 화살표는 불활성 기체의 흐름 방향을 나타낸다.
먼저 도 4를 참고하면, 아르곤(Ar) 등으로 이루어진 불활성 기체는 고주파 접속 단자(325)와 기체 흐름 조절판(345) 사이의 틈새를 통하여 공급된다. 이 때, 아르곤 대신 헬륨(He)이나 질소(N2) 기체를 사용할 수도 있다.
도 8을 참고하면, 이렇게 공급된 불활성 기체는 고주파 접속 단자 기체 통로(344)를 통하여 원형 채널(343)로 흐른다. 원형 채널(343)에 이른 불활성 기체는 원형 채널(343)로부터 방사상으로 균일하게 퍼져서, 기체 분산 기구의 분산관(330)과 기체 분산 기구 절연판(340) 사이의 절연판 하부 기체 통로(342)로 흐름과 동시에 기체 분산 기구 절연판(340)의 중앙부에 일정한 간격으로 형성된 관 모양의 통로(346)를 통해 나누어져 기체 분산 기구 절연판(340)과 기체 흐름 조절판(345) 사이의 절연판 상부 기체 통로(341)로도 흐른다. 절연판 윗면과 아랫면의 기체 통로(341, 342)를 통과한 불활성 기체는 기판 위를 지난 공정 기체와 합해져서, 기체 분산 기구(330, 335)와 반응실벽(361)의 사이를 지나 조절판 상부 기체 통로(347)를 통과하여 외부로 배기된다.
이처럼 기체 분산 기구 절연판(340) 윗면과 아랫면에 배치되어 있는 기체 통로(341, 342)를 통하여 불활성 기체가 원자층 증착 공정 동안 계속해서 흐르게 함으로써, 기체 분산 기구 절연판(340)의 양면에서는 공정 기체에 의한 박막 증착이 이루어지지 않는다.
이 때, 앞서 설명하였듯이 절연판 하부 기체 통로(342)의 간격은 기체 분산 기구의 분산관(330) 위에 대칭적으로 배치되어 있는 복수의 패드(336)의 높이에 의하여만 변화한다. 대칭적으로 일정한 간격으로 배치된 복수의 패드(336)가 기체 분산 기구 분산관(330) 윗면에 정밀하게 형성되고, 복수의 패드의 윗면이 모두 하나의 평면에 위치하여 기체 분산 기구 절연판(340)의 아랫면에 밀착하여, 원자층 증착 장치의 조립에 따른 오차가 발생하지 않고, 절연판 하부 기체 통로(342)는 일정하게 유지된다. 복수의 패드(336)는 기체 분산 기구 분산관(330) 윗면이 아니라 기체 분산 기구 절연판(340)의 아랫면에 형성될 수도 있다. 패드는 기계 가공하여 일체로 형성하거나 따로 제작하여 부착할 수 있다.
유사하게, 기체 흐름 조절판(345) 위에도 복수의 패드(350)가 대칭적으로 형성되어 기체 통로(341)의 간격을 결정한다. 대칭적으로 일정한 간격으로 배치된 복수의 패드는 조절판 상부에 정밀하게 형성하여 있어서, 조절판 상부 기체 통로(347)도 조립 오차의 영향 없이 일정한 간격을 유지하게 된다. 복수의 패드(350)는 조절판(330) 윗면이 아니라 반응실벽(361)의 아랫면에 형성될 수도 있다.
이러한 패드들(336, 350)은 간격을 정의하는 기능 이외에도 가열장치(366,367)로부터 기체 분산 기구 블럭(330, 335)에 열을 효과적으로 전달하는 열전달 통로로서의 기능도 수행한다.
도 4 및 도 9를 참고하면, 이중으로 형성되어 있는 반응실벽(361)의 내부벽은 외부벽보다 다소 짧게 형성되어서 내부벽과 외부벽과의 사이와, 내부벽과 기판 지지대(360) 사이에 반응실벽 내부 기체 통로(362)를 형성하여 불활성 기체가 흐른다. 또한 내부벽의 가장자리 아래쪽에는 홈이 파여서 기판 지지대(360)와 외부벽이 맞닫는 부위(364)에 기체 흐름 완충용 채널(363)을 정의한다. 완충용 채널(363)은 반응실의 공정 압력에 비해 상대적으로 높은 기체 압력을 가지도록 하여, 불활성 기체가 반응실 내부로 고르게 유입될 수 있도록 한다.
이러한 기체 통로(362)와 완충용 채널(363)에 흐르는 불활성 기체는 증착 공정 동안 계속적으로 흐르게 되며, 이를 통하여 실질적으로 기계적인 접촉이 이루어지는 접촉부(364)에서 박막이 형성되는 것을 방지한다.
만일 박막 증착 공정 동안 불활성 기체가 흐르지 않는다면, 접촉부(364)에까지 공정 기체가 확산되어 불필요한 박막이 증착될 수 있으며, 박막이 증착되면, 기판(370)의 이송을 위하여 되풀이되는 기계적인 접촉 및 충격에 의해 박막이 분리되어 불순물 입자가 반응기 내부에 발생할 가능성이 있다.
본 발명의 실시예에 따른 원자층 증착 장치에서는 박막 증착 공정 동안 계속하여 불활성 기체를 기체 통로(362) 및 완충용 채널(363) 안에 흐르게 함으로써 박막 및 불순물 입자의 생성을 방지한다.
이상에서 본 발명의 바람직한 실시예에 대하여 상세하게 설명하였지만 본 발명의 권리범위는 이에 한정되는 것은 아니고 다음의 청구범위에서 정의하고 있는 본 발명의 기본 개념을 이용한 당업자의 여러 변형 및 개량 형태 또한 본 발명의 권리범위에 속하는 것이다.
본 발명의 실시예에 따른 원자층 증착 장치에 따르면, PEALD나 ALD 또는 이 둘을 혼합하거나 순차적으로 적용하는 공정에 상관없이 전도성 박막을 증착함에 있어, 전기적 단락이 없이 매우 안정적으로 필요한 플라즈마를 반응실 내부에 발생시켜서, 단차 피복성이 우수하고 두께 조절성이 뛰어난 전도성 박막을 기판 위에 증 착할 수 있다. Ru 막을 원자층 증착법으로 형성하는 경우처럼 ALD 공정의 기체 공급 주기 당 막 성장 속도가 PEALD보다 빠르지만 막이 형성되기 시작할 때까지 잠복기가 길고 PEALD 공정은 막 형성 시작까지 잠복기가 짧지만 기체 공급 주기 당 막 성장 속도가 느린 경우가 있다. 이런 경우 본 발명의 원자층 증착 장치를 사용하여, PEALD 공정을 먼저 적용하여 짧은 잠복기 이후에 막이 형성되게 한 후 기체 공급 주기 당 막 성장 속도가 빠른 ALD 공정을 적용해서 일정한 두께의 막을 가장 짧은 시간 안에 형성할 수가 있다.
또한 본 발명의 실시예에 따른 원자층 증착 장치에 의하면, PEALD 또는 ALD를 이용하여 박막을 증착하기 위한 복수의 공정 기체들을 반응실까지 상호 분리하여 독립적으로 공급하여 반응 영역 외부에서 박막이 증착되는 것을 방지하고, 반응실 내부에서 공정 기체들을 적절하게 혼합하여 공급할 수 있다.
또한 본 발명에 의하면 반응실 내부에의 불필요한 증착에 따른 불순물 입자의 발생이 적고, 기판 후면에 박막이 증착되는 것을 방지할 수 있는 PEALD 및 ALD 증착을 위한 장치를 제공할 수 있다.

Claims (32)

  1. 기판 상에 박막을 증착하는 장치에 있어서,
    상기 기판을 지지하는 기판 지지대,
    상기 기판 지지대 위에 형성되어 있으며 상기 기판 지지대와 접촉한 상태에서 반응실을 규정하는 반응실벽,
    상기 반응실 내로 공정 기체를 유입하는 기체 유입관,
    상기 기판 지지대와 함께 반응 영역을 규정하며, 상기 기체 유입관과 연결되어 있고 상기 반응 영역으로 기체를 공급하기 위한 복수의 분사구멍을 갖는 기체 분산 기구,
    상기 기체 분산 기구 위에 배치되어 있으며, 절연물질로 이루어진 기체 분산 기구 절연판,
    상기 기체 분산 기구 절연판과 상기 반응실벽 사이에 배치되어 있는 기체 흐름 조절판,
    상기 반응실 내부의 기체를 유출하기 위한 기체 유출구, 그리고
    고주파 전력을 인가하기 위해 상기 기체 분산 기구에 연결되어 있는 고주파 접속 단자를 포함하고,
    상기 기체 분산 기구와 상기 기체 분산 기구 절연판 사이, 상기 기체 분산 기구 절연판과 상기 기체 흐름 조절판 사이, 그리고 상기 기체 흐름 조절판과 상기 반응실벽 사이에 기체가 통과할 수 있는 기체 통로가 형성되어 있는 원자층 증착 장치.
  2. 제1항에서,
    상기 기체 분산 기구와 상기 기체 분산 기구 절연판 사이에 형성되어 있으며, 상기 기체 분산 기구의 측면을 따라서 소정의 간격으로 대칭적으로 배치되어 있는 복수의 패드를 더 포함하고,
    상기 기체 분산 기구와 상기 기체 분산 기구 절연판 사이의 기체 통로의 폭은 상기 패드의 높이에 의하여 정의되는 원자층 증착 장치.
  3. 제2항에서,
    상기 패드는 상기 기체 분산 기구 절연판 또는 상기 기체 분산 기구와 일체로 형성되어 있는 원자층 증착 장치.
  4. 제1항에서,
    상기 기체 흐름 조절판과 상기 반응실벽 사이에 형성되어 있으며, 상기 기체 분산 기구의 측면을 따라서 소정의 간격으로 배치되어 있는 복수의 패드를 더 포함하고,
    상기 기체 흐름 조절판과 상기 반응실벽 사이의 기체 통로의 폭은 상기 패드의 높이에 의하여 정의되는 원자층 증착 장치.
  5. 제4항에서,
    상기 패드는 상기 기체 흐름 조절판 또는 상기 반응실벽과 일체로 형성되어 있는 원자층 증착 장치.
  6. 제1항에서,
    기체 유입구와 유출구를 가지는 플랜지 실린더 형태의 기체 통로 집합관을 더 포함하는 원자층 증착 장치.
  7. 제1항에서,
    상기 고주파 접속 단자는 상기 반응실벽을 관통하여 상기 기체 분산 기구에 연결되고, 상기 반응실벽과 전기적으로 절연되도록 설치되어 있는 원자층 증착 장치.
  8. 제1항에서,
    상기 기판 지지대 아래에 배치되어 있으며, 상기 기판을 가열하는 가열판을 더 포함하는 원자층 증착 장치.
  9. 제1항에서,
    상기 반응실벽에 설치되어 있는 가열장치를 더 포함하는 원자층 증착 장치.
  10. 제1항에서,
    상기 기판 지지대는 상하로 이동하여 상기 반응실벽과 접촉하여 반응실을 규정하거나 분리되어 상기 기판의 착탈을 가능하게 하는 원자층 증착 장치.
  11. 기판 상에 박막을 증착하는 장치에 있어서,
    상기 기판을 지지하기 위한 기판 지지대,
    상기 기판 지지대 위에 형성되어 있으며 상기 기판 지지대와 접촉한 상태에서 반응실을 규정하는 반응실벽,
    서로 다른 복수의 반응 원료 기체를 별도로 유입하기 위한 분리된 복수의 기체 유입구를 가지는 기체 유입관,
    상기 기판 지지대와 함께 반응 영역을 규정하며, 상기 기체 유입관과 연결되어 있고 상기 반응 영역으로 기체를 공급하기 위한 기체 분산 기구,
    상기 기체 유입관과 상기 기체 분산 기구 사이에 구비되며, 복수의 미세한 관을 가지는 천공판, 그리고
    상기 천공판과 상기 기체 분산 기구 사이에 구비되어 있는 나선 흐름 유도판
    을 포함하는 원자층 증착 장치.
  12. 제11항에서,
    상기 천공판은 상기 기체 유입관과 연결되는 도전 천공판과 상기 나선 흐름 유도판과 연결되는 절연 천공판을 포함하는 원자층 증착 장치.
  13. 제12항에서,
    상기 도전 천공판 및 상기 절연 천공판이 가지는 미세관의 내경은 0.1㎜ 내지 1.2㎜인 원자층 증착 장치.
  14. 제12항에서,
    상기 도전 천공판이 가지는 복수의 미세관과 상기 절연 천공판이 가지는 복수의 미세관은 서로 일렬로 배치되어 각각 하나의 배관을 이루는
    원자층 증착 장치.
  15. 제12항에서,
    상기 나선 흐름 유도판은 상기 기체 분산 기구와 전기적 및 기계적으로 접속되어 상기 기체 분산 기구와 등전위를 가지는 원자층 증착 장치.
  16. 제12항에서,
    상기 나선 흐름 유도판의 상부에는 상기 절연 천공판이 가지는 복수의 미세관과 접속되는 복수의 미세구가 형성되어 있으며, 상기 나선 흐름 유도판의 하부에는 상기 미세구멍을 통하여 유입되는 기체의 흐름 방향을 유도하는 복수의 유도홈과 이들 복수의 유도홈의 중심에 혼합 영역이 형성되어 있는 원자층 증착 장치.
  17. 제16항에서,
    상기 유도홈은 시계방향으로 꺾인 형태를 가지고, 상기 혼합 영역은 원판 형태를 가지며, 상기 유도홈은 상기 혼합 영역의 원주에 접하는 형태로 상기 혼합 영역과 연결되어 있는 원자층 증착 장치.
  18. 제16항에서,
    상기 유도홈은 반시계 방향으로 꺾인 형태를 가지고, 상기 혼합 영역은 원판 형태를 가지며, 상기 유도홈은 상기 혼합 영역의 원주에 접하는 형태로 상기 혼합 영역과 연결되어 있는 원자층 증착 장치.
  19. 제12항에서,
    상기 기체 분산 기구 위에 배치되어 있으며 절연물질로 이루어진 절연판,
    상기 기체 분산 기구 절연판과 상기 반응실벽 사이에 배치되어 있는 기체 흐름 조절판,
    상기 반응실의 기체를 유출하기 위한 기체 유출구, 그리고
    고주파 전력을 인가하기 위해 상기 기체 분산 기구에 연결되어 있는 고주파 접속 단자를 포함하고,
    상기 기체 분산 기구와 상기 절연판 사이, 상기 절연판과 상기 기체 흐름 조절판 사이, 그리고 상기 기체 흐름 조절판과 상기 반응실벽 사이에 기체가 통과할 수 있는 기체 통로가 형성되어 있는 원자층 증착 장치.
  20. 제19항에서,
    상기 기체 분산 기구와 상기 기체 분산 기구 절연판 사이에 형성되어 있으며, 대칭적으로 배치되어 있는 복수의 패드를 더 포함하고,
    상기 기체 분산 기구와 상기 기체 분산 기구절연판 사이의 기체 통로의 폭은 상기 패드의 높이에 의하여 정의되는 원자층 증착 장치.
  21. 제20항에서,
    상기 패드는 상기기체 분산 기구 절연판 또는 상기 기체 분산 기구와 일체로 형성되어 있는 원자층 증착 장치.
  22. 제19항에서,
    상기 기체 흐름 조절판과 상기 반응실벽 사이에 형성되어 있으며, 대칭적으로 배치되어 있는 복수의 패드를 더 포함하고,
    상기 기체 흐름 조절판과 상기 반응실벽 사이의 기체 통로의 폭은 상기 패드의 높이에 의하여 정의되는 원자층 증착 장치.
  23. 제22항에서,
    상기 패드는 상기 기체 흐름 조절판 또는 상기 반응실벽과 일체로 형성되어 있는 원자층 증착 장치.
  24. 제19항에서,
    기체 유입구와 유출구를 가지는 플랜지 실린더 형태의 기체 통로 집합관을 더 포함하는 원자층 증착 장치.
  25. 제19항에서,
    상기 고주파 접속 단자는 상기 반응실벽을 관통하여 상기 기체 분산 기구에 연결되고, 상기 반응실벽과 전기적으로 절연되도록 설치되어 있는 원자층 증착 장치.
  26. 제19항에서,
    상기 도전 천공판 및 상기 절연 천공판이 가지는 복수의 미세관의 내경은 0.1㎜ 내지 1.2㎜인 원자층 증착 장치.
  27. 제19항에서,
    상기 도전 천공판이 가지는 복수의 미세관과 상기 절연 천공판이 가지는 복수의 미세관은 서로 일렬로 배치되어 각각 하나의 배관을 이루는 원자층 증착 장치.
  28. 제11항에서,
    상기 기체 분산 기구는 나팔관 형태의 분산관을 포함하며,
    상기 분산관은 상부에서 나선 흐름 유도판과 연결되고 하부로 갈수록 반경이 커지는 원자층 증착 장치.
  29. 제11항에서,
    상기 기체 분산 기구는, 분산판과 분산관을 포함하는 샤워 헤드이고,
    상기 분산판은 분산관의 하부에 위치하여 복수의 분사 구멍을 가지는 원자층 증착 장치.
  30. 제29항에서,
    상기 나선 흐름 유도판은 상기 분산관의 상부 입구에 고정되어 있으며, 상기 기체 분산 기구와 전기적 및 기계적으로 접속되어 상기 기체 분산 기구와 등전위를 가지는 원자층 증착 장치.
  31. 제11항에서,
    기체 유입관, 도전 천공판, 절연 천공판이 공정 기체를 나선 흐름 유도판에 대체로 수직으로 공급하는 원자층 증착 장치.
  32. 제31항에서,
    상기 나선 흐름 유도판에는 기판 지지대와 대체로 평행하게 형성된 복수의 유도홈을 포함하며,
    상기 유도홈은 공정 기체를 기판 지지대에 대체로 수직한 방향으로 기체 분산 기구에 유입하도록 형성된 원자층 증착 장치.
KR1020050130487A 2004-12-28 2005-12-27 원자층 증착기 KR20060076714A (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2005379752A JP2009120859A (ja) 2004-12-28 2005-12-28 原子層蒸着装置

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020040113898 2004-12-28
KR20040113898 2004-12-28

Publications (1)

Publication Number Publication Date
KR20060076714A true KR20060076714A (ko) 2006-07-04

Family

ID=36609941

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020050130487A KR20060076714A (ko) 2004-12-28 2005-12-27 원자층 증착기

Country Status (3)

Country Link
US (1) US20060137608A1 (ko)
JP (1) JP2009120859A (ko)
KR (1) KR20060076714A (ko)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100744528B1 (ko) * 2006-04-14 2007-08-01 주식회사 아토 알에프 파워가 인가되는 가스 분리형 샤워헤드를 이용한플라즈마 원자층 증착장치 및 방법
KR100914534B1 (ko) * 2007-12-26 2009-09-02 세메스 주식회사 기판 처리 장치 및 이의 세정 방법
KR20130074420A (ko) 2011-12-26 2013-07-04 주식회사 원익아이피에스 가스분사장치 및 이를 구비하는 기판처리장치
KR20150002543A (ko) * 2013-06-28 2015-01-07 램 리써치 코포레이션 가스 시일을 갖는 화학적 증착 챔버
KR20160024637A (ko) * 2014-08-26 2016-03-07 에이에스엠 아이피 홀딩 비.브이. 증착 장치
KR20170019975A (ko) * 2015-08-13 2017-02-22 에이에스엠 아이피 홀딩 비.브이. 증착 장치 및 이를 포함하는 증착 시스템
KR20200130416A (ko) * 2018-05-14 2020-11-18 베이징 나우라 마이크로일렉트로닉스 이큅먼트 씨오., 엘티디. 챔버 어셈블리 및 반응 챔버
KR20210003187A (ko) * 2018-06-01 2021-01-11 베이징 나우라 마이크로일렉트로닉스 이큅먼트 씨오., 엘티디. 상부 전극 어셈블리, 반응 챔버 및 원자층 증착 디바이스

Families Citing this family (322)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US8025922B2 (en) * 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
JP4628900B2 (ja) * 2005-08-24 2011-02-09 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20070264427A1 (en) * 2005-12-21 2007-11-15 Asm Japan K.K. Thin film formation by atomic layer growth and chemical vapor deposition
US7435484B2 (en) * 2006-09-01 2008-10-14 Asm Japan K.K. Ruthenium thin film-formed structure
KR20090018290A (ko) * 2007-08-17 2009-02-20 에이에스엠지니텍코리아 주식회사 증착 장치
JP5347294B2 (ja) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
KR101544198B1 (ko) 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 루테늄 막 형성 방법
US20090120364A1 (en) * 2007-11-09 2009-05-14 Applied Materials, Inc. Gas mixing swirl insert assembly
US8282735B2 (en) * 2007-11-27 2012-10-09 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
US7655564B2 (en) 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
US8092606B2 (en) 2007-12-18 2012-01-10 Asm Genitech Korea Ltd. Deposition apparatus
US7799674B2 (en) 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
US8084104B2 (en) 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US9175388B2 (en) * 2008-11-01 2015-11-03 Ultratech, Inc. Reaction chamber with removable liner
US9328417B2 (en) * 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
JP2012521094A (ja) * 2009-03-16 2012-09-10 アルタ デバイセズ,インコーポレイテッド ウエハキャリアトラック
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8329569B2 (en) 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2011144412A (ja) * 2010-01-13 2011-07-28 Honda Motor Co Ltd プラズマ成膜装置
DE102010000479A1 (de) * 2010-02-19 2011-08-25 Aixtron Ag, 52134 Vorrichtung zur Homogenisierung eines verdampften Aerosols sowie Vorrichtung zum Abscheiden einer organischen Schicht auf einem Substrat mit einer derartigen Homogenisierungseinrichtung
SG10201501824XA (en) * 2010-03-12 2015-05-28 Applied Materials Inc Atomic layer deposition chamber with multi inject
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
KR101830976B1 (ko) * 2011-06-30 2018-02-22 삼성디스플레이 주식회사 원자층 증착장치
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103889138B (zh) * 2012-12-24 2016-06-29 中国科学院微电子研究所 等离子体放电装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10781516B2 (en) * 2013-06-28 2020-09-22 Lam Research Corporation Chemical deposition chamber having gas seal
TWI480415B (zh) * 2013-11-27 2015-04-11 Ind Tech Res Inst 多模式薄膜沉積設備以及薄膜沉積方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
SG11201605837TA (en) 2014-03-03 2016-08-30 Picosun Oy Protecting an interior of a gas container with an ald coating
EP3114249B1 (en) 2014-03-03 2020-07-08 Picosun Oy Protecting an interior of a hollow body with an ald coating
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR102268959B1 (ko) * 2014-03-31 2021-06-24 삼성디스플레이 주식회사 원자층 증착 장치 및 이를 이용한 원자층 증착 방법
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR20160062626A (ko) * 2014-11-25 2016-06-02 (주)에스티아이 이중 배기 구조의 프로세스챔버와 이를 포함하는 기판제조장치 및 기판제조방법
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
KR102417934B1 (ko) * 2015-07-07 2022-07-07 에이에스엠 아이피 홀딩 비.브이. 박막 증착 장치
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US11201035B2 (en) 2018-05-04 2021-12-14 Tokyo Electron Limited Radical source with contained plasma
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10734219B2 (en) * 2018-09-26 2020-08-04 Asm Ip Holdings B.V. Plasma film forming method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
WO2020131214A1 (en) * 2018-12-20 2020-06-25 Applied Materials, Inc. Method and apparatus for supplying improved gas flow to a processing volume of a processing chamber
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20220020958A (ko) * 2019-06-25 2022-02-21 피코순 오와이 기판 처리 장치에서의 플라즈마
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11043362B2 (en) 2019-09-17 2021-06-22 Tokyo Electron Limited Plasma processing apparatuses including multiple electron sources
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
DE102019129176A1 (de) * 2019-10-29 2021-04-29 Apeva Se Verfahren und Vorrichtung zum Abscheiden organischer Schichten
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11817331B2 (en) 2020-07-27 2023-11-14 Applied Materials, Inc. Substrate holder replacement with protective disk during pasting process
US11749542B2 (en) 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
US11600507B2 (en) 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
FI130387B (fi) 2021-03-30 2023-08-07 Beneq Oy Atomikerroskasvatuslaite
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US12002668B2 (en) 2021-06-25 2024-06-04 Applied Materials, Inc. Thermal management hardware for uniform temperature control for enhanced bake-out for cluster tool
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN117737704A (zh) * 2022-03-29 2024-03-22 江苏微导纳米科技股份有限公司 薄膜沉积装置
CN118136544A (zh) * 2022-12-02 2024-06-04 盛美半导体设备(上海)股份有限公司 一种整流装置

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0246723A (ja) * 1988-08-09 1990-02-16 Fujitsu Ltd 薄膜形成装置
JP3185493B2 (ja) * 1993-09-07 2001-07-09 日新電機株式会社 薄膜気相成長装置
JPH07230956A (ja) * 1994-02-18 1995-08-29 Kokusai Electric Co Ltd プラズマcvd装置
JP3925566B2 (ja) * 1996-11-15 2007-06-06 キヤノンアネルバ株式会社 薄膜形成装置
WO1999049705A1 (fr) * 1998-03-20 1999-09-30 Tokyo Electron Limited Dispositif de traitement plasmique
US6302057B1 (en) * 1998-09-15 2001-10-16 Tokyo Electron Limited Apparatus and method for electrically isolating an electrode in a PECVD process chamber
JP2000252216A (ja) * 1999-02-26 2000-09-14 Kanegafuchi Chem Ind Co Ltd プラズマcvd装置およびシリコン系薄膜光電変換装置の製造方法
JP3668079B2 (ja) * 1999-05-31 2005-07-06 忠弘 大見 プラズマプロセス装置
US6432259B1 (en) * 1999-12-14 2002-08-13 Applied Materials, Inc. Plasma reactor cooled ceiling with an array of thermally isolated plasma heated mini-gas distribution plates
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
JP3536057B2 (ja) * 2000-02-17 2004-06-07 独立行政法人理化学研究所 物質層形成装置
US7451774B2 (en) * 2000-06-26 2008-11-18 Applied Materials, Inc. Method and apparatus for wafer cleaning
JP2002115068A (ja) * 2000-10-11 2002-04-19 Applied Materials Inc シャワーヘッド、基板処理装置および基板製造方法
US7138336B2 (en) * 2001-08-06 2006-11-21 Asm Genitech Korea Ltd. Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US20030141178A1 (en) * 2002-01-30 2003-07-31 Applied Materials, Inc. Energizing gas for substrate processing with shockwaves
JP4255237B2 (ja) * 2002-02-28 2009-04-15 株式会社日立国際電気 基板処理装置及び基板処理方法
JP2003303819A (ja) * 2002-04-09 2003-10-24 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100744528B1 (ko) * 2006-04-14 2007-08-01 주식회사 아토 알에프 파워가 인가되는 가스 분리형 샤워헤드를 이용한플라즈마 원자층 증착장치 및 방법
KR100914534B1 (ko) * 2007-12-26 2009-09-02 세메스 주식회사 기판 처리 장치 및 이의 세정 방법
KR20130074420A (ko) 2011-12-26 2013-07-04 주식회사 원익아이피에스 가스분사장치 및 이를 구비하는 기판처리장치
KR20150002543A (ko) * 2013-06-28 2015-01-07 램 리써치 코포레이션 가스 시일을 갖는 화학적 증착 챔버
KR20160024637A (ko) * 2014-08-26 2016-03-07 에이에스엠 아이피 홀딩 비.브이. 증착 장치
KR20170019975A (ko) * 2015-08-13 2017-02-22 에이에스엠 아이피 홀딩 비.브이. 증착 장치 및 이를 포함하는 증착 시스템
KR20200130416A (ko) * 2018-05-14 2020-11-18 베이징 나우라 마이크로일렉트로닉스 이큅먼트 씨오., 엘티디. 챔버 어셈블리 및 반응 챔버
KR20210003187A (ko) * 2018-06-01 2021-01-11 베이징 나우라 마이크로일렉트로닉스 이큅먼트 씨오., 엘티디. 상부 전극 어셈블리, 반응 챔버 및 원자층 증착 디바이스

Also Published As

Publication number Publication date
JP2009120859A (ja) 2009-06-04
US20060137608A1 (en) 2006-06-29

Similar Documents

Publication Publication Date Title
KR20060076714A (ko) 원자층 증착기
JP4666912B2 (ja) プラズマで補強した原子層蒸着装置及びこれを利用した薄膜形成方法
JP7393501B2 (ja) 前駆体の流れを改善する半導体処理チャンバ
CN108231624B (zh) 基板处理设备
TWI671792B (zh) 基板處理設備
KR101442815B1 (ko) 증착 장치
KR20090018290A (ko) 증착 장치
TWI775853B (zh) 電漿反應器及電漿處理的方法
KR100697158B1 (ko) 반도체 처리공정을 위한 가스 분산 장치 및 기판의 처리 방법
JP4216243B2 (ja) ヘリカル共振器型のプラズマ処理装置
TWI589724B (zh) 熱絲化學氣相沉積腔室之噴頭設計
KR20130030745A (ko) 다중 인젝트를 이용하는 원자 층 증착 챔버
KR20140034115A (ko) 이중 전달 챔버 디자인
CN103988286A (zh) 自给自足式加热元件
KR100721504B1 (ko) 플라즈마 강화 원자층 증착 장치 및 이를 이용한 박막형성방법
TW200849336A (en) Apparatus and method for deposition over large area substrates
JP6951549B2 (ja) 炭素化合物の堆積及び処理のためのマイクロ波リアクタ
KR101123829B1 (ko) 기판 처리 장치 및 방법
TW202342806A (zh) 具有加熱噴頭的噴頭組件
TWM639577U (zh) 用於改善沉積厚度均勻性的噴淋頭、噴淋頭組件及基板處理系統
KR101309846B1 (ko) 원자층 증착 장치

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid