JP2009120859A - 原子層蒸着装置 - Google Patents

原子層蒸着装置 Download PDF

Info

Publication number
JP2009120859A
JP2009120859A JP2005379752A JP2005379752A JP2009120859A JP 2009120859 A JP2009120859 A JP 2009120859A JP 2005379752 A JP2005379752 A JP 2005379752A JP 2005379752 A JP2005379752 A JP 2005379752A JP 2009120859 A JP2009120859 A JP 2009120859A
Authority
JP
Japan
Prior art keywords
gas
plate
atomic layer
layer deposition
deposition apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2005379752A
Other languages
English (en)
Inventor
Seung Woo Choi
丞佑 崔
Chun Soo Lee
春秀 李
Kwang Lae Park
光來 朴
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM GENITECH Inc
Original Assignee
ASM GENITECH Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM GENITECH Inc filed Critical ASM GENITECH Inc
Publication of JP2009120859A publication Critical patent/JP2009120859A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】本発明は洗浄周期が長く、生産性が高い原子層蒸着装置を提供する。
【解決手段】本発明による原子層蒸着装置は、基板を支持する基板支持台、該支持台上に形成されて基板支持台と接触した状態で反応室を規定する反応室壁、反応室内に工程気体を流入させる気体流入管、基板支持台と共に反応領域を規定して、気体流入管と連結されて反応領域に気体を供給するための複数の噴射孔を有する気体分散器具、気体分散器具上に配置されて絶縁物質からなる気体分散器具絶縁板、該絶縁板と反応室壁との間に配置されている気体流動調節板、反応室の内部の気体を流出させるための気体流出口、そして高周波電力を印加するために気体分散器具に連結されている高周波接続端子を含み、気体分散器具と気体分散器具絶縁板との間、該絶縁板と気体流動調節板との間、そして気体流動調節板と反応室壁との間に気体が通過することができる気体通路が形成されている。
【選択図】図4

Description

本発明は伝導性または非伝導性薄膜を非常に薄く均一に蒸着することができる原子層蒸着装置に関するものである。特に、伝導性薄膜を蒸着する場合にも、プラズマ発生のための電極とその他の接地部位との間の電気的な短絡を防止することによって、反応室内にプラズマを安定的に発生させることができ、複数の工程気体を独立的に流入させて反応室内で適切に混合することによって、流入部と反応室との間の電気的な絶縁を維持することができる、プラズマ強化原子層蒸着(Plasma Enhanced Atomic Layer Deposition、PEALD)装置に関するものである。
半導体集積技術の発展に伴って、ビア(via)またはトレンチ(trench)でも段差による偏差なく厚さが非常に薄いナノメートルレベルの薄膜を均一に蒸着することができる薄膜形成技術が非常に重要になっている。このような薄膜形成技術の中で最も注目を浴びている技術は、従来の化学気相蒸着(Chemical Vapor Deposition、CVD)技術から発展した形態の原子層蒸着(Atomic Layer Deposition、ALD)技術である。
反応のための全ての気体が同時に供給される従来のCVDとは異なって、ALDでは、反応のための原料気体が時分割されて順次に、そして反復して供給される。つまり、2種類以上の原料気体を各々交互に流入させ、各原料気体が流入する間には反応性がない不活性気体を流して、原料気体が気体の状態で混合されるのを防止する。したがって、流入する原料気体は気体の状態では互いに反応せず、一つの原料気体が基板の表面に吸着した状態で後続の原料気体と反応して、薄膜を蒸着する。このような工程を所望の厚さの薄膜が形成されるまで周期的に反復すれば、段差被覆性(step coverage)が非常に優れている薄膜を蒸着することができる。また、薄膜の厚さは反復される周期の回数によって調節することができるので、厚さの正確度が要求される薄膜の蒸着時に非常に有利である。
前記ALDの基本的な長所以外にも、ALD時に原料気体の供給周期に同期させてプラズマを発生させれば、より多くの長所を得ることができる。例えば、プラズマを利用すれば、ALDに利用することができる工程気体の化学種の数を拡張することができる。プラズマは、熱エネルギー以外で反応工程気体を活性化することができる付加的なエネルギー源であるので、熱エネルギーだけでは反応があまり起こらない工程気体間でも反応を誘発して、薄膜を蒸着することができるようにする。例えば、タンタルハロゲン化合物(例えば、TaCl、TaF)系化合物は、水素(H)気体とは400℃未満の低い温度ではあまり反応しない。したがって、これを利用して前記400℃未満の温度範囲でALD技術でTa金属膜を形成するのは難しい。しかし、中性水素単原子や水素イオンなどはタンタルハロゲン化合物系化合物と400°C未満の低い温度でも簡単に反応して、Ta金属膜を形成する。そして、水素気体のプラズマには前記中性水素単原子や水素イオンが存在する。水素気体のプラズマは、水素気体に高周波(radio frequency、RF)電力を印加することによって発生させることができる。したがって、PEALDを利用すれば、従来の熱エネルギーだけを利用するALDでは互いの反応性が低くて使用するのが困難であった化学種を利用しても、薄膜を蒸着することができる。これを例を挙げて詳しく説明する。タンタル薄膜をPEALDで形成する時、まずタンタルの原料となるTaFを気化させて反応室に供給して、基板に吸着させる。吸着が完了すれば、不活性気体を反応室の内部及び基板上に流して、気体で存在したり不完全に吸着されたTaFをパージさせて反応室の外部に排出する。次に、TaFが吸着した基板上にH気体を供給し、これと同時にプラズマを発生させる。この時、プラズマを通じて生成される水素単原子または水素イオンが基板の表面でTaF(または吸着されたTaFの切片)と反応して、タンタル金属及び反応副産物であるHClを形成する。形成されたタンタルは基板の表面に金属薄膜として残り、副産物であるHClは揮発性が大きいので基板から離脱して気体の状態で排出される。基板でこのような反応が完了すると、プラズマを除去して残留するHClを全て排出する。この時、HClが容易に排出されるように追加的な不活性パージ気体をさらに流すこともできる。このような反応工程を継続して反復すれば所望の厚さのタンタル金属薄膜を形成することができる。一方、前記例では、別途の不活性気体を使用せずにプラズマを点けたり消したりすることによって、プラズマが発生しない状態のH気体を不活性パージ気体として活用することもある。この場合、H気体が連続的に供給される状態でTaF原料気体の供給とプラズマ発生のための高周波の供給が交互に反復される。
さらに、前記のようにプラズマを利用して発生させた化学反応物(例えば、水素単原子、水素イオンなど)は、プラズマがなくなれば短時間(数十ミリ秒以下)の間に消滅する特性があり、プラズマが発生しない領域では薄膜が形成されない特徴がある。言い換えれば、プラズマが発生する領域及びそれに非常に近い領域にだけ薄膜が蒸着されるので、反応室内の基板以外のその他の部位での不必要な蒸着を抑制することができる。
その他にも、PEALDを利用すれば、一般に既存のALDを利用して形成した薄膜に比べて密度が高くて不純物が少ない薄膜を形成することができる長所がある。したがって、PEALDは、最近では半導体素子製造用薄膜蒸着工程で期待されている技術である。
一方、前記技術的特徴を利用する発明として、イ・チュンスらによって下記特許文献1及び下記特許文献2が開示されたが、前記発明では、供給される反応原料のうちの少なくとも一つと同期してプラズマを発生させることによって薄膜を形成することができる原子層蒸着方法について開示した。またイ・チュンスらは、下記特許文献3及び下記特許文献4に前記プラズマ強化原子層蒸着を実現することができる装置及びこれを利用した伝導性薄膜蒸着方法について開示した。
ところが、前記発明の蒸着装置によれば(図1参照)、前記従来の蒸着装置の反応室はPEALDの特徴を積極的に活用するようにだけ構成されているので、プラズマがなくても伝導性膜を蒸着する可能性がある反応原料を使用する場合には、その使用が制約されることがある。ALDやPEALDで電気が通じない不導体膜を形成する場合には問題がないが、ALD工程で伝導性膜を形成した後にはプラズマを発生させるのに必須である絶縁部位に不必要な伝導性薄膜が蒸着されて、電気的な絶縁性を失うようになり、それ以上プラズマを発生させることができなくなるので、絶縁部位に形成された伝導性薄膜を除去する前にはALD工程は行えるがPEALD工程は行えなくなる。したがって、前記発明の蒸着装置を使用して伝導性薄膜を形成する時、ALD工程専用またはPEALD工程専用には使用することができるが、PEALD工程及びALD工程を混合したり順次に適用する工程には使えない。また、前記発明の蒸着装置でPEALD工程を行う時、プラズマがなくても微弱な熱的活性化によって反応が起こる反応気体を使用する場合には、絶縁性が容易に破壊されてPEALD工程を持続することができる時間が短いという問題点がある。
以下では、図2を参照して従来の技術を説明する。従来の技術によれば、不導体からなる微細穿孔管14が絶縁層壁24上に配置されている。微細穿孔管14は、電気的に接地されて、気体流入口12を形成する気体流入管10と高周波(RF)電力が印加されるシャワーヘッドブロック26、28との間に印加される電場によって発生する可能性のあるプラズマを抑制する機能をする。しかし、全ての反応原料気体が微細穿孔管14を通過するようになっていて、微細穿孔管14の周辺の温度は熱伝導などによって一部の気体の熱的活性化に十分なほど上昇することがあるので、微細穿孔管14の表面には依然として不必要な薄膜16が蒸着される可能性がある。したがって、このように不必要に蒸着される薄膜16が伝導性薄膜であれば、反応室のシャワーヘッドブロック26、28と気体流入管10との間の絶縁性が破壊されて、シャワーヘッドブロック26、28に印加されたRF電力が気体流入管10を通じて漏洩するので、反応室内にそれ以上プラズマを発生させることができなくなって、PEALD工程を進めることができなくなる。
従来の技術のまた他の問題点は、図3に示したように、絶縁層壁24の下部に薄膜の累積が非常に急速に進められるという点である。前記絶縁層壁24は、シャワーヘッドブロック26、28に密着していて、絶縁層壁の下部25が基板上の反応領域27に非常に近く位置するようになっているため、数十または数百回の工程を進めた後には相当な厚さの薄膜23が前記絶縁層壁の下部25の表面上に蒸着されることがある。もし、このような薄膜23が電気伝導性を有していて、継続して蒸着されるとすれば、結局、シャワーヘッドブロック26、28及びこれと短い間隔をおいて配置されている接地された状態のプラズマ遮断壁22を電気的に短絡させるようになる。この場合もまた、それ以上反応室内にプラズマを発生させることができなくなる。また、場合によっては、電気伝導性薄膜が非常に少なく蒸着されてもシャワーヘッド28の電圧分布が変化することがあり、これは基板(特に基板の周縁)の蒸着特性を不均一にしたり非対称にすることがある。
また、従来の技術には、パージ気体の通路となる円筒形の隙間544(図1参照)を組立て偏差ために一定に維持することができないという問題点がある。例えば、円筒形の隙間544の間隔を2mmに維持するように反応室を設計しても、組立て偏差が0.5mmであれば、円筒形の隙間が狭い側は1.5mm、広い側は2.5mmとなる。非対称な円筒形の隙間を通じて流れるパージ気体の流れは非対称になり、これは基板の周縁で気体の流れを非対称にするため、均一な厚さに薄膜を蒸着するのが難しい。
大韓民国特許第273473号 米国特許第6,645,574号明細書 大韓民国特許出願公開第2003−0011399号 米国特許出願公開第10/486,311号明細書
従って、本発明の技術的課題は、PEALDまたはALDを利用して伝導性薄膜を蒸着する時に、反応室内での不必要な薄膜の蒸着を防止して、反応室内の電気的な絶縁性を維持して、持続的にプラズマを発生させることができるようにする、蒸着装置を提供することにある。
本発明のまた他の技術的課題は、PEALD工程及びALD工程を混合したり順次に適用して、継続して膜を形成することができる、蒸着装置を提供することにある。
本発明のまた他の技術的課題は、反応室の基板に隣接した部分に不必要な薄膜が蒸着されるのを効果的に抑制することができる、蒸着装置を提供することにある。
本発明のまた他の技術的課題は、PEALDまたはALDを利用して薄膜を蒸着するための複数の工程気体を反応室まで互いに分離して独立的に供給して、反応室の内部で工程気体を適切に混合することができる、原子層蒸着装置を提供することにある。
本発明の一実施形態による基板上に薄膜を蒸着する原子層蒸着装置は、前記基板を支持する基板支持台、前記基板支持台の上に形成されていて、前記基板支持台と接触した状態で反応室を規定する反応室壁、前記反応室内に工程気体を流入させる気体流入管、前記基板支持台と共に反応領域を規定して、前記気体流入管と連結されていて、前記反応領域に気体を供給するための複数の噴射孔を有する気体分散器具、前記気体分散器具上に配置されていて、絶縁物質からなる気体分散器具絶縁板、前記気体分散器具絶縁板と前記反応室壁との間に配置されている気体流動調節板、前記反応室の内部の気体を流出させるための気体流出口、そして高周波電力を印加するために前記気体分散器具に連結されている高周波接続端子を含み、前記気体分散器具と前記気体分散器具絶縁板との間、前記気体分散器具絶縁板と前記気体流動調節板との間、そして前記気体流動調節板と前記反応室壁との間に気体が通過することができる気体通路が形成されている。
前記原子層蒸着装置は、前記気体分散器具と前記気体分散器具絶縁板との間に形成されていて、前記気体分散器具の側面に沿って所定の間隔で対称に配置されている複数のパッドをさらに含み、前記気体分散器具と前記気体分散器具絶縁板との間の気体通路の幅は前記パッドの高さによって調節される。
前記パッドは前記気体分散器具絶縁板または前記気体分散器具と一体に形成されている。
前記原子層蒸着装置は、前記気体流動調節板と前記反応室壁との間に形成されていて、前記気体分散器具の側面に沿って所定の間隔で配置されている複数のパッドをさらに含み、前記気体流動調節板と前記反応室壁との間の気体通路の幅は前記パッドの高さによって定義される。
前記パッドは前記気体流動調節板または前記反応室壁と一体に形成されている。
前記原子層蒸着装置は、気体流入口及び流出口を有するフランジシリンダー形態の気体通路集合管をさらに含む。
前記高周波接続端子は、前記反応室壁を貫いて前記気体分散器具に連結されていて、前記反応室壁と電気的に絶縁されるように設置されている。
前記原子層蒸着装置は、前記基板支持台下に配置されていて、前記基板を加熱する加熱板をさらに含む。
前記原子層蒸着装置は、前記反応室壁に設置されている加熱装置をさらに含む。
前記基板支持台は、上下に移動し、前記反応室壁と接触して反応室を規定したり、分離されて前記基板の着脱を可能にする。
本発明の他の実施形態による原子層蒸着装置は、基板を支持する基板支持台、前記基板支持台上に形成されていて、前記基板支持台と接触した状態で反応室を規定する反応室壁、互いに異なる複数の反応原料気体を別途に流入させるための複数の気体流入口を有する気体流入管、前記基板支持台と共に反応領域を規定して、前記気体流入管と連結されていて、前記反応領域に気体を供給するための気体分散器具、前記気体流入管と前記気体分散器具との間に形成されていて、複数の微細管を有する穿孔板、そして前記穿孔板と前記気体分散器具との間に形成されている螺旋流動誘導板を含む。
前記穿孔板は、前記気体流入管と連結される導電穿孔板及び前記螺旋流動誘導板と連結される絶縁穿孔板を含む。
前記原子層蒸着装置は、前記気体分散器具上に配置されていて、絶縁物質からなる気体分散器具絶縁板、前記気体分散器具絶縁板と前記反応室壁との間に配置されている気体流動調節板、前記反応室の気体を流出させるための気体流出口、そして高周波電力を印加するために前記気体分散器具に連結されている高周波接続端子を含み、前記気体分散器具と前記気体分散器具絶縁板との間、前記気体分散器具絶縁板と前記気体流動調節板との間、そして前記気体流動調節板と前記反応室壁との間に気体が通過することができる気体通路が形成されている。
前記導電穿孔板及び前記絶縁穿孔板が有する複数の微細管の内径は0.1mm乃至1.2mmである。
前記導電穿孔板が有する複数の微細管及び前記絶縁穿孔板が有する複数の微細管は互いに一列に配置されていて、各々一つの配管を構成する。
前記螺旋流動誘導板は、前記気体分散器具と電気的及び機械的に連結されて前記気体分散器具と等電位を有する。
前記螺旋流動誘導板の上部には前記絶縁穿孔板が有する複数の微細管と接続される複数の微細口が形成されていて、前記螺旋流動誘導板の下部には前記微細孔を通じて流入する気体の流動方向を誘導する複数の誘導溝及びこれら複数の誘導溝の中心をなす混合領域が形成されている。前記微細管を通じた気体の流れは誘導板とほぼ直角を成す。
前記誘導溝は時計方向または反時計方向に曲がった形態を有し、前記混合領域は円板形態を有して、前記誘導溝は前記混合領域の円周に接する形態に前記混合領域と連結されている。
前記気体分散器具は、下部に位置する複数の噴射孔を有する分散板及び側面を構成する分散管を含み、前記分散管は上部から下部に次第に半径が大きくなるラッパ管形態を有するシャワーヘッドであることができる。
前記原子層蒸着装置は、前記気体分散器具と前記気体分散器具絶縁板との間に形成されていて、対称的に配置されている複数のパッドをさらに含み、前記気体分散器具と前記気体分散器具絶縁板との間の気体通路の幅は前記パッドの高さによって定義される。
前記パッドは前記気体分散器具絶縁板または前記気体分散器具と一体に形成される。
前記原子層蒸着装置は、前記気体流動調節板と前記反応室壁との間に形成されていて、対称的に配置されている複数のパッドをさらに含み、前記気体流動調節板と前記反応室壁との間の気体通路の幅は前記パッドの高さによって定義される。
前記パッドは前記気体流動調節板または前記反応室壁と一体に形成される。
前記原子層蒸着装置は、気体流入口及び流出口を有するフランジシリンダー形態の気体通路集合管をさらに含む。
前記高周波接続端子は、前記反応室壁を貫いて前記気体分散器具に連結されていて、前記反応室壁と電気的に絶縁されるように設置されている。
前記導電穿孔板及び前記絶縁穿孔板が有する複数の微細管の内径は0.1mm乃至1.2mmである。
前記導電穿孔板が有する複数の微細管及び前記絶縁穿孔板が有する複数の微細管は互いに一列に配置されていて、各々一つの配管を構成する。
本発明の実施形態による原子層蒸着装置によれば、PEALDやALD、またはこの二つを混合したり順次に適用する工程に関係なく、伝導性薄膜を蒸着する時に、電気的な短絡がなく非常に安定的に必要なプラズマを反応室の内部に発生させて、段差被覆性が優れていて厚さ調節性が優れている伝導性薄膜を基板上に蒸着することができる。Ru膜を原子層蒸着で形成する場合のように、ALD工程は気体供給周期当りの膜成長速度がPEALD工程より速いが、膜形成開始までの潜伏期が長く、PEALD工程は膜形成開始までの潜伏期は短いが、気体供給周期当りの膜成長速度が遅い場合がある。このような場合、本発明の原子層蒸着装置を使用して、潜伏期が短いPEALD工程を先に適用して短い潜伏期以降に膜が形成されるようにした後で、気体供給周期当りの膜成長速度が速いALD工程を適用して一定の厚さの膜を最も短時間で形成することができる。
また、本発明の実施形態による原子層蒸着装置によれば、PEALDまたはALDを利用して薄膜を蒸着するための複数の工程気体を反応室まで互いに分離して独立的に供給して、反応領域の外部で薄膜が蒸着されるのを防止し、反応室の内部に工程気体を適切に混合して供給することができる。
また、本発明によれば、反応室の内部への不必要な薄膜の蒸着による不純物粒子の生成が少なく、基板の後面に薄膜が蒸着されるのを防止することができる、PEALD及びALD蒸着のための装置を提供することができる。
以下、添付した図面を参照して、本発明の実施形態について、本発明が属する技術分野における通常の知識を有する者が容易に実施することができるように詳しく説明する。しかし、本発明は様々な相異した形態で実現され、ここで説明する実施形態に限定されない。
図面では、各層及び領域を明確に表現するために、厚さを拡大して示した。明細書全体を通して類似した部分には、同一な図面符号を付けた。層、膜、領域、板などの部分が他の部分の“上”にあるとする時、これは他の部分の“直上”にある場合だけでなく、その中間にまた他の部分がある場合も意味する。反対に、ある部分が他の部分の“直上”にあるとする時、これは中間に他の部分がない場合を意味する。
それでは、図4を参照して、本発明の実施形態による原子層蒸着装置について詳細に説明する。図4は本発明の実施形態による原子層蒸着装置を概略的に示した断面図である。
図4を参照すれば、本発明の実施形態による原子層蒸着装置は、外壁300、気体通路集合管315、気体流入管310、導電穿孔板321、絶縁穿孔板320、螺旋流動誘導板332、反応室壁361、加熱装置366、367、シャワーヘッド形態の気体分散器具330、335、基板支持台360、基板支持台駆動部380、気体流動調節板345、気体分散器具絶縁板340、気体分散器具絶縁管349、パッド350、336、高周波接続端子325などからなる。
各構成要素について、より具体的に説明する。
基板支持台360上には蒸着対象基板370が配置され、基板支持台360下には加熱板365が配置されている。加熱板365は、基板の温度を工程に必要な温度に上昇させる機能をする。
基板支持台360を駆動するための基板支持台駆動部380は、原子層蒸着装置の外壁300の下端に固定されている空圧シリンダー384、空圧シリンダー384間の平衡を調節する移動板378、基板支持台360を支持する中央支持ピン372などからなる。
蒸着工程の前後には、空圧シリンダー384に連結された基板支持台360及び加熱板365が下へ移動し、反応室壁361及び基板支持台360が分離されることによって反応室が開放されて、基板370を反応室の内部に装着したり、外部で着脱することができる。反応室が開放された状態で中央支持ピン372が上昇したり下降して、基板370を基板支持台360から着脱したり、基板支持台360に装着することができる。
蒸着工程時には中央支持ピン372が下降して、基板370を基板支持台360に装着した状態で空圧シリンダー384に連結された基板支持台360及び加熱板365が上に移動し、反応室壁361の下部及び基板支持台360の上端が密着して、反応室を規定する。
一方、反応室の内部の温度を要求される高い温度に維持するために、反応室壁361の外側面に別途の加熱装置366、367が配置される。前記加熱装置366、367が供給する熱が外壁300を通じて伝導されて流失されるのを最少化するために、加熱装置366、367が設置されている反応室壁361はフランジシリンダー形態の気体通路集合管315によって外壁300に機械的に接続されて固定される。このような構造によれば、例えば反応室の内部の温度が300℃前後に維持される場合にも、外壁300の温度は約65℃以下に維持される。また、原子層蒸着装置の熱損失が著しかったり温度勾配を調節する必要がある場合には、別途の挿入型加熱装置(図示しない)を気体通路集合管315に付着することができる。
気体通路集合管315の中央部には、複数の工程気体を供給する複数の気体流入口311、312、313を形成する気体流入管310が形成されている。気体流入管310下には、複数の微細管を有する導電穿孔板321が配置されている。導電穿孔板321の下側には、導電穿孔板321の複数の孔と向き合う位置に複数の微細管を有する絶縁穿孔板320が配置されていて、絶縁穿孔板320の下側には、絶縁穿孔板320と分離されている螺旋流動誘導板332が形成されている。導電穿孔板321及び絶縁穿孔板320が有する微細管の内径は0.1mm乃至1.2mmであるのが好ましい。
伝導性物質からなる螺旋流動誘導板332は、気体分散器具330、335の上部を構成する分散管330と電気的及び機械的に連結されている。気体分散器具330、335は、分散管330及び分散板335からなり、分散板335は基板370と平行に基板370上に配置されて、複数の噴射孔334を有する。分散管330は螺旋流動誘導板332の直径に一致するように穴が空いた上端部及び分散板335に一致するように穴が空いた下端部を有するラッパ管形態を有する。
気体分散器具330、335は、金属棒形態の高周波接続端子325と電気的に連結される。高周波接続端子325は、外部の高周波電源発生装置(図示せず)から発生した高周波電力を気体分散器具330、335に印加する機能をする。高周波接続端子325は、他の接地部位と電気的に短絡されないように被覆用絶縁体326で囲まれている。
気体分散器具330、335の電気的な絶縁のために、分散管330上に気体分散器具絶縁板340が配置されて、分散管330の側面にシャワーヘッド絶縁管349が形成される。
気体分散器具絶縁板340と反応室壁361との間には、パージ気体及び工程気体通路341、347を提供する気体流動調節板345が配置される。気体流動調節板345の上部にはパッド350が配置されていて、調節板の上部の気体通路347の幅を定義する。また、気体分散器具の分散管330上に複数のパッド336が対称に配置されていて、分散管330と気体分散器具絶縁板340との間の絶縁板の下部の気体通路342の幅を画定する。
それでは、気体流動調節板345、気体分散器具絶縁板340、パッドについて、図8を参照してより詳しく説明する。
また、反応室壁361は二重に形成されているが、内部壁は外部壁より多少短く形成されて、内部壁と外部壁との間及び内部壁と基板支持台360との間に反応室壁の内部の気体通路362が形成される。また、内部壁の周縁下側には溝がほられて、この部分は気体流動緩衝用チャンネル363を形成する。
次に、本発明の実施形態による原子層蒸着装置での工程気体の流れについて説明する。
まず、図4に示された矢印は、工程気体の流動方向を示す。気体流入管310、導電穿孔板321、絶縁穿孔板320、螺旋流動誘導板332を経て気体分散器具の分散板335の噴射孔334を通じて基板に到達する気体は、放射状に流れて基板370の周縁を通過し、気体分散器具330、335と反応室壁361との間を通過して、調節板の上部の気体通路347を通じて気体通路集合管315まで流れて、気体流出口316を通じて装置の外部の真空ポンプ(図示せず)に排出される。
このような工程気体が気体流入口311、312、313を通過して基板370に供給されることについて、図5乃至図7を参照してさらに詳細に説明する。
図5は本発明の実施形態による原子層蒸着装置の工程気体流入部の拡大断面図であり、図6は本発明の実施形態による原子層蒸着装置の工程気体流入部のうちの螺旋流動誘導板の上部及び下部の概略図であり、図7は本発明の実施形態による原子層蒸着装置の工程気体流入部での気体の流れの概略図である。
図5に示された矢印は、工程気体の流動方向を示す。工程気体は、気体流入管310が形成して各々分離されている気体流入口311、312、313を通じて供給されて、複数の微細管を有して導電体からなる導電穿孔板321を通過した後、導電穿孔板321が有する複数の微細管の個数、位置、直径が同一な複数の微細管を有する不導体からなる絶縁穿孔板320を通過する。導電穿孔板321及び絶縁穿孔板320を通過した各工程気体は、導電性物質からなる螺旋流動誘導板332を通過して、気体分散器具330、335の分散管330内に到達する。
気体流入口311、312、313は複数の工程気体が各々独立的に供給されるように互いに分離されて形成されていて、導電穿孔板321及び絶縁穿孔板320は並列に配置されている複数の微細管が形成されている構造であるが、導電穿孔板321及び絶縁穿孔板320は互いに連結されていて、穿孔板321、320が各々有する複数の微細管は各々一つの連続する配管形態を有する。螺旋流動誘導板332の上部にも穿孔板321、320の微細管と接続するための複数の微細孔が形成されている。
導電穿孔板321に複数の狭い配管を形成するのは、工程気体の流入時に工程気体が通過する管内でプラズマが発生するのを阻止して、不必要な薄膜が蒸着されないようにするためである。このように工程気体が通過する管を狭く形成すれば、狭い空間では中性気体粒子から電子を引き離すのに十分なエネルギーを有する程に電子が加速されないため、工程気体が反応室に流入する前にはプラズマが発生しない。
絶縁穿孔板320は、導電穿孔板321と螺旋流動誘導板332との間を電気的に絶縁させる機能をしながら、導電穿孔板321と同一な複数の微細管を通じて工程気体が移動するようにする。
螺旋流動誘導板332は、気体分散器具330、335と電気的に連結されていて、等電位を有する。したがって、気体分散器具330、335に高周波電圧が印加される時に、気体分散器具330、335と螺旋流動誘導板332との間には電位差が形成されず、それによって気体分散器具330、335及び螺旋流動誘導板332の内部空間にはプラズマが発生しないので、不必要な薄膜が気体分散器具330、335及び螺旋流動誘導板332の内部表面に蒸着されるのを防止することができる。絶縁穿孔板320の微細管の下部と螺旋流動誘導板332との間の空間は十分に狭く、例えば2mm以下にして、プラズマが発生しないようにする。
一方、原子層蒸着装置の分散管330の外部で工程気体が混合されると、工程気体の間の不必要な化学反応などによって導電性物質や汚染物質が生成されることがある。したがって、分散管330の外部での工程気体の混合を防止することが重要である。
本発明の実施形態による原子層蒸着装置の導電穿孔板321及び絶縁穿孔板320には複数の微細管が形成されており、螺旋流動誘導板332の上部には複数の微細孔が形成されている。したがって、直径が大きい気体流入口311、312、313での工程気体の流速より直径が非常に小さい微細管321、320、332での工程気体の流速がより速くなる。これによって、分散管330の内部に流入した工程気体が気体流入口311、312、313に逆流して分散管330の外部で工程気体が混合されるのを防止することができる。
また、本発明の実施形態による原子層蒸着装置に流入する工程気体は、微細管321、320、332を通じて独立に移動するため、導電穿孔板321及び絶縁穿孔板320を通過する間に工程気体が混合されない。
本発明の実施形態による原子層蒸着装置の螺旋流動誘導板332は、導電穿孔板321及び絶縁穿孔板320を通過した工程気体に円周方向の螺旋流動を誘導して、工程気体と不活性気体を互いに効果的に混合する機能をする。原子層蒸着法において、気体流入口311、312、313を通じて2種類以上の原料気体が同時に供給される場合は無いため、これは原料気体を混合するためのものではなく、気体流入口311、312、313のうちの一つを通じて供給される原料気体と他の二つの気体流入口を通じて供給される不活性気体とを効果的に混合するためのものである。パージ気体をプラズマで活性化して原料気体として使用する場合にも、分散管内にはプラズマが発生しないため、分散管内で原料気体が気体状態で反応することは発生しない。これについて、図6を参照して説明する。
図6の(a)では螺旋流動誘導板332の上部を概略的に示し、(b)では螺旋流動誘導板332の下部を概略的に示した。図6に示したように、螺旋流動誘導板332の上部には、導電穿孔板321及び絶縁穿孔板320の微細管と接続するための複数の微細孔が形成されており、下部は各々時計方向に曲がっている誘導溝を有し、中心部は円板形の混合領域を有する。誘導溝は、円板形の混合領域の円周に接する形態で円板形の混合領域と連結されている。ここで、誘導溝は、工程気体が混合領域でうず巻きを形成して混合されるようにするためのものであって、誘導板と平行な面で直角に曲がる代わりに所定の曲率に曲がった形態や円板形の混合領域の円周に接する直線形態などの他の形態を有することもできる。
本実施形態では、時計方向に曲がっている誘導溝について説明したが、誘導溝は時計方向の代わりに反時計方向に曲がっていてもよく、この場合、螺旋の方向が反対になるだけで工程気体が混合領域で混合される効果は同一である。
導電穿孔板321及び絶縁穿孔板320、そして流動誘導板332の上部の微細孔を通過した工程気体は、狭い誘導溝を通過しながら急速に加速される。
図7の矢印は、工程気体の流動方向を示す。図7に示したように、気体流入口311、312、313に各々流入した工程気体は、導電穿孔板321、絶縁穿孔板320、及び螺旋流動誘導板332の上部の微細孔を通過する。この時、気体流入口と穿孔板を通過した気体の流れは螺旋流動誘導板332とほぼ垂直を成す。各々の工程気体の流れは、基板370と平行な螺旋流動誘導板332の下部の狭い誘導溝を通過しながら時計方向または反時計方向に回転する。このような回転によって、各々の工程気体は渦巻きを形成しながら分散管330の内部に流入するが、このような渦巻きの流れによって分散管330内で気体流入口311、312、313を通じて各々流入した工程気体と不活性気体が容易に混合される。
分散管330の内部は、渦流を抑制して層流(laminar-flow)を誘導するようにラッパ管形態の曲面を有するので、流入して混合された工程気体の流れを円滑に分散させるだけでなく、分散管330の内部の面積を最少化して、工程気体の転換を速くする特性がある。つまり、順次的な工程気体の供給過程で、先に供給される気体が不必要に気体分散器具330、335の内部に累積して、その後に供給される気体と気相反応を起こすのを最少化することができる。原子層蒸着器において、工程気体の転換が速ければ、原子層蒸着で単位時間当りの気体供給周期数が増加して、単位時間当りの膜蒸着速度が大きくなることができる。螺旋流動誘導板332と共に分散管330は、短い原子層蒸着気体供給周期の間にもよく混合された均一な工程気体を基板370の表面に供給する。
また、下部の分散板335は、分散管330によって分散された気体を噴射孔334を通じて基板370に到達するようにするので、気体を基板370上により均等に供給することができる。
気体流入口311、312、313と導電穿孔板321と絶縁穿孔板320と螺旋流動誘導板332の上部の微細孔を通過した工程気体の流れは、基板370に対して非対称的であるが、螺旋流動誘導板332を通過しながら、基板370に平行な方向に渦巻きを形成して混合された後、基板に対して対称的になる。気体流入口のうちの一つを通じて流入した原料気体は他の二つの流入口を通じて流入した不活性気体と効果的に混合されて基板に均一に吸着される。基板にほぼ垂直な方向の工程気体の流れを効果的に混合して対照的なものに作る螺旋流動誘導板の作用は、螺旋流動誘導板332と基板370との間に気体の流れを誘導する気体分散器具と関係が無い。従って、本発明の他の一実施形態による原子層蒸着器は、分散板335を省略し、螺旋流動誘導板332と分散管330のみを備えることができ、このような原子層蒸着器によっても工程気体を基板370にほぼ垂直に、均一に供給することができる。
本発明の実施形態による原子層蒸着器の気体分散器具330、335に高周波接続端子325を通じて高周波電力が印加されると、電気的に接地されている基板支持台360と気体分散器具の分散板335との間でプラズマが発生して、基板370に薄膜が蒸着される。
この時、高周波電圧が印加される気体分散器具330、335と気体分散器具絶縁板340との間に工程気体が流れるようになれば、不必要な薄膜が蒸着されることがあり、薄膜が蒸着される基板370及び工程気体が供給される分散板335に隣接した反応室壁361の下部に不必要な薄膜が蒸着されることもある。
本発明の実施形態による原子層蒸着器は、薄膜が形成されてはならない領域に不活性気体の流れを維持して、このような不必要な薄膜の蒸着を防止する。
次に、図8及び図9を参照して、本発明の実施形態による原子層蒸着器の不活性気体の流れについて説明する。図8は本発明の実施形態による原子層蒸着装置での不活性気体の流れを概略的に示した斜視図であり、図9は本発明の実施形態による原子層蒸着装置で不必要な蒸着防止及び粒子発生防止のための不活性気体の流れを示した概略図である。図8及び図9で、矢印は不活性気体の流動方向を示す。
まず、図4を参照すれば、アルゴン(Ar)などからなる不活性気体は高周波接続端子325と気体流動調節板345との間の隙間を通じて供給される。この時、アルゴンの代わりにヘリウム(He)や窒素(N)気体を使用することもできる。
図8を参照すれば、このように供給された不活性気体は、高周波接続端子の気体通路344を通じて円形チャンネル343に流れる。円形チャンネル343に到達した不活性気体は、円形チャンネル343から放射状に均等に拡散して、気体分散器具の分散管330と気体分散器具絶縁板340との間の絶縁板の下部の気体通路342に流れると同時に、気体分散器具絶縁板340の中央部に一定の間隔で形成された管形態の通路346を通じて分割されて、気体分散器具絶縁板340と気体流動調節板345との間の絶縁板の上部の気体通路341にも流れる。絶縁板の上面及び下面の気体通路341、342を通過した不活性気体は、基板上を通過した工程気体と合わさって、気体分散器具330、335と反応室壁361との間を通過し、調節板の上部の気体通路347を通過して、外部に排出される。
このように、気体分散器具絶縁板340の上面及び下面に配置されている気体通路341、342を通じて不活性気体が原子層蒸着工程の間に継続して流れるようにすることによって、気体分散器具絶縁板340の両面では工程気体による薄膜の蒸着が行われない。
この時、前記で説明したように、絶縁板の下部の気体通路342の間隔は気体分散器具の分散管330上に対称に配置されている複数のパッド336の高さによって変化する。対称に一定の間隔で配置された複数のパッド336が気体分散器具の分散管330の上面に精密に形成され、複数のパッドの上面が全て一つの平面に位置して気体分散器具絶縁板340の下面に密着するので、原子層蒸着装置の組立てによる誤差が発生せず、絶縁板の下部の気体通路342は一定に維持される。複数のパッド336は気体分散器具の分散管330の上面ではなく、気体分散器具絶縁板340の下面に形成されることもできる。パッドは機械加工して一体に形成されるか、別途に製作して付着することができる。
これと類似して、気体流動調節板345上にも複数のパッド350が対称に形成されて、気体通路341の間隔を決定する。対称に一定の間隔で配置された複数のパッドは調節板の上部に精密に形成されていて、調節板の上部の気体通路347も組立て誤差の影響を受けずに一定の間隔を維持するようになる。複数のパッド350は調節板345の上面ではなく、反応室壁361の下面に形成されることもできる。
このようなパッド336、350は、間隔を定義する機能の他にも、加熱装置366、367から気体分散器具ブロック330、335に熱を効果的に伝達する熱伝達通路としての機能をする。
図4及び図9を参照すれば、二重に形成されている反応室壁361の内部壁は外部壁より多少短く形成されて、内部壁と外部壁との間及び内部壁と基板支持台360との間に反応室壁の内部の気体通路362が形成されて、不活性気体が流れる。また、内部壁の周縁下側には溝がほられて、基板支持台360及び外部壁が接触する部位364に気体流動緩衝用チャンネル363を定義する。緩衝用チャンネル363は、反応室の工程圧力に比べて相対的に高い気体圧力を有するようにして、不活性気体が反応室の内部に均等に流入するようにする。
このような気体通路362及び緩衝用チャンネル363に流れる不活性気体は、蒸着工程の間に継続して流れるようになり、これを通じて実質的に機械的な接触が行われる接触部364で薄膜が形成されるのを防止する。
もし、薄膜蒸着工程の間に不活性気体が流れなければ、接触部364にまで工程気体が拡散されて不必要な薄膜が蒸着され、薄膜が蒸着されれば、基板370の移送のために繰り返される機械的な接触及び衝撃によって薄膜が分離されて不純物粒子が反応機の内部に生成される可能性がある。
本発明の実施形態による原子層蒸着装置では、薄膜蒸着工程の間に継続して不活性気体を気体通路362及び緩衝用チャンネル363内に流れるようにすることによって、薄膜及び不純物粒子の生成を防止する。
以上で、本発明の望ましい実施形態について詳細に説明したが、本発明の権利範囲はこれに限定されず、請求範囲で定義している本発明の基本概念を利用した当業者の様々な変形及び改良形態も本発明の権利範囲に属する。
従来の技術による原子層蒸着装置の断面図である。 従来の技術による原子層蒸着装置の気体流入部の断面図である。 従来の技術による原子層蒸着装置の一部分に対する細部断面図である。 本発明の実施形態による原子層蒸着装置を概略的に示した断面図である。 本発明の実施形態による原子層蒸着装置の工程気体流入部の拡大断面図である。 本発明の実施形態による原子層蒸着装置の工程気体流入部のうちの螺旋流動誘導板の上部及び下部の概略図である。 本発明の実施形態による原子層蒸着装置の工程気体流入部での気体の流れの概略図である。 本発明の実施形態による原子層蒸着装置での不活性気体の流れに対する斜視図である。 本発明の実施形態による原子層蒸着装置で不必要な薄膜蒸着防止及び粒子発生防止のための不活性気体の流れの概略図である。
符号の説明
300 外壁
310 気体流入管
311、312、313 気体流入口
315 気体通路集合管
316 気体流出口
320 絶縁穿孔板
321 導電穿孔板
325 高周波接続端子
326 絶縁体
330 気体分散器具の分散管
332 螺旋流動誘導板
334 噴射孔
335 気体分散器具の分散板
336、350 パッド
340 気体分散器具絶縁板
343、363 チャンネル
345 気体流動調節板
349 気体分散器具絶縁管
360 基板支持台
361 反応室壁
365 加熱板
366、367 加熱装置
370 基板
372 中央支持ピン
378 移動板
380 基板支持台駆動部
384 空圧シリンダー

Claims (32)

  1. 基板上に薄膜を蒸着する装置において、
    前記基板を支持する基板支持台と、
    前記基板支持台上に形成されていて、前記基板支持台と接触した状態で反応室を規定する反応室壁と、
    前記反応室内に工程気体を流入させる気体流入管と、
    前記基板支持台と共に反応領域を規定して、前記気体流入管と連結されていて、前記反応領域に気体を供給するための複数の噴射孔を有する気体分散器具と、
    前記気体分散器具上に配置されていて、絶縁物質からなる気体分散器具絶縁板と、
    前記気体分散器具絶縁板と前記反応室壁との間に配置されている気体流動調節板と、
    前記反応室の内部の気体を流出させるための気体流出口と、及び
    高周波電力を印加するために前記気体分散器具に連結されている高周波接続端子とを含み、
    前記気体分散器具と前記気体分散器具絶縁板との間、前記気体分散器具絶縁板と前記気体流動調節板との間、および前記気体流動調節板と前記反応室壁との間に気体が通過することができる気体通路が形成されている、原子層蒸着装置。
  2. 前記気体分散器具と前記気体分散器具絶縁板との間に形成されていて、前記気体分散器具の側面に沿って所定の間隔で対称に配置されている複数のパッドをさらに含み、
    前記気体分散器具と前記気体分散器具絶縁板との間の気体通路の幅は前記パッドの高さによって定義される、請求項1に記載の原子層蒸着装置。
  3. 前記パッドは前記気体分散器具絶縁板または前記気体分散器具と一体に形成されている、請求項2に記載の原子層蒸着装置。
  4. 前記気体流動調節板と前記反応室壁との間に形成されていて、前記気体分散器具の側面に沿って所定の間隔で配置されている複数のパッドをさらに含み、
    前記気体流動調節板と前記反応室壁との間の気体通路の幅は前記パッドの高さによって定義される、請求項1に記載の原子層蒸着装置。
  5. 前記パッドは前記気体流動調節板または前記反応質壁と一体に形成されている、請求項4に記載の原子層蒸着装置。
  6. 気体流入口及び流出口を有するフランジシリンダー形態の気体通路集合管をさらに含む、請求項1に記載の原子層蒸着装置。
  7. 前記高周波接続端子は、前記反応室壁を貫いて前記気体分散器具に連結されていて、前記反応室壁と電気的に絶縁されるように設置されている、請求項1に記載の原子層蒸着装置。
  8. 前記基板支持台下に配置されていて、前記基板を加熱する加熱板をさらに含む、請求項1に記載の原子層蒸着装置。
  9. 前記反応室壁に設置されている加熱装置をさらに含む、請求項1に記載の原子層蒸着装置。
  10. 前記基板支持台は、上下に移動し、前記反応室壁と接触して反応室を規定したり、分離されて前記基板の着脱を可能にする、請求項1に記載の原子層蒸着装置。
  11. 基板上に薄膜を蒸着する装置において、
    前記基板を支持する基板支持台と、
    前記基板支持台上に形成されていて、前記基板支持台と接触した状態で反応室を規定する反応室壁と、
    互いに異なる複数の反応原料気体を別途に流入させるための分離された複数の気体流入口を有する気体流入管と、
    前記基板支持台と共に反応領域を規定して、前記気体流入管と連結されていて、前記反応領域に気体を供給するための気体分散器具と、
    前記気体流入管と前記気体分散器具との間に形成されていて、複数の微細管を有する穿孔板と、及び、前記穿孔板と前記気体分散器具との間に形成されている螺旋流動誘導板とを含む、原子層蒸着装置。
  12. 前記穿孔板は、前記気体流入管と連結される導電穿孔板及び前記螺旋流動誘導板と連結される絶縁穿孔板を含む、請求項11に記載の原子層蒸着装置。
  13. 前記導電穿孔板及び前記絶縁穿孔板が有する微細管の内径は0.1mm乃至1.2mmである、請求項12に記載の原子層蒸着装置。
  14. 前記導電穿孔板が有する複数の微細管及び前記絶縁穿孔板が有する複数の微細管は互いに一列に配置されていて、各々一つの配管を構成する、請求項12に記載の原子層蒸着装置。
  15. 前記螺旋流動誘導板は、前記気体分散器具と電気的及び機械的に連結されて前記気体分散器具と等電位を有する、請求項12に記載の原子層蒸着装置。
  16. 前記螺旋流動誘導板の上部には前記絶縁穿孔板が有する複数の微細管と接続される複数の微細口が形成されていて、前記螺旋流動誘導板の下部には前記微細孔を通じて流入する気体の流動方向を誘導する複数の誘導溝及びこれら複数の誘導溝の中心に混合領域が形成されている、請求項12に記載の原子層蒸着装置。
  17. 前記誘導溝は時計方向に曲がった形態を有し、前記混合領域は円板形態を有して、前記誘導溝は前記混合領域の円周に接する形態で前記混合領域と連結されている、請求項16に記載の原子層蒸着装置。
  18. 前記誘導溝は反時計方向に曲がった形態を有し、前記混合領域は円板形態を有して、前記誘導溝は前記混合領域の円周に接する形態で前記混合領域と連結されている、請求項16に記載の原子層蒸着装置。
  19. 前記気体分散器具上に配置されていて、絶縁物質からなる気体分散器具絶縁板と、
    前記気体分散器具絶縁板と前記反応室壁との間に配置されている気体流動調節板と、
    前記反応室の気体を流出させるための気体流出口と、及び
    高周波電力を印加するために前記気体分散器具に連結されている高周波接続端子とを含み、
    前記気体分散器具と前記気体分散器具絶縁板との間、前記気体分散器具絶縁板と前記気体流動調節板との間、及び前記気体流動調節板と前記反応室壁との間に気体が通過することができる気体通路が形成されている、請求項12に記載の原子層蒸着装置
  20. 前記気体分散器具と前記気体分散器具絶縁板との間に形成されていて、対称的に配置されている複数のパッドをさらに含み、
    前記気体分散器具と前記気体分散器具絶縁板との間の気体通路の幅は前記パッドの高さによって定義される、請求項19に記載の原子層蒸着装置。
  21. 前記パッドは前記気体分散器具絶縁板または前記気体分散器具と一体に形成されている、請求項20に記載の原子層蒸着装置。
  22. 前記気体流動調節板と前記反応室壁との間に形成されていて、対称的に配置されている複数のパッドをさらに含み、
    前記気体流動調節板と前記反応室壁との間の気体通路の幅は前記パッドの高さによって定義される、請求項19に記載の原子層蒸着装置。
  23. 前記パッドは前記気体流動調節板または前記反応室壁と一体に形成されている、請求項22に記載の原子層蒸着装置。
  24. 気体流入口及び流出口を有するフランジシリンダー形態の気体通路集合管をさらに含む、請求項19に記載の原子層蒸着装置。
  25. 前記高周波接続端子は、前記反応室壁を貫いて前記気体分散器具に連結されていて、前記反応室壁と電気的に絶縁されるように設置されている、請求項19に記載の原子層蒸着装置。
  26. 前記導電穿孔板及び前記絶縁穿孔板が有する複数の微細管の内径は0.1mm乃至1.2mmである、請求項19に記載の原子層蒸着装置。
  27. 前記導電穿孔板が有する複数の微細管及び前記絶縁穿孔板が有する複数の微細管は互いに一列に配置されていて、各々一つの配管を構成する、請求項19に記載の原子層蒸着装置。
  28. 前記気体分散器具はラッパ管形態の分散管を含み、
    前記分散管は上部で螺旋流動誘導板と連結され、下部に行くほど半径が大きくなる、請求項11に記載の原子層蒸着装置。
  29. 前記気体分散器具は、分散板と分散管を含むシャワーヘッドであり、
    前記分散板は、分散管の下部に位置し、複数の噴射孔を有する、請求項11に記載の原子層蒸着装置。
  30. 前記螺旋流動誘導板は、前記分散管の上部の入口に固定されていて、前記気体分散器具と電気的及び機械的に連結されて前記気体分散器具と等電位を有する、請求項29に記載の原子層蒸着装置
  31. 気体流入管、導電穿孔板、絶縁穿孔板が工程気体を螺旋流動誘導板にほぼ垂直に供給する、請求項11に記載の原子層蒸着装置。
  32. 前記螺旋流動誘導板には基板支持台とほぼ平行に形成された複数の誘導溝を含み、
    前記誘導溝は、工程気体を基板支持台にほぼ垂直な方向に気体分散器具に流入させるように形成された、請求項31に記載の原子層蒸着装置。
JP2005379752A 2004-12-28 2005-12-28 原子層蒸着装置 Pending JP2009120859A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR20040113898 2004-12-28
KR1020050130487A KR20060076714A (ko) 2004-12-28 2005-12-27 원자층 증착기

Publications (1)

Publication Number Publication Date
JP2009120859A true JP2009120859A (ja) 2009-06-04

Family

ID=36609941

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005379752A Pending JP2009120859A (ja) 2004-12-28 2005-12-28 原子層蒸着装置

Country Status (3)

Country Link
US (1) US20060137608A1 (ja)
JP (1) JP2009120859A (ja)
KR (1) KR20060076714A (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021524887A (ja) * 2018-06-01 2021-09-16 北京北方華創微電子装備有限公司Beijing Naura Microelectronics Equipment Co., Ltd. 上方電極アセンブリ、反応チャンバおよび原子層堆積装置

Families Citing this family (329)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US8025922B2 (en) * 2005-03-15 2011-09-27 Asm International N.V. Enhanced deposition of noble metals
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
JP4628900B2 (ja) * 2005-08-24 2011-02-09 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20070264427A1 (en) * 2005-12-21 2007-11-15 Asm Japan K.K. Thin film formation by atomic layer growth and chemical vapor deposition
KR100744528B1 (ko) * 2006-04-14 2007-08-01 주식회사 아토 알에프 파워가 인가되는 가스 분리형 샤워헤드를 이용한플라즈마 원자층 증착장치 및 방법
US7435484B2 (en) * 2006-09-01 2008-10-14 Asm Japan K.K. Ruthenium thin film-formed structure
KR20090018290A (ko) * 2007-08-17 2009-02-20 에이에스엠지니텍코리아 주식회사 증착 장치
JP5347294B2 (ja) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US7976631B2 (en) * 2007-10-16 2011-07-12 Applied Materials, Inc. Multi-gas straight channel showerhead
KR101544198B1 (ko) 2007-10-17 2015-08-12 한국에이에스엠지니텍 주식회사 루테늄 막 형성 방법
US20090120364A1 (en) * 2007-11-09 2009-05-14 Applied Materials, Inc. Gas mixing swirl insert assembly
US8282735B2 (en) * 2007-11-27 2012-10-09 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
US7655564B2 (en) 2007-12-12 2010-02-02 Asm Japan, K.K. Method for forming Ta-Ru liner layer for Cu wiring
US8092606B2 (en) 2007-12-18 2012-01-10 Asm Genitech Korea Ltd. Deposition apparatus
KR100914534B1 (ko) * 2007-12-26 2009-09-02 세메스 주식회사 기판 처리 장치 및 이의 세정 방법
US7799674B2 (en) 2008-02-19 2010-09-21 Asm Japan K.K. Ruthenium alloy film for copper interconnects
US8084104B2 (en) 2008-08-29 2011-12-27 Asm Japan K.K. Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition
US8133555B2 (en) 2008-10-14 2012-03-13 Asm Japan K.K. Method for forming metal film by ALD using beta-diketone metal complex
US9175388B2 (en) * 2008-11-01 2015-11-03 Ultratech, Inc. Reaction chamber with removable liner
US9328417B2 (en) * 2008-11-01 2016-05-03 Ultratech, Inc. System and method for thin film deposition
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
JP2012521094A (ja) * 2009-03-16 2012-09-10 アルタ デバイセズ,インコーポレイテッド ウエハキャリアトラック
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8329569B2 (en) 2009-07-31 2012-12-11 Asm America, Inc. Deposition of ruthenium or ruthenium dioxide
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2011144412A (ja) * 2010-01-13 2011-07-28 Honda Motor Co Ltd プラズマ成膜装置
DE102010000479A1 (de) * 2010-02-19 2011-08-25 Aixtron Ag, 52134 Vorrichtung zur Homogenisierung eines verdampften Aerosols sowie Vorrichtung zum Abscheiden einer organischen Schicht auf einem Substrat mit einer derartigen Homogenisierungseinrichtung
SG10201501824XA (en) * 2010-03-12 2015-05-28 Applied Materials Inc Atomic layer deposition chamber with multi inject
US20110256692A1 (en) * 2010-04-14 2011-10-20 Applied Materials, Inc. Multiple precursor concentric delivery showerhead
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
KR101830976B1 (ko) * 2011-06-30 2018-02-22 삼성디스플레이 주식회사 원자층 증착장치
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101869948B1 (ko) 2011-12-26 2018-06-22 주식회사 원익아이피에스 가스분사장치 및 이를 구비하는 기판처리장치
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
CN103889138B (zh) * 2012-12-24 2016-06-29 中国科学院微电子研究所 等离子体放电装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US20150004798A1 (en) * 2013-06-28 2015-01-01 Lam Research Corporation Chemical deposition chamber having gas seal
US10781516B2 (en) * 2013-06-28 2020-09-22 Lam Research Corporation Chemical deposition chamber having gas seal
TWI480415B (zh) * 2013-11-27 2015-04-11 Ind Tech Res Inst 多模式薄膜沉積設備以及薄膜沉積方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
SG11201605837TA (en) 2014-03-03 2016-08-30 Picosun Oy Protecting an interior of a gas container with an ald coating
EP3114249B1 (en) 2014-03-03 2020-07-08 Picosun Oy Protecting an interior of a hollow body with an ald coating
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR102268959B1 (ko) * 2014-03-31 2021-06-24 삼성디스플레이 주식회사 원자층 증착 장치 및 이를 이용한 원자층 증착 방법
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
KR102267923B1 (ko) * 2014-08-26 2021-06-22 에이에스엠 아이피 홀딩 비.브이. 증착 장치
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR20160062626A (ko) * 2014-11-25 2016-06-02 (주)에스티아이 이중 배기 구조의 프로세스챔버와 이를 포함하는 기판제조장치 및 기판제조방법
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
KR102417934B1 (ko) * 2015-07-07 2022-07-07 에이에스엠 아이피 홀딩 비.브이. 박막 증착 장치
KR102417930B1 (ko) * 2015-08-13 2022-07-06 에이에스엠 아이피 홀딩 비.브이. 증착 장치 및 이를 포함하는 증착 시스템
US9607842B1 (en) 2015-10-02 2017-03-28 Asm Ip Holding B.V. Methods of forming metal silicides
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) * 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US11201035B2 (en) 2018-05-04 2021-12-14 Tokyo Electron Limited Radical source with contained plasma
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
CN110484895B (zh) * 2018-05-14 2021-01-08 北京北方华创微电子装备有限公司 腔室组件及反应腔室
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10734219B2 (en) * 2018-09-26 2020-08-04 Asm Ip Holdings B.V. Plasma film forming method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
WO2020131214A1 (en) * 2018-12-20 2020-06-25 Applied Materials, Inc. Method and apparatus for supplying improved gas flow to a processing volume of a processing chamber
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20220020958A (ko) * 2019-06-25 2022-02-21 피코순 오와이 기판 처리 장치에서의 플라즈마
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11043362B2 (en) 2019-09-17 2021-06-22 Tokyo Electron Limited Plasma processing apparatuses including multiple electron sources
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
DE102019129176A1 (de) * 2019-10-29 2021-04-29 Apeva Se Verfahren und Vorrichtung zum Abscheiden organischer Schichten
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11817331B2 (en) 2020-07-27 2023-11-14 Applied Materials, Inc. Substrate holder replacement with protective disk during pasting process
US11749542B2 (en) 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
US11600507B2 (en) 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
FI130387B (fi) 2021-03-30 2023-08-07 Beneq Oy Atomikerroskasvatuslaite
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US12002668B2 (en) 2021-06-25 2024-06-04 Applied Materials, Inc. Thermal management hardware for uniform temperature control for enhanced bake-out for cluster tool
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN117737704A (zh) * 2022-03-29 2024-03-22 江苏微导纳米科技股份有限公司 薄膜沉积装置
CN118136544A (zh) * 2022-12-02 2024-06-04 盛美半导体设备(上海)股份有限公司 一种整流装置

Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0246723A (ja) * 1988-08-09 1990-02-16 Fujitsu Ltd 薄膜形成装置
JPH0778774A (ja) * 1993-09-07 1995-03-20 Nissin Electric Co Ltd 薄膜気相成長装置
JPH07230956A (ja) * 1994-02-18 1995-08-29 Kokusai Electric Co Ltd プラズマcvd装置
JPH10147880A (ja) * 1996-11-15 1998-06-02 Anelva Corp 薄膜形成装置
JP2000091250A (ja) * 1998-09-15 2000-03-31 Tokyo Electron Ltd プラズマ強化式化学蒸着による蒸着装置および方法
JP2000252216A (ja) * 1999-02-26 2000-09-14 Kanegafuchi Chem Ind Co Ltd プラズマcvd装置およびシリコン系薄膜光電変換装置の製造方法
JP2001049442A (ja) * 1999-05-31 2001-02-20 Tadahiro Omi プラズマプロセス装置
JP2001230210A (ja) * 2000-02-17 2001-08-24 Inst Of Physical & Chemical Res 物質層形成装置
JP2001284271A (ja) * 2000-01-20 2001-10-12 Applied Materials Inc プラズマチャンバ用の可撓的に吊り下げられたガス分配マニホールド
JP2002115068A (ja) * 2000-10-11 2002-04-19 Applied Materials Inc シャワーヘッド、基板処理装置および基板製造方法
JP2003253448A (ja) * 2002-02-28 2003-09-10 Hitachi Kokusai Electric Inc 基板処理装置
JP2003303819A (ja) * 2002-04-09 2003-10-24 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
JP2004214622A (ja) * 2002-11-14 2004-07-29 Applied Materials Inc ハイブリッド化学処理装置及び方法
JP2005502784A (ja) * 2001-08-06 2005-01-27 ジニテック カンパニー リミテッド プラズマで補強した原子層蒸着装置及びこれを利用した薄膜形成方法

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999049705A1 (fr) * 1998-03-20 1999-09-30 Tokyo Electron Limited Dispositif de traitement plasmique
US6432259B1 (en) * 1999-12-14 2002-08-13 Applied Materials, Inc. Plasma reactor cooled ceiling with an array of thermally isolated plasma heated mini-gas distribution plates
US7451774B2 (en) * 2000-06-26 2008-11-18 Applied Materials, Inc. Method and apparatus for wafer cleaning
US20030141178A1 (en) * 2002-01-30 2003-07-31 Applied Materials, Inc. Energizing gas for substrate processing with shockwaves

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0246723A (ja) * 1988-08-09 1990-02-16 Fujitsu Ltd 薄膜形成装置
JPH0778774A (ja) * 1993-09-07 1995-03-20 Nissin Electric Co Ltd 薄膜気相成長装置
JPH07230956A (ja) * 1994-02-18 1995-08-29 Kokusai Electric Co Ltd プラズマcvd装置
JPH10147880A (ja) * 1996-11-15 1998-06-02 Anelva Corp 薄膜形成装置
JP2000091250A (ja) * 1998-09-15 2000-03-31 Tokyo Electron Ltd プラズマ強化式化学蒸着による蒸着装置および方法
JP2000252216A (ja) * 1999-02-26 2000-09-14 Kanegafuchi Chem Ind Co Ltd プラズマcvd装置およびシリコン系薄膜光電変換装置の製造方法
JP2001049442A (ja) * 1999-05-31 2001-02-20 Tadahiro Omi プラズマプロセス装置
JP2001284271A (ja) * 2000-01-20 2001-10-12 Applied Materials Inc プラズマチャンバ用の可撓的に吊り下げられたガス分配マニホールド
JP2001230210A (ja) * 2000-02-17 2001-08-24 Inst Of Physical & Chemical Res 物質層形成装置
JP2002115068A (ja) * 2000-10-11 2002-04-19 Applied Materials Inc シャワーヘッド、基板処理装置および基板製造方法
JP2005502784A (ja) * 2001-08-06 2005-01-27 ジニテック カンパニー リミテッド プラズマで補強した原子層蒸着装置及びこれを利用した薄膜形成方法
JP2003253448A (ja) * 2002-02-28 2003-09-10 Hitachi Kokusai Electric Inc 基板処理装置
JP2003303819A (ja) * 2002-04-09 2003-10-24 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
JP2004214622A (ja) * 2002-11-14 2004-07-29 Applied Materials Inc ハイブリッド化学処理装置及び方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021524887A (ja) * 2018-06-01 2021-09-16 北京北方華創微電子装備有限公司Beijing Naura Microelectronics Equipment Co., Ltd. 上方電極アセンブリ、反応チャンバおよび原子層堆積装置
JP7267308B2 (ja) 2018-06-01 2023-05-01 北京北方華創微電子装備有限公司 上方電極アセンブリ、反応チャンバおよび原子層堆積装置

Also Published As

Publication number Publication date
KR20060076714A (ko) 2006-07-04
US20060137608A1 (en) 2006-06-29

Similar Documents

Publication Publication Date Title
JP2009120859A (ja) 原子層蒸着装置
US11795545B2 (en) Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
TWI671792B (zh) 基板處理設備
KR20090018290A (ko) 증착 장치
KR101442815B1 (ko) 증착 장치
KR102554825B1 (ko) 열 덮개를 구비한 원자 층 증착 챔버
JP5909484B2 (ja) 短寿命種のためのプラズマ源を組み込んだプロセスチャンバ蓋の設計
US9175394B2 (en) Atomic layer deposition chamber with multi inject
US8980765B2 (en) Combinatorial plasma enhanced deposition techniques
JP2019004141A (ja) 前駆体の流れを改善する半導体処理チャンバ
TWI589724B (zh) 熱絲化學氣相沉積腔室之噴頭設計
JP2015530742A (ja) 半導体処理のための流動可能な炭素
US20120135609A1 (en) Apparatus and Process for Atomic Layer Deposition
JP2005502784A (ja) プラズマで補強した原子層蒸着装置及びこれを利用した薄膜形成方法
JP7401560B2 (ja) 裏側ポンピングを用いた熱処理チャンバのリッド
CN103988286A (zh) 自给自足式加热元件
TW200849336A (en) Apparatus and method for deposition over large area substrates
JP6951549B2 (ja) 炭素化合物の堆積及び処理のためのマイクロ波リアクタ
TW202342806A (zh) 具有加熱噴頭的噴頭組件
KR101462014B1 (ko) 기판 처리장치
JP4350686B2 (ja) 窒化金属膜を作製する方法及び装置

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110912

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111004

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120104

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120110

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120403