KR20140034115A - 이중 전달 챔버 디자인 - Google Patents

이중 전달 챔버 디자인 Download PDF

Info

Publication number
KR20140034115A
KR20140034115A KR1020137012729A KR20137012729A KR20140034115A KR 20140034115 A KR20140034115 A KR 20140034115A KR 1020137012729 A KR1020137012729 A KR 1020137012729A KR 20137012729 A KR20137012729 A KR 20137012729A KR 20140034115 A KR20140034115 A KR 20140034115A
Authority
KR
South Korea
Prior art keywords
showerhead
chamber
processing
spacer ring
holes
Prior art date
Application number
KR1020137012729A
Other languages
English (en)
Inventor
프라할라드 이옌가르
산지브 바루자
데일 알. 두보이스
주안 카를로스 로차-알바레츠
토마스 노웍
스코트 에이. 핸드릭슨
용-원 이
메이-예 쉑
리-쿤 시아
데릭 알. 위티
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20140034115A publication Critical patent/KR20140034115A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

기판 프로세싱 시스템은 프로세싱 챔버에 가까운 열 프로세서 또는 플라즈마 발생기를 포함한다. 제1프로세싱 가스는 열 프로세서 또는 플라즈마 발생기에 들어간다. 그 후, 제1프로세싱 가스는 샤워헤드를 통해 프로세싱 챔버 내로 직접 흐른다. 제2프로세싱 가스는 샤워헤드를 통한 제2흐름 경로를 통해 흐른다. 제1 및 제2프로세싱 가스들은 샤워헤드 아래에서 혼합되며 또한 물질의 층이 샤워헤드 아래의 기판상에 증착된다.

Description

이중 전달 챔버 디자인{DUAL DELIVERY CHAMBER DESIGN}
이 출원은 발명이 명칭이 "이중 전달 챔버 디자인"이고 또한 2010년 10월 20일자로 출원된 미국 특허출원 제12/908,617호의 부분 계속 출원이며, 이는 그 전체가 본원의 참조로서 인용된다.
본 발명은 반도체 웨이퍼 프로세싱 시스템들에 관한 것으로서, 또한 특히 반도체 웨이퍼 프로세싱 시스템의 반응 챔버에 적어도 2개의 프로세스 가스들을 공급하기 위한 가스 분배 샤워헤드에 관한 것이다.
반도체 웨이퍼 프로세싱 시스템들은 일반적으로 프로세싱 지역에 가까운 챔버 내에 반도체 웨이퍼를 지지하기 위해 받침대(pedestal)를 갖는 프로세스 챔버를 포함한다. 챔버는 부분적으로 프로세스 지역을 한정(define)하는 진공 포위부(enclosure)를 형성한다. 가스 분배 조립체 또는 샤워헤드는 프로세스 지역에 하나 또는 둘 이상의 프로세스 가스들을 제공한다. 가스들은 분자(molecule)들이 해리(disassociate)하도록 유발시키는 RF 에너지로 가열 및/또는 공급될 수 있다. 그 후, 프로세스 가스들이 혼합될 수 있으며 또한 웨이퍼상에 어떤 프로세스들을 수행하는데 사용될 수 있다. 이들 프로세스들은 웨이퍼상에 막(film)을 증착하는 화학 기상 증착(CVD) 또는 웨이퍼로부터 물질을 제거하는 에칭을 포함할 수 있다. 일부 실시예들에 있어서, 플라즈마 강화 화학 기상 증착(PECVD) 또는 플라즈마 에칭 같은, 웨이퍼상에 프로세스들을 수행할 수 있는 플라즈마를 형성하기 위해 프로세스 가스들이 활성화(energize)된다.
다수의 가스들을 요구하는 프로세스들에 있어서, 일반적으로 가스들은 프로세싱 챔버로부터 원격(remote)인 혼합 챔버 내에서 화합(combine)되며 또한 도관을 경유하여 샤워헤드에 연결된다. 그 후, 기체형(gaseous) 혼합물이 도관을 통해 분배 플레이트(plate)로 흐르며, 거기에서 상기 플레이트는 기체형 혼합물이 프로세스 지역 내로 균등하게 분배되도록 복수의 구멍들을 포함한다. 기체형 혼합물이 프로세스 지역 내로 들어갈 때, 활성화된 입자들 및/또는 중성 라디칼(neutral radical)들은 CVD 반응으로 웨이퍼상에 물질의 층이 증착되게 한다.
가스들이 프로세스 지역 내로 균등하게 분배되는 것을 보장하기 위해 프로세스 지역 내로 방출하기 전에 가스들을 혼합하는 것이 일반적으로 유리하지만, 가스들은 환원(reduction)을 시작하려는 경향을 갖거나, 또는 그렇지 않을 경우 혼합 챔버 내에서 반응하려는 경향을 갖는다. 따라서, 혼합 챔버, 도관들 및 다른 챔버 부품들의 증착 또는 에칭은 기체형 혼합물이 프로세스 지역에 도달하기 전에 나타날 수 있다. 또한, 산물(product)들에 의한 반응이 챔버 가스 전달 부품들에 축적될 수 있다. 가스들이 프로세스 지역 내로 분배 플레이트를 빠져나갈 때까지 가스들을 분리된 통로들에 유지하기 위한 노력으로, 일부 샤워헤드들은 가스들이 프로세스 지역 내로 분배 플레이트를 빠져나갈 때까지 2개의 가스들을 분리된 통로들에 유지시킨다. 분리된 통로들을 사용함으로써, 가스들이 웨이퍼 근처의 프로세스 지역에 도달할 때까지, 가스들은 서로 혼합 또는 반응하지 않는다.
일부 적용들에 있어서, 전구체 가스들 중 하나는 제거 프로세싱 챔버에서 생산된 중성 라디칼들일 수 있다. 중성 라디칼들은 원격의 열(thermal) 또는 플라즈마 프로세싱 챔버에 의해 생산될 수 있다. 중성 라디칼들은 원격 챔버로부터 도관을 통해 샤워헤드로, 또한 샤워헤드의 분배 출구들의 제1세트를 통해 웨이퍼 기판 위의 프로세싱 챔버 내로 흐를 수 있다. 동시에, 제2전구체 가스가 샤워헤드로부터의 출구들의 제2세트를 통해 소스(source)로부터 흐를 수 있다. 그 후, 중성 라디칼들은 제2전구체 가스와 혼합될 수 있으며 또한 기판 위에 원하는 화학 반응을 제공할 수 있다. 원격 플라즈마 소스에 대한 문제는 웨이퍼 프로세싱 챔버에 도달하기 전에 큰 백분율의, 가능하기로는 80% 의 중성 라디칼들이 재화합된다는 것이다.
다른 실시예들에 있어서, 원격 플라즈마 소스가 사용될 수 있다. 플라즈마 가스는 도관을 통해 샤워헤드로 흐를 수 있다. 플라즈마는 샤워헤드의 출구들의 제1세트를 통해 웨이퍼 기판 위의 프로세싱 챔버 내로 흐를 수 있다. 동시에, 제2전구체 가스도 샤워헤드로부터의 출구들의 제2세트를 통해 흐를 수 있다. 그 후, 플라즈마는 전구체 가스와 혼합될 수 있으며 또한 기판 위에 원하는 화학 반응을 제공할 수 있다. 다시, 원격 플라즈마 소스에 대한 문제는 플라즈마에 의해 생산된 큰 백분율의 충전된(charged) 종(species)들이 웨이퍼 프로세싱 챔버에 도달하기 전에 재화합된다는 것이다.
따라서, 기판에 더 높은 백분율의 중성 라디칼들 또는 플라즈마를 제공할 수 있고 또한 프로세스 지역에 도달하기 전에 가스들을 혼합하지 않고서도 적어도 2개의 가스들을 프로세스 지역 내로 이송할 수 있는 시스템이 본 기술분야에 요망되고 있다.
본 발명은 CVD 프로세싱에 바로 가까운 앤티챔버(antechamber)를 포함하는 CVD 프로세싱 챔버에 관한 것이다. 앤티챔버는 프로세스 가스들이 CVD 프로세싱 챔버에 들어가기 전에 프로세스 가스들상에 프로세싱을 수행할 수 있다. 실시예에 있어서, 앤티챔버는 다양한 상이한 프로세스들을 수행하도록 구성될 수 있는 모듈형(modular) 구조물이다. 앤티챔버는 히터를 포함할 수 있는 열 프로세싱 챔버일 수 있다. 히터들은 전구체 가스상에 열 프로세싱을 수행할 수 있다. 예를 들어, 전구체 가스는 앤티챔버에 들어갈 수 있으며, 또한 충전된 종들 및 중성 라디칼들을 생산하는 프로세스 가스상에 열 해리가 수행될 수 있다. 그 후, 중성 라디칼들은 샤워헤드를 통해 기판 프로세싱 챔버 내로 흐를 수 있다.
다른 실시예들에 있어서, 앤티챔버는 플라즈마 발생기를 포함할 수 있다. 용량성으로(capacitively) 결합된, 유도성으로(inductively) 결합된 옵티칼(optical) 또는 임의의 다른 적절한 타입들의 플라즈마 발생기를 포함하여, 다양한 타입들의 플라즈마 발생기들이 사용될 수 있다. 플라즈마 발생기가 샤워헤드 바로 위에 있기 때문에 또한 기판 및 받침대를 포함하는 프로세싱 챔버가 샤워헤드 바로 아래에 있기 때문에, 충전된 종들의 손실이 최소화된다.
실시예에 있어서, 플라즈마 발생기는 전구체 가스 매니폴드, 가스 박스(box), 차단기(blocker) 플레이트 및 스페이서 링을 포함할 수 있다. 매니폴드는 가스 박스 위에 장착될 수 있으며, 또한 차단기 플레이트는 가스 박스 아래에 장착될 수 있다. 플라즈마 발생기 챔버는 차단기 플레이트의 하부 표면, 샤워헤드의 상부 표면 및 스페이서 링의 내경에 의해 한정될 수 있다. 차단기 플레이트 및 샤워헤드의 상부 표면은 전극들로서 기능한다. RF 전력 소스는 차단기 플레이트에 연결되며, 또한 페이스 플레이트(face plate)는 접지(ground)된다.
실시예에 있어서, 샤워헤드는 2개의 프로세싱 가스들을 위한 분리된 흐름 경로들을 포함한다. 제1흐름 경로는, 플라즈마 발생기로부터 프로세싱 챔버의 출구 구멍들의 제1어레이(array)로 샤워헤드를 통해 수직으로 연장하는 입구 구멍들의 제1어레이를 포함할 수 있다. 샤워헤드를 통한 제2흐름 경로는, 프로세싱 챔버 내의 수직의 출구 구멍들의 제2어레이로 샤워헤드를 통해 제2프로세싱 가스를 수평으로 지향시키는 제2흐름 경로 및 입구들의 제2세트를 포함할 수 있다. 제1 및 제2프로세싱 가스들이 샤워헤드를 통해 흐른 후 받침대상에 장착된 기판과 접촉하기 전에 가스들이 프로세싱 챔버의 윗쪽에서 혼합되도록, 출구 구멍들의 제1어레이가 출구 구멍들의 제2어레이와 혼합될 수 있다.
샤워헤드 바로 위의 플라즈마 발생기의 구성은 중성 라디칼들 또는 충전된 입자들일 수 있는, 프로세싱 챔버에 들어가는 반응 가스들의 백분율을 개선시킨다. 따라서, 원격 플라즈마 소스에 비해 더 높은 백분율의 중성 라디칼들 또는 충전된 입자들이 프로세싱 챔버에 들어간다. 시스템의 효율이 상당히 강화되기 때문에, 요구된 웨이퍼 프로세싱을 수행하기 위해 더 적은 수의 중성 라디칼들 또는 충전된 입자들이 생산될 필요가 있다.
상이한 실시예들에 있어서, 플라즈마 발생기는 프로세싱 챔버의 적용에 따라 상이한 스페이서 링들로 구성될 수 있다. 예를 들어, 스페이서 링은 사용된 물질에 따라 열 전도체 및/또는 RF 아이솔레이터(isolator)로서 작용할 수 있다. 이들 상이한 구성들은 프로세싱 챔버에 의해 수행될 프로세스들에 따를 수 있다.
가스 박스는 열 가열 유니트를 포함할 수 있다. 실시예에 있어서, 가스 박스는 가스 박스 히터를 사용하여 160℃ 로 가열될 수 있다. 이 가열은 페이스 플레이트로부터 격리(isolate)될 수 있거나 또는 스페이서 물질에 따라 페이스 플레이트로 전달될 수 있다. 단열이 요구되면, 스페이서 링은 알루미나와 같은 단열 세라믹으로 제조될 수 있다. 역으로, 알루미늄 또는 스텐레스 스틸과 같은 열 전도성 물질로 제조된 스페이서 링을 사용하여, 열이 페이스 플레이트로 전달될 필요가 있다.
다른 실시예에 있어서, 스페이서 링은 히터를 포함할 수 있다. 히터 링은 링 내로 매립되는 가열 요소를 포함할 수 있다. 또한, 링에 의해 생산된 열이 조절될 수 있도록, 온도 센서가 히터에 연결될 수 있다. 가열 요소는 페이스 플레이트를 약 200℃ 또는 그 이상으로 가열할 수 있다.
기판이 100℃ 이하로 유지되는, 기판들의 "콜드(cold)" 프로세싱을 위해 본 발명의 프로세싱 시스템이 사용될 수 있다. 차가운 프로세싱 온도는 기판의 임의의 열 손상을 방지한다. RF 에너지를 기판으로부터 멀리 유지시킴으로써 프로세서는 기판을 차갑게 유지할 수 있다. RF 에너지는 페이스 플레이트에 의해 기판으로부터 격리된다. 온도 제어된 받침대는 2009년 12월 18일자로 출원되고 발명의 명칭이 "광범위한 웨이퍼 온도 제어를 위한 다기능 히터/칠러(chiller) 받침대"인 계류중인 미국 특허출원 제12/641,819호에 서술되어 있으며, 이는 여기에 참조인용되었다.
프로세싱 챔버는 프로세싱 조건들의 범위로 작동할 수 있다. 전구체 및 산화제(oxidizer)의 흐름율들은 분 당(per) 약 10 내지 40 스탠다드 리터(standard liter per minute: SLM)들일 수 있다. 온도 범위는 약 30℃ 내지 200℃ 일 수 있다. 압력 범위는 약 2 내지 100 Torr 일 수 있다.
이들 작동 조건들은 어떤 저온 프로세싱 단계들에 특히 적합할 수 있다. 예를 들어, 저온 SiO 라이너(liner)가 패턴화된 포토레지스트 층상에 증착될 수 있다. 포토레지스트 물질에 대한 손상을 피하기 위해 증착 온도는 매우 낮아야만 한다. 이 적용에 있어서, 온도는 100℃ 이하일 수 있다. 이들 실시예들에 있어서, 받침대 및 기판 프로세싱 온도를 약 50℃-100℃ 로 유지하기 위해, 냉각액(cooling fluid)이 받침대를 통과할 수 있다.
다른 실시예들에 있어서, 프로세싱 챔버는 열 및/또는 플라즈마 프로세싱을 위해 사용될 수 있다. 받침대는 프로세싱 챔버 내에 열 반응들을 유발시킬 수 있는, 기판 및 프로세싱 챔버를 가열하는 히터를 포함할 수 있다. 플라즈마 모드(mode)에 있어서, 샤워헤드는 유전체 아이솔레이터에 의해 받침대로부터 전기적으로 분리된다. 프로세싱 챔버 내에 플라즈마를 발생시키기 위해, 받침대와 샤워헤드 사이에 RF 전력이 인가된다.
도 1은 프로세싱 시스템의 횡단면도.
도 2는 프로세싱 가스 흐름이 도시된 프로세싱 시스템의 횡단면도.
도 3은 샤워헤드의 상부 가스 분배 플레이트의 횡단면도.
도 4는 샤워헤드의 상부 가스 분배 플레이트의 평면도(top view).
도 5는 샤워헤드의 하부 가스 분배 플레이트의 횡단면도.
도 6은 샤워헤드의 하부 가스 분배 플레이트의 평면도.
도 7은 히터에 의해 생산된 열을 제어하기 위한 제어 시스템을 도시한 도면.
도 8은 스페이서 링에 의해 차단된 열 흐름 경로를 도시한 도면.
도 9는 스페이서 링을 통한 열 흐름 경로를 도시한 도면.
도 10은 스페이서 링의 히터로부터의 열 흐름 경로를 도시한 도면.
도 11은 샤워헤드의 출구 구멍들의 실시예들을 도시한 도면.
본 서술은 화학 기상 증착(CVD)을 위해 사용되는 모듈형 전구체 가스 프로세싱 시스템에 관한 것이다. 도 1에 있어서, CVD 프로세싱 시스템(101)의 실시예의 횡단면도가 도시된다. 플라즈마 프로세싱 시스템(101)은 앤티챔버(111), 프로세싱 챔버(121) 및 상기 프로세싱 챔버(121)로부터 앤티챔버(111)를 분리시키는 샤워헤드(107)를 포함한다. 또한, 시스템(101)은 매니폴드(103), 가스 박스(113), 스페이서 링(115), 차단기 플레이트(119), 받침대(117), 아이솔레이터(129) 및 본체(131)를 포함한다.
반도체 웨이퍼와 같은 기판(106)은 받침대(117)상에서 프로세싱 챔버(121)에 가깝게 유지된다. 하강된 위치에 있을 동안 프로세싱 챔버(101)로부터 슬릿(slit) 밸브(도시되지 않음)를 통해 기판(106)이 삽입 또는 제거되게 하는 위치로 받침대(117)를 하강시키기 위해, 받침대(117)는 프로세싱 챔버(121) 내에서 수직으로 움직일 수 있다. 받침대(117)가 하강 위치에 있을 때, 새로운 기판(106)이 받침대(117) 위에 위치되고 그리고 프로세스 위치로 상승되며, 이는 기판(106)을 프로세스 지역에 가깝게 위치시킨다.
실시예에 있어서, 받침대(117)는 히터(118) 또는 냉각 기구(122)를 포함할 수 있다. 2009년 12월 18일자로 출원되고 발명의 명칭이 "광범위한 웨이퍼 온도 제어를 위한 다기능 히터/칠러 받침대"인 미국 특허출원 제12/641,819호가 여기에 참조인용되었으며 또한 히터(118) 및 냉각 기구(122)를 포함하는 받침대들의 실시예들에 대해 추가적인 상세한 내용을 서술하고 있다. 히터(118) 및 냉각 기구(122)는 기판(106)을 임의의 원하는 온도로 유지하는데 사용될 수 있다.
프로세스 가스들은 샤워헤드(107)를 통해 공급된다. 본 발명의 바람직한 실시예에 있어서, 기판(106)을 프로세싱하기 위해 복수의 가스들이 사용된다. 이들 가스들은 웨이퍼를 프로세싱하는데 요구되는 기체형 혼합물을 형성하며, 즉 웨이퍼상에 증착을 형성하거나 또는 기판(106)을 화학적으로 에칭한다. 실시예에 있어서, 샤워헤드(107)의 바닥 표면과 기판(106)의 상부 표면 사이의 거리는, 약 0.2-2.0 인치일 수 있다. 이 거리는 프로세스 가스들의 혼합을 최적화하도록 조정될 수 있다. 프로세싱 챔버(121)는 열 프로세서 또는 플라즈마 챔버로서 기능하도록 구성될 수 있다. 열 프로세싱 모드에 있어서, 아이솔레이터(129)는 금속 물질과 같은 전기적으로 전도성이기도 한 열 전도성 물질로 제조될 수 있다. 플라즈마 챔버 구성에 있어서, 아이솔레이터(129)는 받침대(117)로부터 샤워헤드(107)를 전기적으로 분리시키는 유전체 물질로 제조될 수 있다. 전력 공급부(124)로부터의 RF 전력은, 전도성 본체(131)에 연결될 수 있는 받침대(118)와 샤워헤드(107) 사이에 인가될 수 있다. 예를 들어, RF 전력 공급부는 샤워헤드(107)에 연결될 수 있으며 또한 받침대(118)는 접지될 수 있다. 전기장은 프로세싱 챔버(121) 내의 가스들을 플라즈마로 활성화할 수 있다.
앤티챔버(111)는 다양한 프로세스들을 수행하도록 구성될 수 있는 모듈형 구조물일 수 있다. 실시예에 있어서, 앤티챔버(111)는 열 프로세싱 유니트일 수 있다. 다른 실시예들에 있어서, 앤티챔버(111)는 플라즈마 발생기일 수 있다. 앤티챔버(111) 디자인이 모듈형일 수 있기 때문에, 앤티챔버(111)는 필요에 따라 상이한 기능을 수행하도록 사용자에 의해 제거 및 교체될 수 있다.
실시예에 있어서, 앤티챔버(111)는 하나 또는 둘 이상의 히터들(303, 304)을 포함하는 열 프로세싱 유니트이다. 가열되었을 때, 일부 전구체 가스들은 기판을 프로세싱하는데 사용될 수 있는 중성 라디칼들을 생산하는 것을 해리시킬 수 있다. 가열 온도는 프로세스 가스 해리 온도에 따를 수 있다. 실시예에 있어서, 열 프로세싱 유니트는 약 550℃ 내지 600℃ 또는 그 이상으로 가열될 수 있다. 다른 실시예들에 있어서, 중성 라디칼들을 생산하기 위해 앤티챔버에서 다양한 다른 프로세스들이 수행될 수 있다. 예를 들어, 앤티챔버는 전구체 가스들을 해리시키는데 사용되는 옵티칼 에너지 소스들을 포함할 수 있다. 전구체 가스가 오존이라면, 185 nm 또는 254 nm 파장의 광에 대한 오존의 노출은 산소 라디칼들의 생산으로 나타날 수 있다.
대안적인 실시예에 있어서, 앤티챔버(111)는 전극들로서 각각 기능하는 샤워헤드(107)의 상부 표면 및 차단기 플레이트(119)의 바닥 표면에 용량성으로 결합될 수 있는 플라즈마 발생기를 포함한다. 차단기 플레이트(119)는 RF 전력 소스에 연결될 수 있으며 또한 샤워헤드는 전기적으로 접지될 수 있다. 플라즈마 발생기 앤티챔버(111) 체적은 스페이서 링(115)에 의해 둘러싸인다. 스페이서 링(115)이 샤워헤드(107)로부터 차단기 플레이트(109)를 분리시키기 때문에, 이 실시예에 있어서 스페이서 링(115)은 전기적으로 절연성이다. 다른 실시예들에 있어서, 앤티챔버(111)는 플라즈마를 생산하기 위해 유도 코일들(112)을 포함하는 다른 타입들의 에너지 소스들 또는 임의의 다른 적절한 에너지 소스를 포함할 수 있다.
작동들 중, 제1프로세싱 가스는 매니폴드(103)를 통해 차단기 플레이트(119) 위의 체적 내로 흐를 수 있다. 제1프로세싱 가스는 차단기 플레이트(119)에 의해 앤티챔버(111)의 폭을 가로질러 분배되며 또한 구멍들을 통해 앤티챔버(111) 내로 흐른다. RF 전력은 차단기 플레이트(119)와 샤워헤드(107) 사이에 AC 전기장을 생산한다. 제1프로세스 가스의 원자(atom)들은 이온화되며 또한 RF 자장에 의해 가속화된 전자(electron)들을 방출한다. 또한, 전자들은 충돌(collision)들에 의해 직접적으로 또는 간접적으로 제1프로세스 가스를 이온화시킬 수 있어, 2차(secondary) 전자들을 생산한다. 전기장은 풍분한 자유 전자들로 인해 전기적으로 전도성인 플라즈마를 생산하는 전자 애벌란시(avalanche)를 발생시킬 수 있다.
도 2에 있어서, 제1프로세싱 가스(201) 및 제2프로세스 가스(202)의 흐름 경로들이 도시된 기판 프로세싱 시스템(101)의 횡단면도가 도시되어 있다. 제1프로세싱 가스(201)는 매니폴드(103)를 통해 또한 수직으로 가스 박스(113)를 통해 제1프로세스 가스(201)를 분배하는 차단기 플레이트(119)로 흐른다. 제1프로세스 가스(201)는 차단기 플레이트(119)를 통해 앤티챔버(111) 내로 흐른다. 실시예에 있어서, 이온들 및 중성 라디칼들(209)을 생산하는 제1프로세스 가스(201)상에 열 프로세싱이 수행된다. 중성 라디칼들(209)은 샤워헤드(107)의 수직의 구멍들(255)을 통해 프로세싱 챔버(121) 내로 흐른다.
제2프로세싱 가스(202)는 매니폴드(103) 및 가스 박스(113)를 통해 흐를 수 있다. 그 후, 제2프로세싱 가스(202)는 스페이서 링(115)을 통해 샤워헤드(107)로 흐를 수 있다. 제2프로세싱 가스(202)는 외경에 가까운 다수의 위치들에서 샤워헤드(107)에 들어갈 수 있으며 또한 중성 라디칼들(209) 흐름 경로로부터 분리된 흐름 경로를 통해 샤워헤드(107)를 통해 수평으로 흐를 수 있다. 따라서, 샤워헤드(107) 내에서 중성 라디칼들(209)과 제2프로세싱 가스(202) 사이에 접촉이 없다. 제2프로세스 가스(202)는 중성 라디칼들(209)이 제2프로세스 가스(202)와 혼합되는 바닥 표면의 구멍들(255)의 어레이를 통해 샤워헤드(107)를 빠져나온다. 혼합된 프로세스 가스들(202, 209)의 반응은 받침대(117)상에 위치된 기판(106)상에 물질의 층을 증착할 수 있다. 열 프로세서가 프로세싱 챔버(121)에 매우 가깝기 때문에, 라디칼들이 프로세싱 챔버에 도달하기 전에 매우 적은 중성 라디칼(209)이 손실된다.
도 3에 있어서, 실시예에 있어서 앤티챔버(111)는 플라즈마 발생기를 포함한다. 이 실시예에 있어서, 제1프로세싱 가스는 플라즈마(203)로 활성화된다. 플라즈마에 의해 생산된 충전된 종들(210)은, 샤워헤드(107)의 수직의 구멍들(255)을 통해 충전된 종들(210)이 제2프로세싱 가스(202)와 혼합되는 프로세싱 챔버(121)로 흐를 수 있다. 충전된 종들(210)과 제2프로세싱 가스의 반응은 기판(123)상에 물질의 층의 증착을 유발시킬 수 있다. 실시예에 있어서, 플라즈마 발생기는 용량성으로 결합될 수 있으며 또한 차단기 플레이트(119)와 샤워헤드(107) 사이에 생산된 전기장을 발생시킬 수 있다. 다른 실시예들에 있어서, 플라즈마 발생기는 유도성으로 결합될 수 있으며 또한 스페이서 링(115)에 유도 코일들(114)을 포함할 수 있다.
실시예에 있어서, 수직의 구멍들(255)은 5:1 이상의 "길이/폭(length to width) 종횡비(aspect ratio)"를 가질 수 있다. 구멍들(255)이 그 폭들 보다 훨씬 길기 때문에, 플라즈마(203)는 이들 구멍들(255)을 통과할 수 없다. 예를 들어, 길이/폭 비율은 약 5:1 보다 클 수 있다. 따라서, 제1프로세스 가스 충전된 종(209)이 프로세싱 챔버(121)에 들어가며 또한 기판(106)은 플라즈마 또는 O, O2, Cl 또는 OH 플라즈마와 같은 활성(active) 라디칼들에 노출되지 않을 것이다. 프로세싱 챔버의 이 특징은 앤티챔버(111)가 플라즈마 발생기인 일부 프로세싱 방법들에 적용될 수 있다. 다른 실시예들에 있어서, 구멍들(255)의 길이/폭 종횡비는 5 이하일 수 있다.
플라즈마 발생기 앤티챔버(111)가 프로세싱 챔버(121)에 매우 가깝게 위치되기 때문에, 더 많은 충전된 종들(209)이 원격 플라즈마 소스 보다 프로세싱 챔버(121)에 도달한다. 프로세싱 챔버(121)에 도달하는 충전된 종들(209)의 백분율은 80% 이상일 수 있다. 그에 반해, 원격 플라즈마 소스에 의해 생산된 플라즈마의 20% 의 적은 양이 탈이온화되기 전에 프로세싱 챔버에 도달한다. 따라서, 플라즈마 프로세싱 시스템(101)이 원격 플라즈마 프로세싱 시스템 보다 더 효과적이다.
제1프로세싱 가스(201)로부터의 충전된 종들(209)과 함께, 기판(123)도 제2프로세스 가스(202)로 프로세싱된다. 실시예에 있어서, 제2프로세싱 가스(202)는 페이스 플레이트(107)에 들어가기 전에 매니폴드(103) 및 스페이서 링(115)을 통해 흐른다. 도면들은 스페이서 링(115)을 통해 형성된 2개의 구멍들을 도시하고 있지만, 스페이서 링(115)의 둘레로 여러개의 추가적인 구멍들이 균등하게 이격될 수 있다. 실시예에 있어서, 제2프로세싱 가스(202)는 탈이온화되어 유지될 수 있다. 이온화를 피하기 위해, 스페이서 링(115)을 통한 구멍 디자인은 RF 스크러버(scrubber)로서 작용하며 또한 제1프로세싱 가스의 이온화를 방지하는 높은 종횡비를 가질 수 있다. 실시예에 있어서, 제2프로세싱 가스(202)를 위한 스페이서 링(115)을 통한 구멍들은 5:1 또는 그 이상의 종횡비를 가질 수 있다. 이들 구멍들은 약 0.020 내지 1.20 인치의 직경일 수 있으며 또한 구멍들의 길이들은 약 0.100 내지 6.00 인치의 범위에 속할 수 있다. 다른 실시예들에 있어서, 스페이서 링(115)을 통한 구멍들의 종횡비는 5:1 이하일 수 있다.
제2프로세스 가스(202)는 스페이서 링(115)으로부터 또한 샤워헤드(107) 내로 흐른다. 제2프로세싱 가스(202)는 샤워헤드(107)의 내부 체적을 통해 또한 샤워헤드(107)의 하부 표면으로부터 제2프로세싱 가스(202)가 프로세싱 챔버(121) 내로 흐르는 구멍들의 어레이를 통해 수평으로 흐를 수 있다. 실시예에 있어서, 샤워헤드(107)는 2개의 프로세싱 가스들이 샤워헤드(107) 내에서의 혼합 없이 샤워헤드(107)를 통해 흐르게 하는 특수한 디자인을 갖는다. 샤워헤드(107)는 2개의 부품들, 하부 가스 분배 플레이트(148) 및 상부 가스 분배 플레이트(150)를 포함한다. 이들 2개의 플레이트들(148, 150)은, 프로세스 챔버(121)에 들어가는 2개의 프로세스 가스들(202, 210)을 위한 2개의 독특한 통로들을 한정하는 다양한 채널들 및 구멍들을 포함한다.
샤워헤드(107) 부품들의 예들이 도 4-7에 도시되어 있다. 채널들 및 구멍들을 밀봉(seal)하여 제1 및 제2프로세스 가스들을 격리시키기 위하여, 하부 및 상부 가스 분배 플레이트들(148, 150)은 일체형 샤워헤드(107)를 형성하도록 서로 용해(fuse)될 수 있다. 용해는 납땜, 용접, 접착제 또는 임의의 다른 적절한 용해 프로세스에 의해 수행될 수 있다. 다른 실시예들에 있어서, 하부 및 상부 가스 분배 플레이트들(148, 150)은 함께 연결되며 또한 샤워헤드(107)의 채널들 및 구멍들을 밀봉하여 상이한 가스 흐름 경로들을 분리시키기 위해 금속 o-링 밀봉부들과 같은 밀봉부들이 사용될 수 있다. 하부 및 상부 가스 분배 플레이트들(148, 150)은 알루미늄, 알루미늄 합금들, 스텐레스 스틸 및 다른 적절한 물질들을 포함하여 다양한 상이한 물질들로 제조될 수 있다.
도 4는 샤워헤드의 하부 가스 분배 플레이트(150)의 실시예의 횡단면도를 도시하고 있다. 도 5는 하부 가스 분배 플레이트(150)의 실시예의 상부 평면도를 도시하고 있다. 도 6은 상부 가스 분배 플레이트(148)의 실시예의 횡단면도를 제공하며 또한 도 7은 상부 가스 분배 플레이트(148)의 실시예의 저면도(bottom view)를 도시하고 있다. 상부 가스 분배 플레이트(148)는 약 1.6 mm 의 직경을 갖는 복수의 구멍들(604)을 포함하며 또한 기둥(post)들(605)을 통해 연장한다. 이들 구멍들(604)은 하부 가스 분배 플레이트(148)의 보어(bore)들(210)과 정렬된다. 또한, 하부 가스 분배 플레이트(148)는 샤워헤드(107)의 바닥의 외측의 포스트들(605) 사이의 채널들(208)로부터 제2프로세싱 가스를 분배하는데 사용되는 복수의 구멍들(661)을 포함한다. 실시예에 있어서, 상부 가스 분배 플레이트(148)에는 하부 가스 분배 플레이트(148)의 제1가스 구멍들(206) 및 그 관련된 카운터보어(counterbore)들(210)의 배치와 동일하게 짝이룸되는 약 600 내지 2,000 개의 구멍들이 있다. 하부 가스 분배 플레이트(148)의 채널들(208)에 가스를 제공하는 가스 분배 구멍들(606)은, 약 0.125 내지 0.375 인치의 직경을 각각 갖는 8개의 구멍들이 있도록 상부 가스 분배 플레이트(150)의 주변에 대해 배치된다.
샤워헤드(107)를 조립하기 위해, 하부(148) 및 상부(150) 분배 플레이트들은 함께 용해된다. 실시예에 있어서, 하부(148) 및 상부(150) 분배 플레이트들은 서로 클램핑되며, 또한 조립체는 가스 분배 플레이트들(148, 150)이 서로 납땜되는 로(furnace) 내에 위치된다. 다른 실시예들에 있어서, 페이스 플레이트(130) 내에 가스를 보유하거나 또는 가스들의 분리를 유지시키기 위해 엘라스토머 또는 금속 O-링들이 사용된다.
아래쪽(148) 및 윗쪽(150) 플레이트들은 플랜지(202)와 플랜지 지지체(600)의 접합부(junction)에서 용해된다. 또한, 플레이트들(148, 150)은 구멍들(204, 206)의 윗쪽들에 가까운 표면들(608)에서 연결된다. 특히, 플랜지(202)와 플랜지 지지체(600)는 모든 가스들을 샤워헤드 내측에 유지하기에 충분한 밀봉을 형성하는 외측 엣지(902)에서 용해된다. 또한, 하부 가스 분배 플레이트(148)의 플랜지(202)와 상부 가스 분배 플레이트(150)는, 하부 가스 분배 플레이트(148)에 형성된 가스 채널들(208)에 가스를 제공하는 원주방향 플레넘(plenum)(900)을 형성한다. 하부 가스 분배 플레이트(148)의 구멍들(204)에 제2프로세스 가스를 분배하기 위해 균일한 직사각형 횡단면 채널들(208)이 형성되도록, 상부 가스 분배 플레이트(150)는 채널들(208)의 윗쪽들을 형성한다. 제1프로세스 가스가 프로세싱 챔버의 프로세스 지역에 도달하는 것을 방해하지 않는 분배 플레이트들(148, 150) 모두를 통과하도록, 상부 가스 분배 플레이트(150)의 구멍들(604)은 하부 가스 분배 플레이트(148)의 구멍들(210)과 정렬된다.
다른 실시예들에 있어서, 다른 샤워헤드 구성들이 가능하다. 예를 들어, 샤워헤드는 평탄한 상부 및 하부 플레이트들을 가질 수 있다. 상부 플레이트는 제1프로세스 가스를 위한 구멍들을 가질 수 있으며 또한 하부 플레이트는 제1프로세스 가스 및 제2프로세스 가스를 위한 구멍들을 가질 수 있다. 도 1-6에 도시된 바와 같이, 제1프로세스 가스를 위한 구멍들은 하부 플레이트의 윗쪽과 접촉하는 상부 플레이트의 컬럼(column)들을 통해 연장한다. 다른 실시예들에 있어서, 샤워헤드의 상부와 하부 표면들 사이의 컬럼들은 세라믹, 금속 또는 중성 라디칼들 또는 충전된 종들의 재화합을 감소시킬 수 있는 다른 적절한 물질들과 같은 상이한 물질로 제조될 수 있다.
도 1에 있어서, 실시예에 있어서, 기판 프로세싱 시스템(101)은 프로세싱 가스들 및 기판을 가열하도록 구성될 수도 있다. 실시예에 있어서, 히터들(303)은 가스 박스(113)에 연결된다. 제2프로세스 가스(202)가 가스 박스(113)를 통해 흐를 때, 히터(303)가 가스를 가열한다. 실시예에 있어서, 가스 박스(113)는 약 120℃ 내지 180℃ 까지, 또는 임의의 다른 적절한 온도로 제2프로세스 가스(202)를 가열할 수 있다. 앤티챔버(111)의 둘레의 스페이서 링(115)에 추가적인 히터들(304)이 장착될 수 있다. 히터들(304)은 약 120℃ 내지 180℃ 까지, 또는 임의의 다른 적절한 온도로 앤티챔버(111)를 가열할 수 있다.
히터들(303, 304, 118)은 전기 에너지를 열로 변환하고 또한 전도(conduction) 및 대류(convection)에 의해 열을 전달하는 전기 저항 히터들일 수 있다. 히터들(303, 304, 118)은 전기 저항을 포함할 수 있으며 또한 열을 발생하는 저항을 가로질러 전기 전압이 인가될 수 있다. 실시예에 있어서, 온도는 히터들 및 온도 센서에 연결된 하나 또는 둘 이상의 제어기들에 의해 조절될 수 있다. 세트(set) 온도가 제어기로 입력될 수 있으며 또한 상기 세트 온도를 유지하기 위해 히터(303, 304, 118)로의 전력이 조절될 수 있다. 온도 센서들은 가스 박스(113), 앤티챔버(111) 및 받침대(117)와 같은, 히터들(303, 304, 118)의 둘레의 프로세싱 챔버의 실제 온도를 검출할 수 있다. 검출된 온도들은, 그 후 요구된 세트 온도들을 유지하기 위해 히터들(303, 304, 118)로의 전력을 조정할 수 있는 제어기로 전송될 수 있다. 히터들(303, 304, 118)에 의해 사용된 전력은 전기 전력 소스에 의해 공급된 전기 전력일 수 있다.
실시예에 있어서, 히터(303)에 의해 생산된 열을 가스 박스(113)만 격리시키고 또한 상기 열이 플라즈마 프로세싱 시스템(101)의 다른 부품들로 전달되는 것을 방지하는 것이 바람직할 수 있다. 가스 박스(113)는 스페이서 링(115)과 직접 접촉할 수 있으며, 또한 스페이서 링(113)이 단열성 물질로 제조되었다면 가스 박스 히터(303)의 열은 샤워헤드(107)로 전달되지 않을 것이다. 도 8에 있어서, 다른 실시예들에 있어서, 스페이서 링(115)은 단열성 물질로 제조될 수 있다. 히터(303)는 가스 박스(113)를 약 120℃ 내지 180℃ 의 온도로 가열한다. 그러나, 스페이서 링(115)의 절연 특성들은 가스 박스(113)로부터 샤워헤드(107)로 열(350)이 전달되는 것을 방지한다. 따라서, 이 구성에 있어서, 샤워헤드(107)는 실질적으로 가스 박스(113) 보다 차가울 수 있다. 열적으로 격리된 스페이서 링 물질들의 예는 알루미나와 같은 세라믹들을 포함한다. 열이 히터(303)로부터 가스 박스(113) 및 스페이서 링(115)을 통해 샤워헤드(107)로 전달되기 때문에, 가스 박스(113)는 전형적으로 샤워헤드(107) 보다 뜨거울 것이다. 샤워헤드를 가스 박스 보다 차갑게 유지함으로써, 제2프로세스 가스가 조기에 분해되지 않을 수 있다. 특히, 제2프로세스 가스는 차가운 샤워헤드를 통해 흐를 수 있고 또한 그 본래의 상태로 프로세싱 챔버에 들어갈 수 있다. 그 후, 제2프로세스 가스는 제1프로세스 가스로부터의 충전된 종들 또는 중성 라디칼들과 반응할 수 있다. 이 반응은 기판상에 물질의 화학 기상 증착으로 나타날 수 있다.
다른 실시예들에 있어서, 히터(303)에 의해 생산된 열은 플라즈마 프로세싱 시스템(101)의 다른 부분들로 전달되는 것이 바람직할 수 있다. 도 9에 있어서, 스페이서 링(115)이 열 전도성 물질로 제조되면, 열(350)은 가스 박스(113)로부터 스페이서 링(115)을 통해 샤워헤드(107)로 전달될 것이다. 열 전도성 및 유전체 물질들의 예들은 AIN 및 그라파이트를 포함한다. 다른 실시예들에 있어서, 스페이서 링(115)은 좋은 열 전도성 및 좋은 유전체 또는 RF 아이솔레이터 특성들을 갖는 다른 물질들로 제조될 수 있다. 샤워헤드를 가열함으로써, 제2프로세스 가스가 가열될 수 있고, 이는 제2프로세스 가스가 샤워헤드를 빠져나오기 전에 충전된 종들로의 분해로 나타난다. 제2프로세스 가스 이온들로부터의 충전된 종들은, 제1프로세스 가스들로부터의 충전된 종들 또는 중성 라디칼들과 반응할 수 있다. 제1프로세스 가스의 이온들과 제2프로세스 가스의 이온들 사이의 이 반응은, 기판상에 층의 화학적 기상 증착으로 나타날 수 있다.
다른 실시예에 있어서, 도 10에 있어서, 스페이서 링(115)은 매립된 가열 요소(145)를 포함할 수 있다. 히터(145)에 의해 생산된 열(350)은 가스 박스(113)와 샤워헤드(107) 모두로 전달될 수 있다. 히터(145)가 가스 박스(113)와 샤워헤드(107) 사이에 위치되기 때문에, 열은 이들 부품들로 더욱 균등하게 분배될 수 있다. 실시예에 있어서, 히터(145)는 스페이서 링(115)을 약 180℃ 내지 220℃ 로 가열할 수 있다. 도 7을 참조하여 위에 서술한 바와 같이, 실시예에 있어서, 히터(145)는 스페이서 링(115)을 원하는 온도 세팅(setting)으로 유지하기 위해 제어기 및 온도 센서에 연결될 수 있다.
또 다른 실시예에 있어서, 스페이서 링(115)을 위해 전기적으로 전도성인 물질을 사용하는 것이 가능하다. 이 실시예에 있어서, 차단기 플레이트(119)가 페이스 플레이트(107)로 짧아질 것이고 또한 차단기 플레이트(119)와 페이스 플레이트(107) 사이에 전기장이 있을 수 없기 때문에, 제1프로세스 가스를 활성화하는데 플라즈마 발생기 앤티챔버(111)가 사용되지 않을 것이다. 그러나, 가스 박스 히터(303) 및/또는 스페이서 링 히터(304)에 의한 프로세스 가스들의 가열은 도 8-10을 참조하여 위에 서술한 바와 같이 제어될 수 있으며, 또한 시스템은 플라즈마 없이도 CVD 프로세싱 챔버로서 사용될 수 있다. 전기적으로 전도성이고 또한 열적으로 전도성인 스페이서 링 물질들의 예들은 알루미늄, 스텐레스 스틸 및 다른 물질들을 포함한다.
히터들 및 상이한 스페이서 링 물질들을 사용함으로써, 플라즈마 프로세싱 시스템(101)은 제1 및 제2프로세싱 가스들의 필요한 프로세싱을 제공하기 위해 다양한 상이한 방법들로 구성될 수 있다. 프로세싱 시스템(101)의 구성은 수행될 기판 프로세싱에 따를 수 있다.
예시적인 적용에 있어서, 프로세싱 시스템은 2단계 증착 프로세스를 위해 사용될 수 있다. 도 1에 있어서, 이 적용에 있어서, 프로세싱 챔버의 리드스택(lidstack) 부분은 알루미늄 합금 6061 로 제조될 수 있으며 또한 앤티챔버(111)가 플라즈마 발생기로서 기능하지 않도록 스페이서 링(115)이 전도성일 수 있다. 샤워헤드(107)와 받침대(117) 사이에 전기 충전이 적용될 수 있도록 또한 프로세싱 챔버(12)에 플라즈마가 발생될 수 있도록, 세라믹 아이솔레이터(129)는 RF 아이솔레이션(isolation)을 위해 샤워헤드(107)와 본체(131) 사이에 위치될 수 있다. 제1시즈닝(seasoning) 단계에 있어서, TEOS 의 약 200-1000 mg/min 및 O2 의 5-10 slm 이 앤티챔버(111) 및 샤워헤드(107)의 두 채널들을 통해 흐른다. RF 전력은 샤워헤드(107)와 받침대(117) 사이에서 다수의 전력들 및 주파수들로 인가된다. 예를 들어, 고주파 RF 전력의 1,000 와트 및 저주파 전력의 400 와트가 프로세싱 챔버에 인가될 수 있다. 프로세싱 챔버(121)를 시즈닝하기 위해 TEOS 및 O2 가 플라즈마로 활성화될 수 있다.
시즈닝 후, 제2 주(main) 증착 단계가 수행될 수 있다. 열 반응을 위해 프로세싱 챔버(121)가 사용될 수 있도록, RF 전력이 제거될 수 있다. 제1프로세싱 가스는, 차단기 플레이트(119) 및 앤티챔버(111)를 통해 흐르는 헬륨 캐리어(carrier)의 bis(디에틸라미노)실란(BDEAS)SiH2(NEt2)2 일 수 있다. BDEAS 흐름율은 약 2,000 mg/min 일 수 있다. 제2프로세스 가스는 5중량% 에서 분 당 약 10 스탠다드 리터(slm)의 흐름율을 갖는 오존일 수 있다. 프로세스 가스들은 매니폴드(103), 가스 박스(113), 앤티챔버(111) 및 샤워헤드(107)를 통한 분리된 채널들을 통해 흐를 수 있다. 그 후, 프로세스 가스들은 샤워헤드(107) 아래에서 혼합될 수 있다. 프로세싱 챔버(121) 및 받침대(117)는 BDEAS 와 오존 사이의 열 반응을 유발시키는 약 50-100℃ 의 온도로 유지될 수 있다. 열 반응은 기판(106)상에 SiO 의 층을 증착할 수 있다. 이 예를 위해, 증착 균일도는 1% 이하일 수 있다.
예시적인 제2적용에 있어서, 다른 2단계 증착 프로세스가 서술된다. 제1단계에 있어서, 프로세싱 시스템은 주 증착 단계 및 제2단계에서 실리콘 산화물 층의 플라즈마 강화 화학 기상 증착(PECVD)를 위해 사용될 수 있으며, TEOS 캡(cap)이 실리콘 산화물 층상에 증착된다. 도 1에 있어서, 스페이서 링(115)은 앤티챔버(111)가 플라즈마 발생기로서 기능할 수 있도록 유전체 물질로 제조될 수 있다. 주 SiO 증착 단계에 있어서, 제1프로세싱 가스는 앤티챔버(111) 내로 5중량% 에서 분 당 약 10 스탠다드 리터(slm)의 흐름율을 갖는 오존일 수 있다. RF 전력은 샤워헤드(107)의 상부 표면과 가스 박스(119) 사이에 인가될 수 있다. 실시예에 있어서, RF 전력은 고주파에서 1,000 W 이고 또한 저주파에서 400 W 일 수 있다. 플라즈마는 샤워헤드(107)를 통해 흐르는 중성의 산소 라디칼들을 생산한다. 제2프로세싱 가스는 샤워헤드(107)의 제2채널을 통해 흐르는 BDEAS 및 헬륨일 수 있다. 중성의 산소 라디칼들은 BDEAS 와 반응할 수 있으며 또한 기판상에 SiO 의 층을 증착할 수 있다.
SiO 층이 증착된 후, 제2프로세싱 단계에서 TEOS 캡이 증착될 수 있다. 샤워헤드(107)의 상부 표면과 가스 박스(119) 사이에 전력이 인가될 때, 앤티챔버(111)를 통해 TEOS 및 오존이 흐를 수 있다. 그 후, 프로세스 가스들은 샤워헤드를 통해 흐르며 또한 기판(106)상의 실리콘 산화물 층상에 TEOS 캡을 증착한다. 이 적용을 위해, 가스 박스 온도는 약 100-140℃ 일 수 있으며 또한 기판 온도는 약 100-200℃ 일 수 있다.
다른 실시예들에 있어서, 프로세싱 시스템(101)은 다양한 다른 타입들의 기판 프로세싱을 위하여 상이한 프로세싱 가스들 및 작동 조건들로 사용될 수 있다. 특히, 앤티챔버 및 프로세싱 챔버의 온도들은 개별적으로 제어될 수 있다. 실시예에 있어서, 앤티챔버 및 프로세싱 챔버 모두는 약 150℃ 아래로 유지된다. 다른 실시예들에 있어서, 앤티챔버는 열 프로세싱을 위해 사용될 수 있으며 또한 더 뜨거운 작동 온도를 갖는다. 예를 들어, 앤티챔버는 약 400-600℃ 일 수 있다. 또한, 프로세싱 챔버는 400-600℃ 의 유사한 고온으로 유지될 수 있다. 또 다른 실시예들에 있어서, 앤티챔버는 프로세싱 챔버 보다 더 뜨거운 온도로 가열될 수 있으며 또는 역으로 앤티챔버는 프로세싱 챔버 보다 더 차가울 수 있다.
이전의 도면들에 있어서, 샤워헤드(107)의 출구 구멍들은 간단함을 위해 직선형 구멍들로 도시되었다. 그러나, 다른 실시예들에 있어서, 출구 구멍들은 상이한 형태를 갖는다. 예를 들어, 도 11에 있어서, 다양한 출구 구멍 형상들(305-313)이 도시되어 있다. 출구 구멍(305)은 좁은 상부 부분과 원추형 하부 부분을 갖는다. 출구 구멍(306)은 좁은 상부 부분과 오목한 타원형 하부 부분을 갖는다. 출구 구멍(307)은 역전된 원추형 상부 부분, 좁은 원통형 중앙 부분 및 원추형 하부 부분을 갖는다. 출구 구멍(309)은 역전된 원추형 상부 부분, 좁은 원통형 중앙 부분 및 오목한 타원형 하부 부분을 갖는다. 출구 구멍(311)은 오목한 타원형 상부 부분, 좁은 원통형 중앙 부분 및 원추형 하부 부분을 갖는다. 출구 구멍(313)은 오목한 타원형 상부 부분, 좁은 원통형 중앙 부분 및 오목한 타원형 부분을 갖는다.
본 발명의 시스템은 특정한 실시예들을 참조하여 서술되었지만, 그러나 본 발명의 범위로부터의 일탈 없이 이들 실시예들에 추가들, 삭제들 및 변경들이 이루어질 수 있음을 인식해야 한다. 서술된 시스템들은 다양한 부품들을 포함하지만, 이들 부품들 및 서술된 구성은 다양한 다른 구성들로 수정 및 재배치될 수 있음을 인식해야 한다.
103: 매니폴드 106: 기판
107: 샤워헤드 111: 앤티챔버
115: 스페이서 링 117: 받침대
118: 히터 119: 차단기 플레이트
121: 프로세싱 챔버

Claims (22)

  1. 장치로서:
    샤워헤드의 상부 표면에 연결되는 열 챔버, 상기 샤워헤드의 하부 표면에 연결되는 프로세싱 챔버, 및 기판을 지지하는 상기 프로세싱 챔버의 받침대를 제공하는 단계;
    중성 라디칼들을 생성하기 위해 상기 열 챔버의 제1프로세싱 가스를 가열하는 단계;
    상기 열 챔버로부터 상기 샤워헤드를 통해 연장하는 구멍들의 제1어레이를 통해 상기 프로세싱 챔버로 상기 중성 라디칼들을 전송하는 단계;
    상기 구멍들의 제1어레이로부터 격리된 샤워헤드의 구멍들의 제2어레이를 통해 제2프로세싱 가스를 전송하는 단계;
    상기 제2프로세스 가스와 상기 중성 라디칼들을 혼합하는 단계; 및
    상기 프로세싱 챔버 내의 기판 상에 물질의 층을 증착하는 단계;
    를 포함하는,
    장치.
  2. 제 1 항에 있어서,
    상기 샤워헤드와 상기 받침대 사이에 RF 전력을 인가하는 단계; 및
    상기 기판 위의 상기 프로세싱 챔버에 플라즈마를 발생시키는 단계;
    를 더 포함하는,
    방법.
  3. 제 1 항에 있어서,
    프로세싱 중 상기 받침대를 100℃ 미만으로 냉각하는 단계;
    를 더 포함하는,
    방법.
  4. 제 1 항에 있어서,
    상기 열 챔버에서 히터들로부터 열을 발생시키는 단계;
    상기 열 챔버로부터 스페이서 링을 통해 상기 샤워헤드로 열을 열적으로 전도하는 단계; 및
    상기 제2프로세스 가스가 상기 샤워헤드의 구멍들의 상기 제2어레이를 통해 흐르는 동안 상기 제2프로세스 가스를 가열하는 단계;
    를 더 포함하는,
    방법.
  5. 제 1 항에 있어서,
    상기 샤워헤드에 열 전도성 스페이서 링을 통해 상기 열 챔버에 열을 격리시키는 단계;
    를 더 포함하는,
    방법.
  6. 제 1 항에 있어서,
    상기 스페이서 링 내에 매립 또는 연결되는 히터;
    를 더 포함하는,
    장치.
  7. 제 1 항에 있어서,
    상기 열 챔버에 연결되는 히터;
    를 더 포함하는,
    장치.
  8. 제 1 항에 있어서,
    상기 열 챔버는 상기 열 챔버에 제1프로세스 가스를 분배하는 차단기 플레이트를 포함하는,
    장치.
  9. 제 1 항에 있어서,
    상기 샤워헤드는 상기 상부 표면과 상기 하부 표면 사이의 내부 체적, 상기 내부 체적으로의 입구 구멍, 및 상기 제2프로세스 가스가 상기 프로세싱 챔버로 흐르도록 하기 위한 상기 하부 표면의 구멍들의 제2어레이를 포함하는,
    장치.
  10. 제 1 항에 있어서,
    상기 샤워헤드는 상기 상부 표면으로부터 상기 하부 표면으로 연장하는 구멍들의 상기 제1어레이와 정렬되는 관통 구멍(through hole)을 각각 갖는 복수의 융기된 컬럼들을 포함하는,
    장치.
  11. 제 10 항에 있어서,
    상기 복수의 융기된 컬럼들은 세라믹 물질로 제조되는,
    장치.
  12. 방법으로서:
    샤워헤드의 상부 표면에 연결되는 플라즈마 발생 챔버, 상기 샤워헤드의 하부 표면에 연결되는 프로세싱 챔버, 및 기판을 지지하는 상기 프로세싱 챔버의 받침대를 제공하는 단계;
    상기 샤워헤드의 상기 상부 표면과 상기 플라즈마 발생 챔버 사이에 전기 전력을 인가하는 단계;
    플라즈마를 발생시키기 위해 상기 플라즈마 발생 챔버의 제1프로세스 가스를 활성화하는 단계;
    상기 플라즈마 발생 챔버에 가까운 샤워헤드;
    프로세싱 챔버; 및
    상기 샤워헤드의 상기 하부 표면에 가까운 기판을 지지하기 위한 상기 프로세싱 챔버 내의 받침대를 포함하며,
    상기 샤워헤드는 상부 표면 및 하부 표면을 가지며, 상기 샤워헤드는 상기 상부 표면으로부터 상기 하부 표면으로 연장하는 구멍들의 제1어레이를 가지며, 상기 샤워헤드의 상기 상부 표면은 상기 플라즈마 발생 챔버의 하부 전극이며, 상기 샤워헤드의 상기 하부 표면은 상기 프로세싱 챔버의 상부 표면인,
    방법.
  13. 제 12 항에 있어서,
    상기 샤워헤드의 하부 표면에 연결되는 RF 전력 소스를 더 포함하며, 상기 받침대는 접지되는,
    장치.
  14. 제 12 항에 있어서,
    상기 받침대는 프로세싱 중 상기 받침대상에 위치된 기판을 100℃ 미만으로 유지하기 위한 냉각 메커니즘을 포함하는,
    장치.
  15. 제 12 항에 있어서,
    상기 샤워헤드는 상기 상부 표면과 상기 하부 표면 사이의 내부 체적, 상기 내부 체적으로의 입구 구멍, 및 상기 제2프로세스 가스가 상기 프로세싱 챔버로 흐르도록 하기 위한 상기 하부 표면의 구멍들의 제2어레이를 포함하는,
    장치.
  16. 제 12 항에 있어서,
    상기 플라즈마 발생 챔버의 상부 전극은 제1프로세싱 가스를 분배하기 위한 차단기 플레이트인,
    장치.
  17. 제 12 항에 있어서,
    상기 상부 전극과 상기 하부 전극 사이의 스페이서 링을 더 포함하며, 상기 스페이서 링은 유전성 및 열 전도성을 갖는,
    장치.
  18. 제 12 항에 있어서,
    상기 상부 전극과 상기 하부 전극 사이에 스페이서 링을 더 포함하며, 상기 스페이서 링은 유전성 및 열 절연성을 갖는,
    장치.
  19. 제 12 항에 있어서,
    상기 상부 전극과 상기 하부 전극 사이의 스페이서 링; 및
    상기 스페이서 링 내에 매립 또는 연결되는 히터;
    를 더 포함하는,
    장치.
  20. 제 12 항에 있어서,
    상기 플라즈마 발생 챔버에 연결되는 히터;
    를 더 포함하는,
    장치.
  21. 제 12 항에 있어서,
    상기 샤워헤드를 통해 수직으로 연장하는 복수의 구멍들을 더 포함하며, 상기 구멍들의 깊이 대 폭의 비율은 5:1 보다 큰,
    장치.
  22. 제 12 항에 있어서,
    상기 상부 전극과 상기 하부 전극 사이의 스페이서 링; 및
    상기 스페이서 링을 통해 수직으로 연장하는 복수의 구멍들을 더 포함하며,
    상기 구멍들의 깊이 대 폭의 비율은 5:1 보다 큰,
    장치.
KR1020137012729A 2010-10-20 2011-09-28 이중 전달 챔버 디자인 KR20140034115A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/908,617 US20120097330A1 (en) 2010-10-20 2010-10-20 Dual delivery chamber design
US12/908,617 2010-10-20
PCT/US2011/053744 WO2012054200A2 (en) 2010-10-20 2011-09-28 Dual delivery chamber design

Publications (1)

Publication Number Publication Date
KR20140034115A true KR20140034115A (ko) 2014-03-19

Family

ID=45971960

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020137012729A KR20140034115A (ko) 2010-10-20 2011-09-28 이중 전달 챔버 디자인

Country Status (6)

Country Link
US (1) US20120097330A1 (ko)
JP (1) JP2013541848A (ko)
KR (1) KR20140034115A (ko)
CN (1) CN103098174A (ko)
TW (1) TW201229299A (ko)
WO (1) WO2012054200A2 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160121429A (ko) * 2015-04-09 2016-10-19 램 리써치 코포레이션 고밀도 플라즈마 cvd 시스템들에서 제 1 웨이퍼 금속 오염 효과 제거
KR20160130166A (ko) * 2015-05-01 2016-11-10 어플라이드 머티어리얼스, 인코포레이티드 필름 스택들의 형성을 위한 이중-채널 샤워헤드
KR20190000371A (ko) * 2016-05-19 2019-01-02 어플라이드 머티어리얼스, 인코포레이티드 개선된 반도체 식각 및 구성요소 보호를 위한 시스템들 및 방법들
US10388820B2 (en) 2015-02-03 2019-08-20 Lg Electronics Inc. Metal organic chemical vapor deposition apparatus for solar cell

Families Citing this family (127)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102099505A (zh) * 2008-07-30 2011-06-15 京瓷株式会社 沉积膜形成装置及沉积膜形成方法
KR101110080B1 (ko) * 2009-07-08 2012-03-13 주식회사 유진테크 확산판을 선택적으로 삽입설치하는 기판처리방법
JP5777615B2 (ja) * 2009-07-15 2015-09-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Cvdチャンバの流れ制御機構
US9449859B2 (en) * 2009-10-09 2016-09-20 Applied Materials, Inc. Multi-gas centrally cooled showerhead design
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
DE102011113293A1 (de) * 2011-09-05 2013-03-07 Schmid Vacuum Technology Gmbh Vakuumbeschichtungsvorrichtung
DE102011113294A1 (de) * 2011-09-05 2013-03-07 Schmid Vacuum Technology Gmbh Vakuumbeschichtungsvorrichtung
US9039911B2 (en) * 2012-08-27 2015-05-26 Lam Research Corporation Plasma-enhanced etching in an augmented plasma processing system
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
CN102352492A (zh) * 2011-11-10 2012-02-15 中微半导体设备(上海)有限公司 一种带冷却系统的气体注入装置
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9244368B2 (en) 2012-09-26 2016-01-26 Kla-Tencor Corporation Particle control near reticle and optics using showerhead
US10544508B2 (en) * 2012-09-26 2020-01-28 Applied Materials, Inc. Controlling temperature in substrate processing systems
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
US9155184B2 (en) 2013-11-18 2015-10-06 Applied Materials, Inc. Plasma generation source employing dielectric conduit assemblies having removable interfaces and related assemblies and methods
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US9328416B2 (en) * 2014-01-17 2016-05-03 Lam Research Corporation Method for the reduction of defectivity in vapor deposited films
US9484190B2 (en) * 2014-01-25 2016-11-01 Yuri Glukhoy Showerhead-cooler system of a semiconductor-processing chamber for semiconductor wafers of large area
JP6456601B2 (ja) * 2014-05-07 2019-01-23 東京エレクトロン株式会社 プラズマ成膜装置
US20150361582A1 (en) * 2014-06-17 2015-12-17 Veeco Instruments, Inc. Gas Flow Flange For A Rotating Disk Reactor For Chemical Vapor Deposition
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN106922071B (zh) * 2015-12-25 2019-10-01 中微半导体设备(上海)股份有限公司 一种用于等离子反应装置的喷淋头加热冷却装置及方法
US10522371B2 (en) * 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
JP2019529691A (ja) 2016-08-09 2019-10-17 ジングルス・テヒノロギース・アクチェンゲゼルシャフトSingulus Technologies Ag 基板の同時の回転及び浮揚のための非接触基板キャリア
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
JP2018093150A (ja) * 2016-12-07 2018-06-14 東京エレクトロン株式会社 成膜装置及び成膜方法
KR102269479B1 (ko) * 2016-12-08 2021-06-24 어플라이드 머티어리얼스, 인코포레이티드 시간적 원자 층 증착 프로세싱 챔버
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US20190032211A1 (en) * 2017-07-28 2019-01-31 Lam Research Corporation Monolithic ceramic gas distribution plate
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP2019054164A (ja) 2017-09-15 2019-04-04 株式会社東芝 シャワーヘッド、処理装置、及びシャワープレート
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US11761079B2 (en) 2017-12-07 2023-09-19 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
CN108807127B (zh) * 2018-06-01 2020-03-31 北京北方华创微电子装备有限公司 上电极组件、反应腔室以及原子层沉积设备
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
CN112368802A (zh) * 2018-07-31 2021-02-12 应用材料公司 用于ald工艺的方法和设备
US11970775B2 (en) 2018-08-10 2024-04-30 Applied Materials, Inc. Showerhead for providing multiple materials to a process chamber
KR102563925B1 (ko) * 2018-08-31 2023-08-04 삼성전자 주식회사 반도체 제조 장치
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
CN111101117B (zh) * 2018-10-29 2022-07-22 北京北方华创微电子装备有限公司 匀气装置和半导体处理设备
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
CN111321391A (zh) * 2018-12-13 2020-06-23 夏泰鑫半导体(青岛)有限公司 用于半导体制造的喷头
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
KR20220024045A (ko) * 2019-05-16 2022-03-03 어플라이드 머티어리얼스, 인코포레이티드 기판 후면 손상을 최소화하기 위한 방법들 및 장치
US11946140B2 (en) 2021-03-26 2024-04-02 Applied Materials, Inc. Hot showerhead
US11502217B1 (en) * 2021-05-24 2022-11-15 Gautam Ganguly Methods and apparatus for reducing as-deposited and metastable defects in Amorphousilicon
CN116288261A (zh) * 2021-12-07 2023-06-23 拓荆科技股份有限公司 沉积系统及方法
US20240062994A1 (en) * 2021-12-08 2024-02-22 Toshiba Mitsubishi-Electric Industrial Systems Corporation Active gas generation apparatus

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4151862B2 (ja) * 1998-02-26 2008-09-17 キヤノンアネルバ株式会社 Cvd装置
JP3924483B2 (ja) * 2001-03-19 2007-06-06 アイピーエス リミテッド 化学気相蒸着装置
US20030124842A1 (en) * 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
US6677167B2 (en) * 2002-03-04 2004-01-13 Hitachi High-Technologies Corporation Wafer processing apparatus and a wafer stage and a wafer processing method
DE10320597A1 (de) * 2003-04-30 2004-12-02 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden von Halbleiterschichten mit zwei Prozessgasen, von denen das eine vorkonditioniert ist
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
JP2008205219A (ja) * 2007-02-20 2008-09-04 Masato Toshima シャワーヘッドおよびこれを用いたcvd装置

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10388820B2 (en) 2015-02-03 2019-08-20 Lg Electronics Inc. Metal organic chemical vapor deposition apparatus for solar cell
KR20160121429A (ko) * 2015-04-09 2016-10-19 램 리써치 코포레이션 고밀도 플라즈마 cvd 시스템들에서 제 1 웨이퍼 금속 오염 효과 제거
KR20160130166A (ko) * 2015-05-01 2016-11-10 어플라이드 머티어리얼스, 인코포레이티드 필름 스택들의 형성을 위한 이중-채널 샤워헤드
KR20190000371A (ko) * 2016-05-19 2019-01-02 어플라이드 머티어리얼스, 인코포레이티드 개선된 반도체 식각 및 구성요소 보호를 위한 시스템들 및 방법들
KR20210013369A (ko) * 2016-05-19 2021-02-03 어플라이드 머티어리얼스, 인코포레이티드 개선된 반도체 식각 및 구성요소 보호를 위한 시스템들 및 방법들
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection

Also Published As

Publication number Publication date
CN103098174A (zh) 2013-05-08
WO2012054200A2 (en) 2012-04-26
JP2013541848A (ja) 2013-11-14
US20120097330A1 (en) 2012-04-26
WO2012054200A3 (en) 2012-06-14
TW201229299A (en) 2012-07-16

Similar Documents

Publication Publication Date Title
KR20140034115A (ko) 이중 전달 챔버 디자인
JP6752205B2 (ja) トロイダルプラズマ源を有するプラズマ処理システムおよびプラズマ処理の方法
JP6754765B2 (ja) プラズマ生成物を供給するための装置および方法
TWI605495B (zh) 中性粒子/離子流通量控制用之雙電漿容積處理設備
TWI704845B (zh) 用於循環與選擇性材料移除與蝕刻的處理腔室
US9982343B2 (en) Apparatus for providing plasma to a process chamber
JP3701390B2 (ja) プラズマ強化化学処理反応装置
CN105144849B (zh) 环形等离子体处理装置
US6435428B2 (en) Showerhead apparatus for radical-assisted deposition
TWI539025B (zh) 用於短生命週期物種之具有內建電漿源的製程腔室蓋設計
KR20180126391A (ko) 다수의 프리커서 유동을 위한 반도체 처리 챔버
TW201438103A (zh) 具有多個電漿配置構件之半導體處理系統
KR20080015364A (ko) 표면 프로세싱 장치들
CN103988286A (zh) 自给自足式加热元件
KR101232198B1 (ko) 플라스마 발생 유닛 및 이를 포함하는 기판 처리 장치 및 방법
KR100377096B1 (ko) 개선된 샤워헤드를 구비한 반도체 제조장치
KR101493502B1 (ko) 플라즈마로부터 증착에 의하여 막을 형성하는 장치
JP5140321B2 (ja) シャワーヘッド
KR100457455B1 (ko) 박막 증착 속도를 조절하는 샤워헤드를 구비한 화학 기상증착 장치.
TW202129800A (zh) 用於沉積腔室的氣體分佈陶瓷加熱器
TW202342806A (zh) 具有加熱噴頭的噴頭組件
KR20230120822A (ko) 배치식 기판처리장치

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid