JP2013541848A - 二重デリバリチャンバ設計 - Google Patents
二重デリバリチャンバ設計 Download PDFInfo
- Publication number
- JP2013541848A JP2013541848A JP2013534927A JP2013534927A JP2013541848A JP 2013541848 A JP2013541848 A JP 2013541848A JP 2013534927 A JP2013534927 A JP 2013534927A JP 2013534927 A JP2013534927 A JP 2013534927A JP 2013541848 A JP2013541848 A JP 2013541848A
- Authority
- JP
- Japan
- Prior art keywords
- showerhead
- chamber
- holes
- process gas
- spacer ring
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Withdrawn
Links
- 238000013461 design Methods 0.000 title description 5
- 230000009977 dual effect Effects 0.000 title description 2
- 238000000034 method Methods 0.000 claims abstract description 128
- 230000008569 process Effects 0.000 claims abstract description 124
- 238000012545 processing Methods 0.000 claims abstract description 103
- 239000000758 substrate Substances 0.000 claims abstract description 48
- 239000000463 material Substances 0.000 claims abstract description 19
- 125000006850 spacer group Chemical group 0.000 claims description 53
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 claims description 34
- 230000007935 neutral effect Effects 0.000 claims description 28
- 238000010438 heat treatment Methods 0.000 claims description 12
- 238000000151 deposition Methods 0.000 claims description 9
- 238000002156 mixing Methods 0.000 claims description 7
- 238000001816 cooling Methods 0.000 claims description 5
- 230000007246 mechanism Effects 0.000 claims description 4
- 238000003491 array Methods 0.000 claims 1
- 229910010293 ceramic material Inorganic materials 0.000 claims 1
- 239000007789 gas Substances 0.000 abstract description 185
- 238000009826 distribution Methods 0.000 description 43
- 238000006243 chemical reaction Methods 0.000 description 13
- 239000002243 precursor Substances 0.000 description 13
- 238000005229 chemical vapour deposition Methods 0.000 description 12
- 230000008021 deposition Effects 0.000 description 7
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 6
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 6
- 239000004020 conductor Substances 0.000 description 5
- 239000008246 gaseous mixture Substances 0.000 description 5
- 229910052760 oxygen Inorganic materials 0.000 description 5
- 239000004065 semiconductor Substances 0.000 description 5
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 description 5
- 239000000919 ceramic Substances 0.000 description 4
- 230000005684 electric field Effects 0.000 description 4
- 150000002500 ions Chemical class 0.000 description 4
- 239000002245 particle Substances 0.000 description 4
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 4
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 3
- 229910052782 aluminium Inorganic materials 0.000 description 3
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 3
- 239000003989 dielectric material Substances 0.000 description 3
- 229910052751 metal Inorganic materials 0.000 description 3
- 239000002184 metal Substances 0.000 description 3
- 239000000203 mixture Substances 0.000 description 3
- 239000001301 oxygen Substances 0.000 description 3
- 229910052814 silicon oxide Inorganic materials 0.000 description 3
- 239000010935 stainless steel Substances 0.000 description 3
- 229910001220 stainless steel Inorganic materials 0.000 description 3
- 229910000838 Al alloy Inorganic materials 0.000 description 2
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 2
- 230000008878 coupling Effects 0.000 description 2
- 238000010168 coupling process Methods 0.000 description 2
- 238000005859 coupling reaction Methods 0.000 description 2
- 238000005137 deposition process Methods 0.000 description 2
- 238000010494 dissociation reaction Methods 0.000 description 2
- 230000005593 dissociations Effects 0.000 description 2
- 238000005530 etching Methods 0.000 description 2
- 235000011194 food seasoning agent Nutrition 0.000 description 2
- 239000001307 helium Substances 0.000 description 2
- 229910052734 helium Inorganic materials 0.000 description 2
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 2
- 230000006698 induction Effects 0.000 description 2
- 239000011810 insulating material Substances 0.000 description 2
- 238000002955 isolation Methods 0.000 description 2
- 229920002120 photoresistant polymer Polymers 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- 238000007792 addition Methods 0.000 description 1
- 239000000853 adhesive Substances 0.000 description 1
- 230000001070 adhesive effect Effects 0.000 description 1
- 230000015572 biosynthetic process Effects 0.000 description 1
- 238000005219 brazing Methods 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 239000012809 cooling fluid Substances 0.000 description 1
- 230000006378 damage Effects 0.000 description 1
- 238000000354 decomposition reaction Methods 0.000 description 1
- 230000037430 deletion Effects 0.000 description 1
- 238000012217 deletion Methods 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 229920001971 elastomer Polymers 0.000 description 1
- 239000000806 elastomer Substances 0.000 description 1
- 239000003574 free electron Substances 0.000 description 1
- 230000004927 fusion Effects 0.000 description 1
- 238000007499 fusion processing Methods 0.000 description 1
- 229910002804 graphite Inorganic materials 0.000 description 1
- 239000010439 graphite Substances 0.000 description 1
- 230000001939 inductive effect Effects 0.000 description 1
- 239000007769 metal material Substances 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- OWKFQWAGPHVFRF-UHFFFAOYSA-N n-(diethylaminosilyl)-n-ethylethanamine Chemical compound CCN(CC)[SiH2]N(CC)CC OWKFQWAGPHVFRF-UHFFFAOYSA-N 0.000 description 1
- 238000013386 optimize process Methods 0.000 description 1
- 239000007800 oxidant agent Substances 0.000 description 1
- 230000001590 oxidative effect Effects 0.000 description 1
- 238000001020 plasma etching Methods 0.000 description 1
- 238000003672 processing method Methods 0.000 description 1
- 238000005215 recombination Methods 0.000 description 1
- 230000006798 recombination Effects 0.000 description 1
- 230000001105 regulatory effect Effects 0.000 description 1
- 238000000926 separation method Methods 0.000 description 1
- 230000003685 thermal hair damage Effects 0.000 description 1
- 238000003466 welding Methods 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02164—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45565—Shower nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/4557—Heated nozzles
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45563—Gas nozzles
- C23C16/45574—Nozzles for more than one gas
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/46—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
- C23C16/463—Cooling of the substrate
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
- C23C16/509—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
- C23C16/5096—Flat-bed apparatus
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
基板処理システムは、処理チャンバに隣接する熱プロセッサまたはプラズマ発生装置を含む。第1の処理ガスは熱プロセッサまたはプラズマ発生装置に入る。次に、第1の処理ガスは、シャワーヘッドを直接通って処理チャンバに流れ込む。第2の処理ガスは、シャワーヘッドを通る第2の流路を通って流れる。第1および第2の処理ガスは、シャワーヘッドより下で混合され、材料の層がシャワーヘッドの下の基板に堆積される。
Description
関連出願の相互参照
本出願は、「Dual Delivery Chamber Design」という名称で、2010年10月20日に出願された米国特許出願第12/908,617号の一部継続出願であり、その全体が参照により本明細書に組み込まれる。
本出願は、「Dual Delivery Chamber Design」という名称で、2010年10月20日に出願された米国特許出願第12/908,617号の一部継続出願であり、その全体が参照により本明細書に組み込まれる。
本発明は半導体ウエハ処理システムに関し、より詳細には、半導体ウエハ処理システムの反応チャンバに少なくとも2つのプロセスガスを供給するためのガス分配シャワーヘッドに関する。
半導体ウエハ処理システムは、一般に、処理領域に隣接してチャンバ内で半導体ウエハを支持するためのペデスタルを有するプロセスチャンバを含む。チャンバは、プロセス領域を部分的に画定する真空エンクロージャを形成する。ガス分配アセンブリまたはシャワーヘッドは1つまたは複数のプロセスガスをプロセス領域に供給する。ガスは、RFエネルギーで加熱され、かつ/またはRFエネルギーを供給され、それにより、分子を解離させることができる。次に、プロセスガスを混合および使用して、ウエハにいくつかのプロセスを行うことができる。これらのプロセスは、ウエハ上に膜を堆積させるための化学気相堆積(CVD)、またはウエハから材料を除去するエッチングを含むことができる。いくつかの実施形態では、プロセスガスにエネルギーを与えて、プラズマ化学気相堆積(PECVD)またはプラズマエッチングなどのウエハ上へのプロセスを行うことができるプラズマを形成することができる。
多数のガスを必要とするプロセスでは、ガスは、一般に、処理チャンバから離れ、かつ導管を介してシャワーヘッドに結合された混合チャンバ内で組み合わされる。次に、ガス状混合物は導管を通って分配プレートまで流れ、プレートは、ガス状混合物がプロセス領域に均等に分配されるように複数の孔を含む。ガス状混合物がプロセス領域に入るとき、エネルギーを与えられた粒子および/または中性ラジカルは材料の層をウエハにCVD反応で堆積させる。
プロセス領域への放出の前にガスを混合して、ガスがプロセス領域に均一に確実に分配されるのは一般に有利であるが、ガスは還元を始めるか、またはさもなければ混合チャンバ内で反応する傾向がある。その結果、ガス状混合物がプロセス領域に達する前に、混合チャンバ、導管、および他のチャンバ構成要素への堆積またはエッチングが生じることがある。さらに、反応副生成物がチャンバガスデリバリ構成要素に蓄積することがある。ガスが分配プレートを出てプロセス領域に入るまで、ガスを別個の通路に維持する目的で、ガスが分配プレートを出てプロセス領域に入るまで、いくつかのシャワーヘッドが2つのガスを別個の通路に維持する。別個の通路を使用することによって、ガスは、ウエハの近くのプロセス領域に達するまで互いに混合または反応しない。
いくつかの用途では、前駆体ガスのうちの1つは除去処理チャンバ中で生成される中性ラジカルとすることができる。中性ラジカルは、遠隔の熱処理チャンバまたはプラズマ処理チャンバで生成することができる。中性ラジカルは、遠隔チャンバから導管を通ってシャワーヘッドまで流れ、さらにシャワーヘッドの第1の組の分配出口を通ってウエハ基板の上の処理チャンバに流れ込むことができる。同時に、第2の前駆体ガスは、供給源からシャワーヘッドの第2の組の出口を通って流れることができる。次に、中性ラジカルは第2の前駆体ガスと混合し、基板上で所望の化学反応を行うことができる。遠隔プラズマ源に関する問題は、中性ラジカルの、80%である場合もある大きい割合が、ウエハ処理チャンバに達する前に再結合されることである。
他の実施形態では、遠隔プラズマ源を使用することができる。プラズマガスは導管を通ってシャワーヘッドまで流れることができる。プラズマは、シャワーヘッドの第1の組の出口を通ってウエハ基板の上の処理チャンバに流れ込むことができる。同時に、第2の前駆体ガスは、やはり、シャワーヘッドの第2の組の出口を通って流れることができる。次に、プラズマは前駆体ガスと混合し、基板上で所望の化学反応を行うことができる。再び、遠隔プラズマ源に関する問題は、プラズマによって生成された荷電化学種の大きい割合が、ウエハ処理チャンバに達する前に再結合されることである。
したがって、当技術分野では、非常に高い割合の中性ラジカルまたはプラズマを基板に供給することができ、プロセス領域に達する前にガスを混合することなく少なくとも2つのガスをプロセス領域内に運搬するシステムへの必要性がある。
本発明は、CVD処理チャンバに直接隣接するアンテチャンバを含むCVD処理チャンバを対象とする。アンテチャンバは、プロセスガスがCVD処理チャンバに入る前にプロセスガスへの処理を行うことができる。一実施形態では、アンテチャンバは、様々な異なるプロセスを行うように構成することができるモジュール構造である。アンテチャンバは、ヒータを含むことができる熱処理チャンバとすることができる。ヒータは、前駆体ガスへの熱処理を行うことができる。例えば、前駆体ガスはアンテチャンバに入ることができ、熱解離がプロセスガスに行われて、荷電化学種および中性ラジカルを生成することができる。次に、中性ラジカルは、シャワーヘッドを通って基板処理チャンバに流れ込むことができる。
他の実施形態では、アンテチャンバはプラズマ発生装置を含むことができる。容量結合、誘導結合、光学、または任意の他の好適なタイプのプラズマ発生装置を含む様々なタイプのプラズマ発生装置を使用することができる。プラズマ発生装置はシャワーヘッドの直上にあり、基板およびペデスタルを含む処理チャンバはシャワーヘッドの直下にあるので、荷電化学種の損失が最小にされる。
一実施形態では、プラズマ発生装置は前駆体ガスマニホルド、ガスボックス、ブロッカプレート、およびスペーサリングを含むことができる。マニホルドはガスボックスの上に取り付けることができ、ブロッカプレートはガスボックスの下に取り付けることができる。プラズマ発生装置チャンバは、ブロッカプレートの下部表面、シャワーヘッドの上部表面、およびスペーサリングの内径によって画定することができる。ブロッカプレートおよびシャワーヘッドの上部表面は電極として機能する。RF電源はブロッカプレートに結合され、面板は接地される。
一実施形態では、シャワーヘッドは、2つの処理ガス用の別個の流路を含む。第1の流路は、シャワーヘッドを垂直に通ってプラズマ発生装置から処理チャンバにおける第1のアレイの出口孔まで延びる第1のアレイの入口孔を含むことができる。シャワーヘッドを通る第2の流路は第2の組の入口と第2の流路とを含み、それらは、シャワーヘッドを水平に通って第2のアレイの垂直出口孔まで第2の処理ガスを誘導して処理チャンバに入れることができる。第1のアレイの出口孔は、第2のアレイの出口孔と混在することができ、その結果、第1および第2の処理ガスがシャワーヘッドを通って流れた後、それらは、ペデスタルに取り付けた基板と接触する前に処理チャンバの最上部で混合される。
シャワーヘッドの直上のプラズマ発生装置の構成は、処理チャンバに入る反応性ガスであって、中性ラジカルまたは荷電粒子とすることができる反応性ガスの割合を改善する。したがって、遠隔のプラズマ源と比べると、中性ラジカルまたは荷電粒子の非常により高い割合が処理チャンバに入る。システムの効率が大幅に増強されるので、所要のウエハ処理を行うのに極めてよりわずかな中性ラジカルまたは荷電粒子しか生成する必要がない。
異なる実施形態では、プラズマ発生装置は、処理チャンバの用途に応じて異なるスペーサリングで構成することができる。例えば、スペーサリングは、使用される材料に応じて熱伝導体および/またはRF隔離体として働くことができる。これらの異なる構成は、処理チャンバによって行われるプロセスに依存することができる。
ガスボックスは熱的加熱ユニットを含むことができる。一実施形態では、ガスボックスはガスボックスヒータを使用して160℃に加熱することができる。この熱は、スペーサ材料に応じて面板から隔離するか、または面板に移送することができる。熱隔離が望ましい場合、スペーサリングは、アルミナなどの熱絶縁性セラミックで製作することができる。逆に、熱は、アルミニウムまたはステンレス鋼などの熱伝導性材料で製作されたスペーサリングを使用することによって面板に移送される必要がある。
別の実施形態では、スペーサリングはヒータを含むことができる。ヒータリングは、リングに埋め込まれる加熱要素を含むことができる。リングによって生成された熱を調節することができるように温度センサをヒータに結合することもできる。加熱要素は面板を約200℃以上に加熱することができる。
本発明の処理システムは、基板が100℃未満に保たれる基板の「冷熱」処理に使用することができる。冷たい処理温度では基板の熱損傷が防止される。プロセッサは、RFエネルギーを基板から離しておくことによって基板を冷たく保つことができる。RFエネルギーは面板によって基板から隔離される。温度制御ペデスタルは、2009年12月18日に出願された米国特許出願第12/641,819号のMultifunctional Heater/Chiller Pedestal For Wide Range Wafer Temperature Controlに開示されており、参照により本明細書に組み込まれる。
処理チャンバは広範な処理条件で動作することができる。前駆体および酸化剤の流量は、約10から40標準リットル毎分(SLM)の間とすることができる。温度範囲は、約30℃から200℃の間とすることができる。圧力範囲は、約2から100トルとすることができる。
これらの動作条件は、特に、いくつかの低温処理ステップに適することができる。例えば、低温SiOライナをパターン化済みフォトレジスト層に堆積させることができる。堆積温度はフォトレジスト材料への損傷を避けるために非常に低くなければならない。この用途では、温度は100℃未満とすることができる。これらの実施形態では、ペデスタルおよび基板処理温度を約50℃〜100℃の間に維持するために冷却流体をペデスタルに通すことができる。
他の実施形態では、処理チャンバは熱および/またはプラズマ処理に使用することができる。ペデスタルは、基板と、処理チャンバ内で熱反応を引き起こすことができる処理チャンバとを加熱するヒータを含むことができる。プラズマモードでは、シャワーヘッドは、誘電性隔離体によってペデスタルから電気的に分離される。処理チャンバ内にプラズマを発生させるために、RF電力がペデスタルとシャワーヘッドとの間に印加される。
本開示は、化学気相堆積(CVD)で使用されるモジュール式前駆体ガス処理システムに関する。図1を参照すると、CVD処理システム101の一実施形態の断面図が示される。プラズマ処理システム101は、アンテチャンバ111と、処理チャンバ121と、アンテチャンバ111を処理チャンバ121から分離するシャワーヘッド107とを含む。システム101は、マニホルド103、ガスボックス113、スペーサリング115、ブロッカプレート119、ペデスタル117、隔離体129、および本体131をさらに含む。
半導体ウエハなどの基板106は処理チャンバ121に隣接してペデスタル117上に維持される。ペデスタル117は、処理チャンバ121内で垂直に移動して、下げた位置にいる間にスリットバルブ(図示せず)を通して基板106を処理チャンバ121に挿入するか、またはそれから取り出すことができる位置までペデスタル117を下げるのを可能にすることができる。ペデスタル117が下げた位置にいるとき、新しい基板106がペデスタル117上に位置づけられ、プロセス位置に持ち上げられ、それにより、基板106はプロセス領域に隣接して配置される。
一実施形態では、ペデスタル117はヒータ118および/または冷却機構122を含むことができる。2009年12月18日に出願されたMultifunctional Heater/Chiller Pedestal For Wide Range Wafer Temperature Controlという米国特許出願第12/641,819号は参照により本明細書に組み込まれ、ヒータ118および冷却機構122を含むペデスタルの実施形態に関する追加の詳細を開示している。ヒータ118および冷却機構122を使用して、任意の所望の温度に基板106を維持することができる。
プロセスガスはシャワーヘッド107を通して供給される。本発明の好ましい実施形態では、複数のガスを使用して基板106を処理する。これらのガスは、ウエハを処理するのに必要とされるガス状混合物を形成する、すなわち、ウエハ上に堆積物を形成するか、または基板106を化学的にエッチングする。一実施形態では、シャワーヘッド107の底面と基板106の上部表面との間の距離は約0.2〜2.0インチ(0.51〜5.1センチメートル)とすることができる。この距離はプロセスガスの混合を最適化するように調整することができる。処理チャンバ121は、熱プロセッサとして、またはプラズマチャンバとして機能するように構成することができる。熱処理モードでは、隔離体129は、金属材料などの導電性でもある熱伝導性材料で製作することができる。プラズマチャンバ構成では、隔離体129は、シャワーヘッド107をペデスタル117から電気的に分離する誘電性材料で製作することができる。電源124からのRF電力は、導電性本体131に結合することができるペデスタル118とシャワーヘッド107との間に印加することができる。例えば、RF電源はシャワーヘッド107に結合することができ、ペデスタル118は接地することができる。電界は、処理チャンバ121内のガスにエネルギーを与えてプラズマにすることができる。
アンテチャンバ111は、様々なプロセスを行うように構成することができるモジュール構造とすることができる。一実施形態では、アンテチャンバ111は熱処理ユニットとすることができる。他の実施形態では、アンテチャンバ111はプラズマ発生装置とすることができる。アンテチャンバ111設計をモジュールとすることができるので、アンテチャンバ111は、ユーザによる必要に応じて異なる機能を行うように取外しおよび取替えを行うことができる。
一実施形態では、アンテチャンバ111は、1つまたは複数のヒータ303、304を含む熱処理ユニットである。いくつかの前駆体ガスは、加熱されると、解離して、基板を処理するのに使用することができる中性ラジカルを生成することができる。加熱温度はプロセスガスの解離温度によって決めることができる。一実施形態では、熱処理ユニットは、約550℃から600℃以上まで加熱することができる。他の実施形態では、様々な他のプロセスをアンテチャンバで行って中性ラジカルを生成することができる。例えば、アンテチャンバは、前駆体ガスを解離させるために使用される光エネルギー源を含むことができる。前駆体ガスがオゾンである場合、オゾンを185nmまたは254nmの波長の光にさらすと、酸素ラジカルの生成をもたらすことができる。
別の実施形態では、アンテチャンバ111は、各々電極として機能するブロッカプレート119の底面とシャワーヘッド107の上部表面とに容量的に結合することができるプラズマ発生装置を含む。ブロッカプレート119はRF電源に結合することができ、シャワーヘッド107は電気的に接地することができる。プラズマ発生装置アンテチャンバ111の体積部はスペーサリング115で囲まれる。スペーサリング115は、この実施形態では、ブロッカプレート109をシャワーヘッド107から分離するので、スペーサリング115は電気的に絶縁性である。他の実施形態では、アンテチャンバ111は、誘導コイル112または任意の他の好適なエネルギー源を含めて、プラズマを生成するための他のタイプのエネルギー源を含むことができる。
動作の間、第1の処理ガスは、マニホルド103を通ってブロッカプレート119の上の体積部に流れ込むことができる。第1の処理ガスはアンテチャンバ111の幅の端から端までブロッカプレート119によって分配され、孔を通ってアンテチャンバ111に流れ込む。RF電力は、ブロッカプレート119とシャワーヘッド107との間にAC電界を生成する。第1のプロセスガスの原子はイオン化され、電子を放出し、電子はRF界によって加速される。電子は、さらに、衝突によって直接または間接的に第1のプロセスガスをイオン化し、二次電子を生成することができる。電界は電子雪崩を発生させ、豊富な自由電子により導電性プラズマを生成することができる。
図2を参照すると、基板処理システム101の断面図が示され、第1の処理ガス201および第2のプロセスガス202の流路が示される。第1の処理ガス201は、マニホルド103を通り、ガスボックス113を垂直に通り、ブロッカプレート119まで流れ、ブロッカプレート119は第1のプロセスガス201を分配する。第1のプロセスガス201は、ブロッカプレート119を通ってアンテチャンバ111に流れ込む。一実施形態では、熱処理を第1のプロセスガス201に行い、イオンおよび中性ラジカル209が生成される。中性ラジカル209は、シャワーヘッド107の垂直孔255を通って処理チャンバ121に流れ込む。
第2の処理ガス202は、マニホルド103およびガスボックス113を通って流れることができる。次に、第2の処理ガス202は、スペーサリング115を通ってシャワーヘッド107まで流れることができる。第2の処理ガス202は外径の近くの多数の場所でシャワーヘッド107に入り、シャワーヘッド107を水平に通り、中性ラジカル209の流路から分離された流路を通って流れる。したがって、シャワーヘッド107内で、中性ラジカル209と第2の処理ガス202との間に接触はない。第2のプロセスガス202は底面のアレイの孔255を通ってシャワーヘッド107を出ていき、中性ラジカル209は第2のプロセスガス202と混合する。混合されたプロセスガス202、209の反応により、ペデスタル117に配置された基板106に材料の層を堆積させることができる。熱プロセッサが処理チャンバ121の極めて近くにあるので、中性ラジカル209は、処理チャンバに達する前にはほとんど失われない。
図3を参照すると、一実施形態では、アンテチャンバ111はプラズマ発生装置を含む。この実施形態では、第1の処理ガスはエネルギーを与えられてプラズマ203になる。プラズマによって生成された荷電化学種210は、シャワーヘッド107の垂直孔255を通って処理チャンバ121まで流れることができ、荷電化学種210は第2の処理ガス202と混合される。荷電化学種210と第2の処理ガスとの反応は、基板106への材料の層の堆積を引き起こすことができる。一実施形態では、プラズマ発生装置は容量的に結合することができ、ブロッカプレート119とシャワーヘッド107との間に生成される電界を発生させることができる。他の実施形態では、プラズマ発生装置は誘導的に結合することができ、スペーサリング115に誘導コイル114を含むことができる。
一実施形態では、垂直孔255は、5:1を超える「長さ対幅アスペクト比」を有することができる。孔255は幅よりも非常に長いので、プラズマ203はこれらの孔255を通り抜けることができない。例えば、長さ対幅比は約5:1を超えることがある。したがって、第1のプロセスガスの荷電化学種209は処理チャンバ121に入り、基板106は、O、O2、Cl、またはOHプラズマなどのプラズマまたは活性ラジカルにさらされないことになる。処理チャンバのこの特徴は、アンテチャンバ111がプラズマ発生装置であるいくつかの処理方法に適用可能とすることができる。他の実施形態では、孔255の長さ対幅アスペクト比は5未満とすることができる。
プラズマ発生装置アンテチャンバ111は処理チャンバ121の極めて近くに位置づけられるので、遠隔プラズマ源によるよりもはるかに多くの荷電化学種209が処理チャンバ121に達する。処理チャンバ121に達する荷電化学種209の割合は80%を超えることができる。対照的に、遠隔プラズマ源によって生成されたプラズマのわずか20%が脱イオン化される前に処理チャンバに達すると見積もられる。したがって、プラズマ処理システム101は遠隔プラズマ処理システムよりも効率的である。
第1の処理ガス201からの荷電化学種209に加えて、基板106は、さらに、第2のプロセスガス202により処理される。一実施形態では、第2の処理ガス202は、面板107に入る前にマニホルド103およびスペーサリング115を通って流れる。図面はスペーサリング115を通して形成された2つの孔を示しているが、いくつかの追加の孔をスペーサリング115のまわりに均等に間隔をおいて配置することができる。一実施形態では、第2の処理ガス202は脱イオン化のままとすることができる。イオン化を避けるために、スペーサリング115を通る孔の設計は、RFスクラバーとして働き、第1の処理ガスのイオン化を防止する高いアスペクト比を有することができる。一実施形態では、第2の処理ガス202用のスペーサリング115を通る孔は、5:1以上のアスペクト比を有することができる。これらの孔は直径を約0.020インチ(0.508ミリメートル)から1.20インチ(3.048センチメートル)の間とすることができ、孔の長さは約0.100インチ(2.54ミリメートル)から6.00インチ(15.24センチメートル)にわたることができる。他の実施形態では、スペーサリング115を通る孔のアスペクト比は5:1未満とすることができる。
第2のプロセスガス202は、スペーサリング115からシャワーヘッド107に流れ込む。第2の処理ガス202は、シャワーヘッド107の内部体積部を水平に通り、シャワーヘッド107の下部表面からアレイの孔を通って流れ、そこから第2の処理ガス202は処理チャンバ121に流れ込む。一実施形態では、シャワーヘッド107は、2つの処理ガスがシャワーヘッド107内で混合することなくシャワーヘッド107を通って流れることができる特別な設計を有する。シャワーヘッド107は2つの構成要素、すなわち、下部ガス分配プレート148と上部ガス分配プレート150とを含む。これらの2つのプレート148、150は、2つのプロセスガス202、201がプロセスチャンバ121に入るための2つの別個の通路を画定する様々なチャネルおよび孔を含む。
シャワーヘッド107の構成要素の例が図4〜7に示される。チャネルおよび孔を密閉して第1および第2のプロセスガスを隔離するために、下部ガス分配プレート148および上部ガス分配プレート150を互いに融着させて単一シャワーヘッド107を形成することができる。融着は、ろう付け、溶接、接着剤、または任意の他の好適な融着プロセスで行うことができる。他の実施形態では、下部ガス分配プレート148および上部ガス分配プレート150は一緒に結合させることができ、金属またはOリングなどのシールを使用して、異なるガス流路を分離するようにシャワーヘッド107のチャネルおよび孔を密閉することができる。下部ガス分配プレート148および上部ガス分配プレート150は、アルミニウム、アルミニウム合金、ステンレス鋼、および他の好適な材料を含む様々な異なる材料で製作することができる。
図4は、シャワーヘッドの下部ガス分配プレート150の一実施形態の断面図を示す。図5は、下部ガス分配プレート150の一実施形態の平面図を示す。図6は上部ガス分配プレート148の一実施形態の断面図を与え、図7は上部ガス分配プレート148の一実施形態の底面図を示す。上部ガス分配プレート148は、約1.6mmの直径を有する複数の孔604を含み、ポスト605を通って延びる。これらの孔604は、下部ガス分配プレート148のボア210と位置合わせされる。下部ガス分配プレート148は、シャワーヘッド107の底部から外にポスト605の間のチャネル208から第2の処理ガスを分配するのに使用される複数の孔661をさらに含む。一実施形態では、上部ガス分配プレート148には約600個から2000個の孔があり、それらは第1のガス孔206および下部ガス分配プレート148の関連するカウンタボア210の配列に全く同じに一致する。下部ガス分配プレート148のチャネル208にガスを供給するガス分配孔606は、各々が約0.125インチ(0.318センチメートル)から0.375インチ(0.953センチメートル)の直径を有する8つの孔が存在するように上部ガス分配プレート150の周囲に配置される。
シャワーヘッド107を組み立てるために、下部分配プレート148および上部分配プレート150は一緒に融着することができる。一実施形態では、下部分配プレート148と上部分配プレート150とは互いにクランプされ、そのアセンブリは炉に入れられ、そこでガス分配プレート148、150は互いにロウ付けされる。他の実施形態では、エラストマまたは金属Oリングを使用して、面板130内にガスを保持するか、またはガスの分離を維持することができる。
底部プレート148と最上部プレート150とは、フランジ202とフランジ支持体600との接合部で融着される。加えて、プレート148および150は、孔204および206の最上部に隣接する表面608で連結される。具体的には、フランジ202とフランジ支持体600とを外側エッジ902で融着して、十分な密閉を形成し、ガスのすべてをシャワーヘッド内に維持する。さらに、上部ガス分配プレート150と下部ガス分配プレート148のフランジ202とは、下部ガス分配プレート148に形成されたガスチャネル208にガスを供給する円周方向プレナム900を形成する。上部ガス分配プレート150は、第2のプロセスガスを下部ガス分配プレート148の孔204に分配するために均一な長方形断面チャネル208を形成するようにチャネル208の最上部を形成する。上部ガス分配プレート150の孔604は、下部ガス分配プレート148の穴210と位置合わせされ、それにより、第1のプロセスガスは、処理チャンバのプロセス領域に達するのを妨げられずに両方の分配プレート148および150を通過することができる。
他の実施形態では、他のシャワーヘッド構成が可能である。例えば、シャワーヘッドは平面の上部および下部プレートを有することができる。上部プレートは第1のプロセスガス用の孔を有することができ、下部プレートは第1のプロセスガスおよび第2のプロセスガス用の孔を有することができる。図1〜6に示したように、第1のプロセスガス用の孔は、下部プレートの最上部に接触する上部プレートのカラムを通って延びる。他の実施形態では、シャワーヘッドの上部表面と下部表面との間のカラムは、中性ラジカルまたは荷電化学種の再結合を低減することができるセラミック、金属、または他の好適な材料などの異なる材料で製作することができる。
図1を参照すると、一実施形態では、基板処理システム101は処理ガスおよび基板を加熱するように構成することもできる。一実施形態では、ヒータ303はガスボックス113に結合される。第2のプロセスガス202がガスボックス113を通って流れるとき、ヒータ303はガスを加熱する。一実施形態では、ガスボックス113は、第2のプロセスガス202を約120℃から180℃、または任意の他の好適な温度まで加熱することができる。追加のヒータ304を、アンテチャンバ111のまわりのスペーサリング115に取り付けることができる。ヒータ304は、アンテチャンバ111を約120℃から180℃の温度、または任意の他の好適な温度まで加熱することができる。
ヒータ303、304、および118は、電気エネルギーを熱に変換し、伝導および対流によって熱を送出する電気抵抗ヒータとすることができる。ヒータ303、304、および118は電気抵抗器を含むことができ、電圧を抵抗器の両端に印加して熱を発生させることができる。一実施形態では、温度は、ヒータおよび温度センサに結合される1つまたは複数のコントローラで調節することができる。設定温度をコントローラに入力することができ、ヒータ303、304、および118への電力を調節して設定温度を維持することができる。温度センサは、ガスボックス113、アンテチャンバ111、およびペデスタル117などのヒータ303、304、および118のまわりの処理チャンバの実際の温度を検出することができる。検出された温度はコントローラに送出することができ、次に、コントローラはヒータ303、304、および118への電力を調整して所要の設定温度を維持することができる。ヒータ303、304、および118で使用される電力は、電力源によって供給される電力とすることができる。
一実施形態では、ヒータ303で生成された熱をガスボックス113のみに隔離し、熱がプラズマ処理システム101の他の構成要素に移送されないようにすることが望ましいことがある。ガスボックス113はスペーサリング115と直接接触することができるが、スペーサリング113が熱絶縁性材料で製作される場合、ガスボックスヒータ303の熱はシャワーヘッド107に移送されないことになる。図8を参照すると、他の実施形態では、スペーサリング115は熱絶縁性材料で製作することができる。ヒータ303は、ガスボックス113を約120℃から180℃の温度に加熱する。しかし、スペーサリング115の絶縁の性質により、熱350はガスボックス113からシャワーヘッド107に移送されるのを防止される。したがって、この構成では、シャワーヘッド107はガスボックス113よりも実質的に冷たくことができる。熱的隔離スペーサリング材料の例にはアルミナなどのセラミックスが含まれる。熱はヒータ303からガスボックス113およびスペーサリング115を通ってシャワーヘッド107に移送されるので、ガスボックス113は、一般に、シャワーヘッド107よりも熱いことになる。シャワーヘッドをガスボックスよりも冷たくしておくことによって、第2のプロセスガスは早すぎた分解をしないことができる。より具体的には、第2のプロセスガスは、より冷たいシャワーヘッドを通って流れ、元の状態で処理チャンバに入ることができる。次に、第2のプロセスガスは、第1のプロセスガスからの中性ラジカルまたは荷電化学種と反応することができる。この反応は、基板への材料層の化学気相堆積をもたらすことができる。
他の実施形態では、ヒータ303によって生成された熱がプラズマ処理システム101の他の部分に移送されることが望ましいことがある。図9を参照すると、スペーサリング115が熱伝導性材料で製作される場合、熱350はガスボックス113からスペーサリング115を通ってシャワーヘッド107に移送されることになる。熱伝導性の誘電体材料の例にはAlNおよびグラファイトが含まれる。他の実施形態では、スペーサリング115は、良好な熱伝導率と良好な誘電体またはRF隔離体特性とを有する他の材料で製作することができる。シャワーヘッドを加熱することによって、第2のプロセスガスは加熱することができ、それにより、第2のプロセスガスがシャワーヘッドを出る前に荷電化学種への分解がもたらされる。第2のプロセスガスイオンからの荷電化学種は、第1のプロセスガスからの中性ラジカルまたは荷電化学種と反応することができる。第1のプロセスガスのイオンと第2のプロセスガスのイオンとの間のこの反応は、基板への層の化学気相堆積をもたらすことができる。
別の実施形態では、図10を参照すると、スペーサリング115は埋込み加熱要素145を含むことができる。ヒータ145によって生成された熱350は、ガスボックス113およびシャワーヘッド107の両方に移送され得る。ヒータ145はガスボックス113とシャワーヘッド107との間に位置するので、熱はこれらの構成要素により均等に分配することができる。一実施形態では、ヒータ145はスペーサリング115を約180℃から220℃に加熱することができる。図7を参照して上述したように、一実施形態では、ヒータ145はコントローラおよび温度センサに結合して、スペーサリング115を所望の温度設定で維持することができる。
さらなる別の実施形態では、スペーサリング115用の導電性材料を使用することが可能である。この実施形態では、ブロッカプレート119が面板107に短絡することになり、ブロッカプレート119と面板107との間に電界が存在できないので、プラズマ発生装置アンテチャンバ111は第1のプロセスガスにエネルギーを与えるのに使用されないことになる。しかし、ガスボックスヒータ303および/またはスペーサリングヒータ304によるプロセスガスの加熱は図8〜10を参照して上述したように制御することができ、システムはプラズマのないCVD処理チャンバとして使用することができる。導電性で熱伝導性のスペーサリング材料の例にはアルミニウム、ステンレス鋼、および他の材料が含まれる。
ヒータおよび異なるスペーサリング材料の使用によって、プラズマ処理システム101は、第1および第2の処理ガスの必要な処理を行うための様々な異なる様式で構成することができる。処理システム101の構成は、行われることになる基板処理によって決めることができる。
例示の用途では、処理システムは2ステップの堆積プロセスで使用することができる。図1を参照すると、この用途では、処理チャンバのリッドスタック部分はアルミニウム合金6061で製作することができ、スペーサリング115は導電性とすることができ、その結果、アンテチャンバ111はプラズマ発生装置として機能しない。セラミック隔離体129をRF隔離のためにシャワーヘッド107と本体131との間に配置することができ、その結果、シャワーヘッド107とペデスタル117との間に電荷を印加することができ、プラズマを処理チャンバ121に発生させることができる。第1のシーズニングステップでは、約200〜1000mg/minのTEOSおよび5〜10slmのO2が、アンテチャンバ111およびシャワーヘッド107のチャネルの両方を通って流れる。RF電力が、多数の電力および周波数で、シャワーヘッド107とペデスタル117との間に印加される。例えば、高周波RF電力の1000ワットおよび低周波数電力の400ワットを処理チャンバ121に印加することができる。TEOSおよびO2は、処理チャンバ121をシーズニングするためにエネルギーが与えられてプラズマになることができる。
シーズニングの後、第2の主堆積ステップを行うことができる。処理チャンバ121を熱反応に使用することができるようにRF電力は除去することができる。第1の処理ガスはヘリウムキャリア中のビス(ジエチルアミノ)シラン(BDEAS)SiH2(NEt2)2とすることができ、ブロッカプレート119およびアンテチャンバ111を通って流れる。BDEAS流量は約2000mg/minとすることができる。第2のプロセスガスは、5重量%で約10標準リットル毎分(slm)の流量を有するオゾンとすることができる。プロセスガスは、マニホルド103、ガスボックス113、アンテチャンバ111、およびシャワーヘッド107を通る別個のチャネルを通って流れることができる。次に、プロセスガスはシャワーヘッド107の下方で混合することができる。処理チャンバ121およびペデスタル117は、BDEASとオゾンとの間で熱反応を引き起こす約50〜100℃の温度に維持することができる。熱反応により、基板106にSiOの層を堆積させることができる。この例では、堆積均一性は1%未満とすることができる。
第2の例示の用途では、別の2ステップの堆積プロセスが説明される。第1のステップでは、処理システムは、主堆積ステップの酸化ケイ素層のプラズマ化学気相堆積(PECVD)に使用することができ、第2のステップでは、TEOSキャップが酸化ケイ素層に堆積される。図1を参照すると、スペーサリング115は、アンテチャンバ111がプラズマ発生装置として機能することができるように誘電性材料で製作することができる。主SiO堆積ステップでは、第1の処理ガスは、アンテチャンバ111チャンバへの5重量%で約10標準リットル毎分(slm)の流量を有するオゾンとすることができる。RF電力は、ガスボックス119とシャワーヘッド107の上部表面との間に印加することができる。一実施形態では、RF電力は、高周波の1000Wおよび低周波の400Wとすることができる。プラズマは、シャワーヘッド107を通って流れる中性酸素ラジカルを生成する。第2の処理ガスはBDEASおよびヘリウムとすることができ、それらはシャワーヘッド107の第2のチャネルを通って流れる。中性酸素ラジカルはBDEASと反応し、基板にSiOの層を堆積させることができる。
SiO層を堆積させた後、TEOSキャップを第2の処理ステップで堆積させることができる。TEOSおよびオゾンは、電力がガスボックス119とシャワーヘッド107の上部表面との間に印加されるときアンテチャンバ111を通って流れることができる。次に、プロセスガスはシャワーヘッドを通って流れ、基板106上の酸化ケイ素層にTEOSキャップを堆積させることができる。この用途では、ガスボックス温度は、約100〜140℃とすることができ、基板温度は約100〜200℃とすることができる。
他の実施形態では、処理システム101は、様々な他のタイプの基板処理のために異なる処理ガスおよび動作条件で使用することができる。特に、アンテチャンバおよび処理チャンバの温度は個別に制御することができる。一実施形態では、アンテチャンバおよび処理チャンバの両方は約150℃未満に保たれる。他の実施形態では、アンテチャンバは熱処理に使用し、非常に熱い動作温度を有することができる。例えば、アンテチャンバは約400〜600℃とすることができる。処理チャンバは、やはり、400〜600℃の同様の高温に維持することができる。さらなる他の実施形態では、アンテチャンバは処理チャンバよりも非常に熱い温度に加熱することができ、または逆に、アンテチャンバは処理チャンバよりも非常に冷たくすることができる。
前の図では、シャワーヘッド107の出口孔は簡単にするために直線の孔であるとして示した。しかし、他の実施形態では、出口孔は異なる形状を有する。例えば、図11を参照すると、様々な出口孔の幾何学的形状305〜313がある。出口孔305は、狭い上部部分および円錐状下部部分を有する。出口孔306は、狭い上部部分および凹面楕円状下部部分を有する。出口孔307は、逆円錐状上部部分、狭い円筒状中心部分、および円錐状下部部分を有する。出口孔309は、逆円錐状上部部分、狭い円筒状中心部分、および凹面楕円状下部部分を有する。出口孔311は、凹面楕円状上部部分、狭い円筒状中心部分、および円錐状下部部分を有する。出口孔313は、凹面楕円状上部部分、狭い円筒状中心部分、および凹面楕円状部分を有する。
特定の実施形態を参照しながら本発明のシステムを説明したが、本発明のシステムの範囲から逸脱することなくこれらの実施形態に追加、削除、および変更を行うことができることを理解されよう。説明したシステムは様々な構成要素を含むが、これらの構成要素および説明した構成は様々な他の構成に変形および再編成することができることがよく理解されよう。
Claims (22)
- シャワーヘッドの上部表面に結合されたサーマルチャンバ、前記シャワーヘッドの下部表面に結合された処理チャンバ、および基板を支持する前記処理チャンバ中のペデスタルを提供することと、
前記サーマルチャンバ中の第1の処理ガスを加熱して中性ラジカルを作り出すことと、
前記中性ラジカルを、前記サーマルチャンバから、前記シャワーヘッドを通って延びる第1のアレイの孔を通して、前記処理チャンバまで送出することと、
第2の処理ガスを、前記第1のアレイの孔から隔離されている前記シャワーヘッドの第2のアレイの孔を通して送出することと、
前記中性ラジカルを前記第2のプロセスガスと混合することと、
前記処理チャンバ中で前記基板に材料の層を堆積させることと
を含む装置。 - 前記シャワーヘッドと前記ペデスタルとの間にRF電力を印加することと、
前記基板より上の前記処理チャンバ中でプラズマを発生させることと
をさらに含む、請求項1に記載の方法。 - 処理の間、前記ペデスタルを100℃未満に冷却すること
をさらに含む、請求項1に記載の方法。 - 前記サーマルチャンバ中のヒータから熱を発生させることと、
前記熱を前記サーマルチャンバからスペーサリングを通して前記シャワーヘッドまで熱伝導することと、
前記第2のプロセスガスが前記シャワーヘッドの前記第2のアレイの孔を通って流れる間に前記第2のプロセスガスを加熱することと
をさらに含む、請求項1に記載の方法。 - 熱を前記サーマルチャンバから熱伝導性のスペーサリングを通して前記シャワーヘッドまで隔離すること
をさらに含む、請求項1に記載の方法。 - 前記スペーサリングに結合されたか、またはその中に埋め込まれたヒータ
をさらに備える、請求項1に記載の装置。 - 前記サーマルチャンバに結合されたヒータ
をさらに備える、請求項1に記載の装置。 - 前記サーマルチャンバが、前記サーマルチャンバに前記第1のプロセスガスを分配するブロッカプレートを含む、請求項1に記載の装置。
- 前記シャワーヘッドが、前記上部表面と前記下部表面との間の内部体積部と、前記内部体積部への入口孔と、前記第2のプロセスガスが前記処理チャンバまで流れるための前記下部表面の第2のアレイの孔とを含む、請求項1に記載の装置。
- 前記シャワーヘッドは、各々が、前記上部表面から前記下部表面まで延びる前記第1のアレイの孔と位置合わせされた貫通孔を有する複数の隆起カラムを含む、請求項1に記載の装置。
- 前記複数の隆起カラムがセラミック材料で製作される、請求項10に記載の装置。
- シャワーヘッドの上部表面に結合されたプラズマ発生チャンバと、前記シャワーヘッドの下部表面に結合された処理チャンバと、基板を支持する前記処理チャンバ中のペデスタルとを提供することと、
前記プラズマ発生チャンバと前記シャワーヘッドの前記上部表面との間に電力を印加することと、
前記プラズマ発生チャンバ中の第1のプロセスガスにエネルギーを与えてプラズマを発生させることと、
前記プラズマ発生チャンバに隣接し、上部表面および下部表面を有し、前記上部表面から前記下部表面まで延びる第1のアレイの孔を有するシャワーヘッドであり、前記シャワーヘッドの前記上部表面が前記プラズマ発生チャンバの下部電極であるシャワーヘッドと、
処理チャンバであり、前記シャワーヘッドの前記下部表面が前記処理チャンバの上部表面である処理チャンバと、
前記シャワーヘッドの前記下部表面に隣接する基板を支持するための前記処理チャンバ内のペデスタルと
を含む方法。 - 前記シャワーヘッドの下部表面に結合されたRF電源をさらに含み、
前記ペデスタルが接地される、
請求項12に記載の装置。 - 前記ペデスタルが、処理の間、前記ペデスタルに配置された基板を100℃未満に保つための冷却機構を含む、請求項12に記載の装置。
- 前記シャワーヘッドが、前記上部表面と前記下部表面との間の内部体積部と、前記内部体積部への入口孔と、第2のプロセスガスが前記処理チャンバまで流れるための前記下部表面の第2のアレイの孔とを含む、請求項12に記載の装置。
- 前記プラズマ発生チャンバの上部電極が、前記第1の処理ガスを分配するためのブロッカプレートである、請求項12に記載の装置。
- 前記上部電極と前記下部電極との間のスペーサリングであり、誘電性で熱伝導性であるスペーサリング
をさらに備える、請求項12に記載の装置。 - 前記上部電極と前記下部電極との間のスペーサリングであり、誘電性で熱絶縁性であるスペーサリング
をさらに含む、請求項12に記載の装置。 - 前記上部電極と前記下部電極との間のスペーサリングと、
前記スペーサリングに結合されたか、またはその中に埋め込まれたヒータと
をさらに備える、請求項12に記載の装置。 - 前記プラズマ発生チャンバに結合されたヒータ
をさらに備える、請求項12に記載の装置。 - 前記シャワーヘッドを通って垂直に延びる複数の孔であり、前記孔が5:1を超える深さ対幅比を有する複数の孔
をさらに含む、請求項12に記載の装置。 - 前記上部電極と前記下部電極との間のスペーサリングと、
前記スペーサリングを通って垂直に延びる複数の孔であり、前記孔が5:1を超える深さ対幅比を有する複数の孔
をさらに含む、請求項12に記載の装置。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US12/908,617 | 2010-10-20 | ||
US12/908,617 US20120097330A1 (en) | 2010-10-20 | 2010-10-20 | Dual delivery chamber design |
PCT/US2011/053744 WO2012054200A2 (en) | 2010-10-20 | 2011-09-28 | Dual delivery chamber design |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2013541848A true JP2013541848A (ja) | 2013-11-14 |
Family
ID=45971960
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2013534927A Withdrawn JP2013541848A (ja) | 2010-10-20 | 2011-09-28 | 二重デリバリチャンバ設計 |
Country Status (6)
Country | Link |
---|---|
US (1) | US20120097330A1 (ja) |
JP (1) | JP2013541848A (ja) |
KR (1) | KR20140034115A (ja) |
CN (1) | CN103098174A (ja) |
TW (1) | TW201229299A (ja) |
WO (1) | WO2012054200A2 (ja) |
Cited By (4)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2016004998A (ja) * | 2014-06-17 | 2016-01-12 | ビーコ インストゥルメンツ インコーポレイテッド | 化学蒸着のための回転ディスクリアクタのためのガスフローフランジ |
JP2018093150A (ja) * | 2016-12-07 | 2018-06-14 | 東京エレクトロン株式会社 | 成膜装置及び成膜方法 |
JP2020205431A (ja) * | 2016-05-19 | 2020-12-24 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 改良された半導体エッチングおよび部品保護のためのシステムおよび方法 |
JP7220973B1 (ja) * | 2021-12-08 | 2023-02-13 | 東芝三菱電機産業システム株式会社 | 活性ガス生成装置 |
Families Citing this family (128)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP4727000B2 (ja) * | 2008-07-30 | 2011-07-20 | 京セラ株式会社 | 堆積膜形成装置および堆積膜形成方法 |
KR101110080B1 (ko) * | 2009-07-08 | 2012-03-13 | 주식회사 유진테크 | 확산판을 선택적으로 삽입설치하는 기판처리방법 |
US8894767B2 (en) * | 2009-07-15 | 2014-11-25 | Applied Materials, Inc. | Flow control features of CVD chambers |
WO2011044451A2 (en) * | 2009-10-09 | 2011-04-14 | Applied Materials, Inc. | Multi-gas centrally cooled showerhead design |
US9793126B2 (en) | 2010-08-04 | 2017-10-17 | Lam Research Corporation | Ion to neutral control for wafer processing with dual plasma source reactor |
US10658161B2 (en) * | 2010-10-15 | 2020-05-19 | Applied Materials, Inc. | Method and apparatus for reducing particle defects in plasma etch chambers |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
DE102011113294A1 (de) * | 2011-09-05 | 2013-03-07 | Schmid Vacuum Technology Gmbh | Vakuumbeschichtungsvorrichtung |
DE102011113293A1 (de) * | 2011-09-05 | 2013-03-07 | Schmid Vacuum Technology Gmbh | Vakuumbeschichtungsvorrichtung |
US9039911B2 (en) * | 2012-08-27 | 2015-05-26 | Lam Research Corporation | Plasma-enhanced etching in an augmented plasma processing system |
US10224182B2 (en) | 2011-10-17 | 2019-03-05 | Novellus Systems, Inc. | Mechanical suppression of parasitic plasma in substrate processing chamber |
CN102352492A (zh) * | 2011-11-10 | 2012-02-15 | 中微半导体设备(上海)有限公司 | 一种带冷却系统的气体注入装置 |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US20140099794A1 (en) * | 2012-09-21 | 2014-04-10 | Applied Materials, Inc. | Radical chemistry modulation and control using multiple flow pathways |
US9244368B2 (en) | 2012-09-26 | 2016-01-26 | Kla-Tencor Corporation | Particle control near reticle and optics using showerhead |
JP2015536043A (ja) * | 2012-09-26 | 2015-12-17 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 基板処理システムにおける温度制御 |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9449795B2 (en) * | 2013-02-28 | 2016-09-20 | Novellus Systems, Inc. | Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9245761B2 (en) | 2013-04-05 | 2016-01-26 | Lam Research Corporation | Internal plasma grid for semiconductor fabrication |
US9147581B2 (en) | 2013-07-11 | 2015-09-29 | Lam Research Corporation | Dual chamber plasma etcher with ion accelerator |
US9155184B2 (en) | 2013-11-18 | 2015-10-06 | Applied Materials, Inc. | Plasma generation source employing dielectric conduit assemblies having removable interfaces and related assemblies and methods |
US9745658B2 (en) | 2013-11-25 | 2017-08-29 | Lam Research Corporation | Chamber undercoat preparation method for low temperature ALD films |
US9328416B2 (en) * | 2014-01-17 | 2016-05-03 | Lam Research Corporation | Method for the reduction of defectivity in vapor deposited films |
US9484190B2 (en) * | 2014-01-25 | 2016-11-01 | Yuri Glukhoy | Showerhead-cooler system of a semiconductor-processing chamber for semiconductor wafers of large area |
JP6456601B2 (ja) * | 2014-05-07 | 2019-01-23 | 東京エレクトロン株式会社 | プラズマ成膜装置 |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US20160138161A1 (en) * | 2014-11-19 | 2016-05-19 | Applied Materials, Inc. | Radical assisted cure of dielectric films |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US10388820B2 (en) | 2015-02-03 | 2019-08-20 | Lg Electronics Inc. | Metal organic chemical vapor deposition apparatus for solar cell |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
US9828672B2 (en) | 2015-03-26 | 2017-11-28 | Lam Research Corporation | Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma |
US10023956B2 (en) * | 2015-04-09 | 2018-07-17 | Lam Research Corporation | Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems |
TWI677929B (zh) * | 2015-05-01 | 2019-11-21 | 美商應用材料股份有限公司 | 用於形成膜堆疊的雙通道噴頭 |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
CN106922071B (zh) * | 2015-12-25 | 2019-10-01 | 中微半导体设备(上海)股份有限公司 | 一种用于等离子反应装置的喷淋头加热冷却装置及方法 |
US10504754B2 (en) * | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
JP2019529691A (ja) | 2016-08-09 | 2019-10-17 | ジングルス・テヒノロギース・アクチェンゲゼルシャフトSingulus Technologies Ag | 基板の同時の回転及び浮揚のための非接触基板キャリア |
WO2018106627A1 (en) * | 2016-12-08 | 2018-06-14 | Applied Materials, Inc. | Temporal atomic layer deposition processing chamber |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10211099B2 (en) | 2016-12-19 | 2019-02-19 | Lam Research Corporation | Chamber conditioning for remote plasma process |
US11694911B2 (en) * | 2016-12-20 | 2023-07-04 | Lam Research Corporation | Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US20190032211A1 (en) * | 2017-07-28 | 2019-01-31 | Lam Research Corporation | Monolithic ceramic gas distribution plate |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
JP2019054164A (ja) | 2017-09-15 | 2019-04-04 | 株式会社東芝 | シャワーヘッド、処理装置、及びシャワープレート |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
WO2019113351A1 (en) | 2017-12-07 | 2019-06-13 | Lam Research Corporation | Oxidation resistant protective layer in chamber conditioning |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10760158B2 (en) | 2017-12-15 | 2020-09-01 | Lam Research Corporation | Ex situ coating of chamber components for semiconductor processing |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
CN108807127B (zh) * | 2018-06-01 | 2020-03-31 | 北京北方华创微电子装备有限公司 | 上电极组件、反应腔室以及原子层沉积设备 |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
CN112368802A (zh) * | 2018-07-31 | 2021-02-12 | 应用材料公司 | 用于ald工艺的方法和设备 |
US11970775B2 (en) | 2018-08-10 | 2024-04-30 | Applied Materials, Inc. | Showerhead for providing multiple materials to a process chamber |
KR102563925B1 (ko) * | 2018-08-31 | 2023-08-04 | 삼성전자 주식회사 | 반도체 제조 장치 |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
CN111101117B (zh) * | 2018-10-29 | 2022-07-22 | 北京北方华创微电子装备有限公司 | 匀气装置和半导体处理设备 |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
CN111321391A (zh) * | 2018-12-13 | 2020-06-23 | 夏泰鑫半导体(青岛)有限公司 | 用于半导体制造的喷头 |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
US11332827B2 (en) * | 2019-03-27 | 2022-05-17 | Applied Materials, Inc. | Gas distribution plate with high aspect ratio holes and a high hole density |
US11756819B2 (en) | 2019-05-16 | 2023-09-12 | Applied Materials, Inc. | Methods and apparatus for minimizing substrate backside damage |
JP2023504829A (ja) | 2019-12-05 | 2023-02-07 | アプライド マテリアルズ インコーポレイテッド | 堆積チャンバ用のガス分配セラミックヒータ |
US11946140B2 (en) * | 2021-03-26 | 2024-04-02 | Applied Materials, Inc. | Hot showerhead |
US11502217B1 (en) * | 2021-05-24 | 2022-11-15 | Gautam Ganguly | Methods and apparatus for reducing as-deposited and metastable defects in Amorphousilicon |
CN116288261A (zh) * | 2021-12-07 | 2023-06-23 | 拓荆科技股份有限公司 | 沉积系统及方法 |
Family Cites Families (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP4151862B2 (ja) * | 1998-02-26 | 2008-09-17 | キヤノンアネルバ株式会社 | Cvd装置 |
JP3924483B2 (ja) * | 2001-03-19 | 2007-06-06 | アイピーエス リミテッド | 化学気相蒸着装置 |
US20030124842A1 (en) * | 2001-12-27 | 2003-07-03 | Applied Materials, Inc. | Dual-gas delivery system for chemical vapor deposition processes |
US6677167B2 (en) * | 2002-03-04 | 2004-01-13 | Hitachi High-Technologies Corporation | Wafer processing apparatus and a wafer stage and a wafer processing method |
DE10320597A1 (de) * | 2003-04-30 | 2004-12-02 | Aixtron Ag | Verfahren und Vorrichtung zum Abscheiden von Halbleiterschichten mit zwei Prozessgasen, von denen das eine vorkonditioniert ist |
US7712434B2 (en) * | 2004-04-30 | 2010-05-11 | Lam Research Corporation | Apparatus including showerhead electrode and heater for plasma processing |
JP2008205219A (ja) * | 2007-02-20 | 2008-09-04 | Masato Toshima | シャワーヘッドおよびこれを用いたcvd装置 |
-
2010
- 2010-10-20 US US12/908,617 patent/US20120097330A1/en not_active Abandoned
-
2011
- 2011-09-28 KR KR1020137012729A patent/KR20140034115A/ko not_active Application Discontinuation
- 2011-09-28 WO PCT/US2011/053744 patent/WO2012054200A2/en active Application Filing
- 2011-09-28 JP JP2013534927A patent/JP2013541848A/ja not_active Withdrawn
- 2011-09-28 CN CN2011800434221A patent/CN103098174A/zh active Pending
- 2011-10-19 TW TW100137959A patent/TW201229299A/zh unknown
Cited By (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2016004998A (ja) * | 2014-06-17 | 2016-01-12 | ビーコ インストゥルメンツ インコーポレイテッド | 化学蒸着のための回転ディスクリアクタのためのガスフローフランジ |
JP2020205431A (ja) * | 2016-05-19 | 2020-12-24 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 改良された半導体エッチングおよび部品保護のためのシステムおよび方法 |
JP7066792B2 (ja) | 2016-05-19 | 2022-05-13 | アプライド マテリアルズ インコーポレイテッド | 改良された半導体エッチングおよび部品保護のためのシステムおよび方法 |
JP2018093150A (ja) * | 2016-12-07 | 2018-06-14 | 東京エレクトロン株式会社 | 成膜装置及び成膜方法 |
JP7220973B1 (ja) * | 2021-12-08 | 2023-02-13 | 東芝三菱電機産業システム株式会社 | 活性ガス生成装置 |
Also Published As
Publication number | Publication date |
---|---|
US20120097330A1 (en) | 2012-04-26 |
TW201229299A (en) | 2012-07-16 |
WO2012054200A2 (en) | 2012-04-26 |
KR20140034115A (ko) | 2014-03-19 |
WO2012054200A3 (en) | 2012-06-14 |
CN103098174A (zh) | 2013-05-08 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP2013541848A (ja) | 二重デリバリチャンバ設計 | |
JP7176860B2 (ja) | 前駆体の流れを改善する半導体処理チャンバ | |
US10056233B2 (en) | RPS assisted RF plasma source for semiconductor processing | |
TWI704845B (zh) | 用於循環與選擇性材料移除與蝕刻的處理腔室 | |
TWI605495B (zh) | 中性粒子/離子流通量控制用之雙電漿容積處理設備 | |
KR102072231B1 (ko) | 프로세스 챔버에 플라즈마를 제공하기 위한 장치 | |
TWI539025B (zh) | 用於短生命週期物種之具有內建電漿源的製程腔室蓋設計 | |
TW201913809A (zh) | 電漿蝕刻製程中使用塗佈部件的製程裕度擴充 | |
TW201443992A (zh) | 使用遠端電漿源之加強式蝕刻製程 | |
JPH10189296A (ja) | 平行板電極プラズマリアクタ | |
TWI608517B (zh) | 處理腔室與用於提供電漿至處理腔室的裝置 | |
US12016092B2 (en) | Gas distribution ceramic heater for deposition chamber | |
KR20220065843A (ko) | 예열된 샤워헤드를 포함하는 저온 플라즈마 강화된 화학적 기상 증착 (pecvd) 프로세스 | |
TW201527587A (zh) | 半導體系統組合件及操作方法 | |
TW202342806A (zh) | 具有加熱噴頭的噴頭組件 | |
US20230377855A1 (en) | Lower deposition chamber ccp electrode cleaning solution |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A300 | Application deemed to be withdrawn because no request for examination was validly filed |
Free format text: JAPANESE INTERMEDIATE CODE: A300 Effective date: 20141202 |