KR20160121429A - 고밀도 플라즈마 cvd 시스템들에서 제 1 웨이퍼 금속 오염 효과 제거 - Google Patents

고밀도 플라즈마 cvd 시스템들에서 제 1 웨이퍼 금속 오염 효과 제거 Download PDF

Info

Publication number
KR20160121429A
KR20160121429A KR1020160042618A KR20160042618A KR20160121429A KR 20160121429 A KR20160121429 A KR 20160121429A KR 1020160042618 A KR1020160042618 A KR 1020160042618A KR 20160042618 A KR20160042618 A KR 20160042618A KR 20160121429 A KR20160121429 A KR 20160121429A
Authority
KR
South Korea
Prior art keywords
chamber
protective cover
plasma
bias power
wafer
Prior art date
Application number
KR1020160042618A
Other languages
English (en)
Other versions
KR102654243B1 (ko
Inventor
린 추이
제이슨 대진 박
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20160121429A publication Critical patent/KR20160121429A/ko
Application granted granted Critical
Publication of KR102654243B1 publication Critical patent/KR102654243B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • C23C16/463Cooling of the substrate
    • C23C16/466Cooling of the substrate using thermal contact gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68728Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of separate clamping members, e.g. clamping fingers

Abstract

저 바이어스 상태 및 고 바이어스 상태를 수반하는 2-상태 프로세스를 사용하는 챔버들을 컨디셔닝하기 위한 방법들 및 장치들이 제공된다. 방법들은 또한 예컨대 보호 정전척 커버의 백사이드에 헬륨을 흘림으로써, 보호 정전척 커버를 냉각하는 동안, 고 바이어스 상태 동안 정전척에 바이어스를 인가함으로써 페데스탈에 보호 정전척 커버를 클램핑하는 단계를 수반한다.

Description

고밀도 플라즈마 CVD 시스템들에서 제 1 웨이퍼 금속 오염 효과 제거{ELIMINATING FIRST WAFER METAL CONTAMINATION EFFECT IN HIGH DENSITY PLASMA CHEMICAL VAPOR DEPOSITION SYSTEMS}
플라즈마 기반 화학물질들을 사용하여 막들을 증착하기 위한 시스템들은 집적 회로들을 제조하기 위한 것과 같은 다양한 애플리케이션들에 사용된다. 반도체 및 다른 산업들이 진보함에 따라, 디바이스 치수들은 점점 보다 작아진다. 이들 점진적으로 보다 작은 피처들은 매우 균일한 증착 절차들을 필요로 하고, 막 불순물들의 존재 또는 다른 불균일성들은 종종 디바이스의 고장을 야기할 수 있다. 다양한 기법들이 웨이퍼 프로세싱 동안 디펙트들 및 오염을 감소시키기 위해 웨이퍼 프로세싱 전에 수행될 수도 있다. 그러나, 금속 입자들은 이들 종래의 기법들에도 불구하고 웨이퍼들 내에 여전히 포함된다.
프로세싱될 웨이퍼들의 배치의 제 1 웨이퍼 상의 오염을 제거하기 위한 방법들 및 장치들이 본 명세서에 제공된다. 일 양태는, (a) 제 1 바이어스 전력에서 챔버 내에 웨이퍼가 존재하지 않는 동안 챔버의 표면들 상에 막을 증착하도록 플라즈마에 챔버를 노출시키는 단계를 포함하는 제 1 스테이지를 수행하는 단계로서, 바이어스 전력은 챔버 내의 정전 척에 인가된, 제 1 스테이지를 수행하는 단계; 및 (b) 제 1 스테이지를 수행한 후, 제 2 바이어스 전력에서 챔버 내에 웨이퍼가 존재하지 않는 동안 챔버의 표면들 상에 제 2 막을 증착하도록 플라즈마에 챔버를 노출시키는 단계를 포함하는 제 2 스테이지를 수행하는 단계를 포함하고, 제 2 바이어스 전력은 제 1 바이어스 전력보다 보다 큰, 방법을 수반한다.
일부 실시예들에서, 단계 (b) 는 보호 커버를 정전 척에 클램핑하는 단계 및 보호 커버의 배면으로 가스를 흘리는 단계를 더 포함한다. 보호 커버의 배면으로 흐른 가스의 압력은 약 3 Torr 미만일 수도 있다. 일부 실시예들에서, 보호 커버는 세라믹 재료를 포함한다. 보호 커버는 적어도 약 10 초 동안 클램핑될 수도 있다. 보호 커버는 적어도 약 2000 V의 클램핑 전압에서 클램핑될 수도 있다.
다양한 실시예들에서, 단계 (b) 는 정전 척 상의 보호 커버를 냉각하는 단계를 더 포함한다. 일부 실시예들에서, 단계 (b) 는 고밀도 플라즈마 CVD (chemical vapor deposition) 를 수행하는 단계를 더 포함한다.
제 1 바이어스 전력은 약 1500 W 미만일 수도 있다. 제 2 바이어스 전력은 적어도 약 2000 W일 수도 있다.
일부 실시예들에서, 방법은 (c) 제 2 스테이지를 수행한 후, 고밀도 플라즈마 CVD에 의해 막을 증착하도록 챔버 내에서 웨이퍼를 플라즈마에 노출시키는 단계를 더 포함한다.
또 다른 양태는, 막으로 플라즈마 챔버의 표면들을 코팅하도록 척 상에 보호 커버를 포함하는 플라즈마 챔버를 플라즈마에 노출시키는 단계를 포함하고, 보호 커버는 플라즈마 노출 동안 능동적으로 냉각되는, 방법을 수반한다.
보호 커버는 보호 커버를 척에 클램핑하고 보호 커버의 배면으로 가스를 흘림으로써 능동적으로 냉각될 수도 있다. 보호 커버의 배면으로 흐른 가스의 압력은 약 3 Torr 미만일 수도 있다. 보호 커버는 적어도 약 2000 V의 클램핑 전압에서 클램핑될 수도 있다. 보호 커버는 적어도 약 10 초 동안 클램핑될 수도 있다.
일부 실시예들에서, 보호 커버는 세라믹 재료를 포함한다. 다양한 실시예들에서, 방법은 플라즈마 노출 동안 척에 바이어스를 인가하는 단계를 더 포함한다.
또 다른 양태는 웨이퍼들을 프로세싱하기 위한 장치를 수반하고, 장치는, (a) 플라즈마 생성기; (b) 정전 척을 갖는 페데스탈을 포함하는 챔버; (c) 페데스탈로 가스를 공급하도록 구성된 가스 라인을 포함하는 열 전달 서브시스템; (d) 하나 이상의 가스 유입부들; 및 (e) 제어기를 포함하고, 제어기는, (i) 챔버의 내부 표면들을 코팅하기에 충분한 지속기간 동안 제 1 바이어스 전력에서 챔버 내에서 플라즈마를 생성하기 위한 머신 판독가능 인스트럭션, 및 (ii) 인스트럭션 (i) 후에, 제 2 바이어스 전력에서 챔버의 내부 표면들 상에 막을 증착하도록 제 2 바이어스 전력에서 챔버 내에서 제 2 플라즈마를 생성하기 위한 머신 판독가능 인스트럭션을 포함하고, 제 2 바이어스 전력은 제 1 바이어스 전력보다 보다 크다. 제어기는 인스트럭션 (ii) 동안 페데스탈로 헬륨을 공급하도록 가스 라인을 통해 헬륨을 흘리기 위한 머신 판독가능 인스트럭션들을 더 포함할 수도 있다.
이들 및 다른 양태들은 도면들을 참조하여 이하에 더 기술된다.
도 1은 개시된 실시예들을 수행하기에 적합한 반응기의 예의 개략적인 예시이다.
도 2는 개시된 실시예들에 따라 수행된 동작들을 도시하는 프로세스 흐름도이다.
도 3은 개시된 실시예들을 수행하기에 적합한 툴의 예의 개략적인 예시이다.
도 4는 개시된 실시예들에 따라 수행된 실험들로부터 획득된 결과들의 막대 그래프이다.
이하의 기술에서, 다수의 구체적인 상세들이 본 실시예들의 전체적인 이해를 제공하기 위해 언급된다. 개시된 실시예들은 이들 구체적인 상세들 중 일부 또는 전부 없이도 실시될 수도 있다. 다른 예들에서, 공지의 프로세스 동작들은 개시된 실시예들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 개시된 실시예들이 구체적인 실시예들에 관하여 기술되지만, 이는 개시된 실시예들을 제한하는 것으로 의도되지 않는다는 것이 이해될 것이다.
본 출원에서, 용어들 "웨이퍼" 및 "기판"은 상호교환 가능하게 사용된다. 당업자는 많은 실시예들에서 본 명세서에 기술된 방법들 및 장치가 실리콘 웨이퍼 상의 집적 회로 제조의 임의의 많은 스테이지들 동안 실리콘 웨이퍼의 프로세싱 전 또는 프로세싱 동안 사용될 수 있다는 것을 이해할 것이다. 반도체 디바이스 산업에 사용된 웨이퍼 또는 기판은 통상적으로 200 ㎜, 300 ㎜, 또는 450 ㎜ 직경 웨이퍼들의 직경을 갖는다. 개시된 실시예들로부터 유용할 수도 있는 다른 타입들의 반응기들은 인쇄 회로 기판들, 디스플레이들, 등과 같은 다양한 물품들을 제조하기 위해 사용된 반응기들을 포함한다. 반도체 웨이퍼들에 부가하여, 본 명세서에 기술된 방법들 및 장치는 유리 및 플라스틱 패널들을 포함하는 다른 타입들의 기판들을 위해 구성된 증착 챔버들과 함께 사용될 수도 있다. 따라서, 이하의 기술에서 "웨이퍼"가 사용되면, 이 기술은 또한 패널 또는 다른 기판에 적용된다는 것이 이해될 것이다.
반도체 디바이스 치수들이 축소됨에 따라, 반도체 디바이스들을 제조하는 방법들은 오염에 점점 더 민감해진다. 예를 들어, 반도체 디바이스들은 종종 반응기들 또는, 챔버 컴포넌트들 및 알루미늄과 같은 금속성 재료로 이루어진 챔버 벽들을 포함하는 챔버들 내에서 제조된다. 일부 반도체 디바이스 제조 프로세스들은 플라즈마를 수반하지 않는 반면, 플라즈마를 구현하는 일부 프로세스들에서, 증착 동안 인시츄 플라즈마에 노출된 챔버 컴포넌트들은 플레이킹되고 (flake) 필링될 (peel) 수도 있어서, 웨이퍼 상에 증착된 막들 내로 금속성 입자들이 포함될 위험을 증가시킨다. 예를 들어, "HDP CVD" (high density plasma chemical vapor deposition) 시스템들은 유전체 막 증착 및 갭 충진과 같은 집적 회로 애플리케이션들을 위해 사용된 고밀도 플라즈마를 생성한다. 일부 시스템들은 상부 돔에서 LFRF (low frequency radio frequency) 전력에 의해 전력이 공급되는 유도 결합 플라즈마 및 정전 척 (ESC) 에서 HF (high frequency) 전력에 의해 전력이 공급되는 용량 결합 플라즈마를 구현한다. 가스들은 프로세싱 동안 ESC 상의 웨이퍼를 향해 흐르고, 이온화되고 가속된다. HDP CVD 프로세싱은 고 종횡비 트렌치들의 갭 충진을 허용하도록 증착 동안 동시 스퍼터링 에칭을 구현할 수도 있다. HDP CVD 시스템의 컴포넌트들은 프로세싱 동안 사용된 플라즈마에 노출될 때 플레이킹 및 필링되기 쉬워질 수도 있다.
본 명세서에 기술된 방법들 및 장치들이 HDP CVD 반응기들을 참조하지만, 특정한 개시된 실시예들은 또한 다른 플라즈마 반응기들과 함께 사용될 수도 있다는 것을 주의해야 한다. 예를 들어, 개시된 실시예들은 California, Fremont 소재의 Lam Research Corporation으로부터 입수가능한 Vector 툴과 같은 "PECVD" (plasma-enhanced chemical vapor deposition) 반응기들 또는 California, Fremont 소재의 Lam Research Corporation으로부터 입수가능한 Kiyo 툴과 같은 플라즈마 에칭 반응기들과 함께 사용될 수도 있다. 본 명세서에서 사용된 바와 같은 HDP CVD는 PECVD와 구별된다. HDP CVD 프로세스 조건들 및 발생되는 막들은 용량 결합 PECVD 프로세스들과 상이하다. 예를 들어, 본 명세서에 기술된 바와 같은 다양한 HDP 반응기들은 약 100 mTorr 미만의 압력에서, 1011 ions/㎤보다 보다 큰 플라즈마 밀도로 동작한다. HDP 반응기들은 코일들에 대해 400 ㎑의 플라즈마 주파수 및 웨이퍼가 위치된 페데스탈에 대해 1.56 ㎒의 주파수로 플라즈마를 점화시킬 수도 있다. 용량 결합 플라즈마 반응기에서, 샤워헤드 또는 페데스탈에 적용될 플라즈마를 생성하기 위해 13.56 ㎒의 플라즈마 주파수가 사용되고, 400 ㎑가 샤워헤드 또는 페데스탈에 적용된다. HDP 반응기들 내의 이온 에너지들은 PECVD 반응기들에서보다 보다 클 수도 있다. 그 결과, HDP CVD 반응기들에서 증착된 막들의 막 조성 및 특성들은 PECVD 반응기들에서 증착된 막들과 상이하다.
일부 종래의 방법들은 HDP CVD 반응기들 및 PECVD 반응기들과 같은 플라즈마 반응기들에서 증착된 웨이퍼들 상의 오염을 감소시키기 위해 사용되었다. 예를 들어, 사전프로세싱 방법들은 웨이퍼들을 프로세싱하기 전에 사전코팅 또는 컨디셔닝 층으로 챔버를 컨디셔닝하는 단계를 포함한다. 컨디셔닝 층은 통상적으로, 웨이퍼가 반응 챔버 내에 존재하지 않는 동안 반응 챔버의 내부 표면들 상에 형성된 막의 층으로서 규정된다. 컨디셔닝은 반응 챔버의 표면들 상에 하나 이상의 컨디셔닝 층들 (예를 들어, 언더코팅 및/또는 증착 전 코팅) 을 증착하는 것을 포함할 수도 있다. 코팅되는 표면들의 예들은 챔버 벽들/천장/플로어, 페데스탈, 웨이퍼 캐리어 링, 샤워헤드, 배기 시스템, 유체 라인, 펌프, 스핀들, 웨이퍼 이송 암, 충진기 플레이트들 (filler plates), 2차 퍼지 칼라들 (collars), 등을 포함한다.
컨디셔닝 층이 결여된 반응 챔버들 내에서 증착된 막들은 종종 상당한 금속 오염 문제들을 갖는다. 코팅되지 않은 금속 챔버가 플라즈마에 노출될 때, 적은 양의 금속이 챔버 표면으로부터 제거될 수도 있고 웨이퍼 상에 증착된 막에서 종료될 수도 있다. 이들 금속 불순물들은 웨이퍼 상에 형성된 디바이스들의 퍼포먼스에 부정적으로 영향을 줄 수 있고, 일부 경우들에서 디바이스 고장을 야기할 수 있다. 컨디셔닝 층은 플라즈마로의 노출 동안 플레이킹으로부터 챔버 컴포넌트들을 보호하도록 증착된다. 임피던스를 밸런싱하는 것과 관련하여, 챔버 컨디셔닝 층은, 가스 상 반응들에 의해 증착되는, CVD 기반 막들을 증착할 때 특히 유용하다. 반응 챔버는 금속으로 이루어지고 웨이퍼들 상에 증착된 막은 종종 유전체 재료이기 때문에, 반응 챔버의 임피던스는 유전체가 증착될 때 변화한다. 따라서, 컨디셔닝 층을 제공함으로써, 챔버의 임피던스는 임의의 웨이퍼들이 프로세싱되기 전에 상대적으로 안정화될 수 있고, 따라서 프로세싱 균일성을 최대화한다.
그러나, 종래의 컨디셔닝 기법들은, 특히 컨디셔닝이 수행된 후 프로세싱되는 제 1 웨이퍼 상에 금속 오염을 여전히 발생시킬 수도 있다. 이 효과는 컨디셔닝 동안 대 증착 동안의 챔버 조건들의 차이로 인한 것일 수도 있다. 프로세싱 각각 동안 사용된 상이한 바이어스 전력들로 인해 컨디셔닝 및 증착으로부터 막 속성들이 가변한다. 컨디셔닝 동안 챔버 내에 존재할 수도 있는 다른 컴포넌트들로 인해 일부 컨디셔닝 프로세스들은 증착 동안과 상이한 조건들에서 수행된다. 예를 들어, 플라즈마 반응기는 증착 동안 프로세싱을 위해 웨이퍼가 그 위에 위치되는 페데스탈을 포함할 수도 있다. 페데스탈은 ESC를 포함할 수도 있다. 컨디셔닝 프로세스 동안, ESC는 표면에 대한 대미지를 방지하도록, 예컨대 플라즈마 노출로부터 표면을 보호하기 위해 ESC 상에 보호 정전 척 커버 (PEC) 를 위치시킴으로써 보호된다. 그러나, PEC는 고전력으로 생성된 플라즈마에 노출될 때 파손되기 쉬울 수도 있다. 따라서, 종래의 컨디셔닝은 PEC에 대한 대미지를 최소화하기 위해 저 HF RF 전력에서 수행되지만, 전력은 매우 고온이 되고 파괴되는 것을 방지하기에는 낮다. 그러나, 막들은 컨디셔닝 후에 보다 높은 전력에서 증착될 수도 있고, 이러한 막들은 컨디셔닝 층 상에서 불량한 접착력을 가져, 컨디셔닝 층으로 하여금 챔버 컴포넌트로부터 플레이킹하게 하고, 챔버 컴포넌트들을 플라즈마에 노출된 채로 두고, 후속하는 웨이퍼들 상의 금속 오염의 원인이 된다.
그 결과, 종래의 방법들은 또한 임의의 금속 오염이 프로세싱될 제 1 웨이퍼가 아닌 더미 웨이퍼 상에서 발생하도록 컨디셔닝 프로세스와 증착 프로세스 사이에 더미 웨이퍼를 사용하는 것을 수반한다. 일 예에서, 더미 웨이퍼는 웨이퍼들을 프로세싱하기 위해 사용된 툴의 전단 포드로더 (frontend podloader) 에 위치된 FOUP (Front Opening Unified Pod) 와 같은 저장 카세트에 위치될 수도 있다. 자동으로 프로그램된 로봇 시스템은 로드록 및 WTS (wafer transfer system) 를 통해 HDP CVD 프로세스 챔버 내로 웨이퍼들을 이송 및 맵핑할 수도 있다. 일 배어 (bare) 실리콘 웨이퍼를 포함하는 더미 FOUP는 또한 더미 웨이퍼를 하우징하기 위해 전단에 부가될 수도 있다. 더미 웨이퍼는 컨디셔닝 프로세스가 수행된 직후에 프로세스 챔버 내로 이송될 수도 있다. 이어서 증착 프로세스는 더미 웨이퍼에 대해 수행되고, 이어서 메인 FOUP로부터의 디바이스 웨이퍼들은 순차적으로 후속하여 프로세싱된다. 더미 웨이퍼는 복수 회 증착될 수 있고 재활용될 수 있다. 그러나, 이러한 방법들은 더미 웨이퍼들을 낭비하고 웨이퍼 프로세싱의 쓰루풋을 감소시킨다. 또한, 더미 웨이퍼를 수반하는 프로세스를 구현하는 것은 부가적인 포드로더들과 같은 추가 하드웨어 컴포넌트들 및 부가적인 더미 FOUP의 자동 맵핑, 이송 및 프로세싱을 인에이블하도록 사용된 소프트웨어를 사용한다. 더미 웨이퍼는 전체 프로세싱의 실리콘 재료 비용을 상승시키는, 디바이스에 대해서는 사용될 수 없다.
오염을 감소시키기 위한 대안적인 종래의 기법들은 또한 컨디셔닝 프로세스 동안 보다 많은 재료를 증착하는 것 및 고 압력 이송 플라즈마를 도입하는 것을 수반한다. 그러나, 이들 방법들은 쓰루풋을 감소시키고 보다 많은 전구체 가스를 소모하고, 플레이킹 또는 이송 플라즈마 비최적화로 인한 오염을 여전히 유발할 수도 있다.
2-스테이지 컨디셔닝 프로세스를 수행함으로써 제 1 웨이퍼 금속 오염을 감소시키거나 제거하기 위한 방법들이 본 명세세에 제공된다. 일부 실시예들에서, 개시된 실시예들은 제 1 스테이지 동안보다 제 2 스테이지 동안 보다 높은 바이어스 전력을 인가하는 것을 포함한다. 챔버는 스테이지 각각에서 동일하거나 상이한 가스들에 노출될 수도 있다.
일부 실시예들에서, 방법은 챔버 내의 ESC 상에 PEC를 위치시키는 단계 및 제 2 스테이지 동안 웨이퍼들에 대한 것과 동일한 증착 조건들에 PEC를 노출시키는 단계를 수반한다. 예를 들어, 컨디셔닝 층이 챔버 내에서 증착된 후, PEC는 ESC 상에 남아 있을 수도 있지만, 증착 조건들에서 웨이퍼들에 대해 사용될 전구체 가스들이 챔버 내로 도입되고 PEC 상에 증착된다. 후속하여, 동일한 조건들에서 프로세싱되도록 이어서 제 1 웨이퍼가 챔버로 이송될 수도 있다. 본 명세서에 기술된 방법들은 프로세스 흐름에서 최소의 변화들을 수반할 수도 있고 쓰루풋을 유지하는 동안 더미 웨이퍼의 사용을 제거함으로써 비용들을 감소시킬 수도 있다.
본 명세서에 기술된 방법들은 웨이퍼들을 프로세싱하기 위해 장치 내에서 수행된다. 이러한 장치는 많은 상이한 형태들을 취할 수도 있다. 일반적으로, 장치는 하나 이상의 웨이퍼들을 하우징하고 웨이퍼 프로세싱에 적합한 (때때로 복수의 스테이션들을 포함하는) 하나 이상의 챔버들 또는 반응기들을 포함할 것이다. 하나 이상의 챔버들은 규정된 위치 또는 위치들 (이 위치에서 운동, 예컨대 회전, 진동 또는 다른 교반과 함께 또는 운동 없이) 에 웨이퍼를 유지한다. 프로세스 동안, 웨이퍼 각각은 ESC를 포함할 수도 있는 페데스탈에 의해 제자리에 홀딩된다. 웨이퍼가 가열될 수도 있는 특정한 동작들 동안, 장치는 히팅 플레이트와 같은 히터를 포함할 수도 있다. 적합한 반응기의 예는 California, Fremont 소재의 Lam Research Corporation으로부터 입수가능한 SPEEDTM 반응기이다.
도 1은 개시된 실시예들을 수행하기에 적합한 HDP CVD 반응기의 예의 개략적인 예시이다. 반응기 (101) 는, 반응기의 다른 컴포넌트들을 둘러싸고 플라즈마를 담도록 역할을 하는 프로세스 챔버 (103) 를 포함한다. 일부 구현예들에서, 프로세스 챔버 벽들은 알루미늄, 알루미늄 옥사이드, 및/또는 다른 적합한 재료로 이루어진다. 도 1에 도시된 실시예는 2 개의 플라즈마 소스들: 상단 RF 코일 (105) 및 측면 RF 코일 (107) 을 갖는다. 상단 RF 코일 (105) 은 중간 주파수 또는 MFRF 코일이고 측면 RF 코일 (107) 은 저 주파수 또는 LFRF 코일이다. 도 1에 도시된 실시예에서, MFRF 주파수는 430 내지 470 ㎑이고 LFRF 주파수는 340 내지 370 ㎑이다. 그러나, 개시된 실시예들은 듀얼 소스들 또는 RF 플라즈마 소스들을 갖는 반응 챔버들 내의 동작으로 제한되지 않는다. 임의의 적합한 플라즈마 소스 또는 소스들이 사용될 수도 있다.
반응기 내에서, 웨이퍼 페데스탈 (109) 은 워크피스 (111) 를 지지한다. 워크피스 (111) 는 PEC 또는 웨이퍼일 수도 있다. 웨이퍼 페데스탈 (109) 은 웨이퍼를 제자리에 홀딩 (또는 클램핑) 하도록 척 (때때로 클램프로 지칭됨) 을 포함한다. 척은 다양한 프로세스들 동안 페데스탈 상에 객체를 클램핑하도록 사용될 수도 있다. 척은 ESC, 기계 척, 또는 용도에 이용가능하다면,다양한 다른 타입들의 척일 수도 있다. 웨이퍼 페데스탈 (109) 로 가스 또는 열 전달 액체를 공급하기 위한 라인 (113) 을 포함하는 가스 전달 서브시스템은 워크피스 (111) 의 온도를 제어한다. 웨이퍼 페데스탈 (109) 및 가스 전달 서브시스템은 적절한 웨이퍼 온도들을 유지하는 것을 용이하게 할 수 있다.
HFRF 소스 (115) 의 고 주파수 RF는 다양한 프로세스들 동안 워크피스 (111) 를 전기적으로 바이어스하고 워크피스 (111) 상으로 대전된 가스 종을 인출하도록 역할을 한다. 소스 (115) 로부터의 전기 에너지는 예를 들어 전극 또는 용량 커플링을 통해 워크피스 (111) 에 커플링된다. 워크피스 (111) 에 인가된 바이어스는 RF 바이어스가 아닐 수도 있다는 것을 주의한다. 다른 주파수들 및 DC 바이어스가 또한 사용될 수도 있다.
프로세스 가스들은 하나 이상의 유입부들 (117) 을 통해 유입된다. 가스들은 미리혼합되거나 미리혼합되지 않을 수도 있다. 프로세스 가스들은 오리피스들을 포함하는 가스 공급 유입부 메커니즘을 통해 도입될 수도 있다. 일부 실시예들에서, 적어도 일부 오리피스들은 예각으로 워크피스 (111) 의 노출된 표면과 교차하는 주입부의 축을 따라 프로세스 가스를 배향한다. 또한, 프로세스 가스들은, 페데스탈을 향해 가스들을 지향시키거나 지향시키지 않을 수도 있는 주 가스 링 (121) 으로부터 도입될 수도 있다. 일부 실시예들에서, 프로세스 가스들은 주 가스 링 (121) 에 더하여 하나 이상의 가스 링들 (미도시) 로부터 도입될 수도 있다. 주입기들은 적어도 일부의 가스들 또는 가스 혼합물을 챔버 내로 그리고 페데스탈을 향해 지향시키도록 주 가스 링 (121) 에 연결될 수도 있다. 프로세스 가스를 웨이퍼를 향해 지향시키기 위한 가스 링들 또는 다른 메커니즘들은 일부 실시예들에서 사용되지 않을 수도 있다. 챔버로 들어가는 프로세스 가스에 의해 유발된 음파 전단부 (sonic front) 는 스스로 가스로 하여금 모든 방향들 - 워크피스 (111) 로 향하는 것을 포함 - 로 신속하게 확산하게 할 것이다. 프로세스 가스들은 유출부 (122) 를 통해 챔버 (103) 를 나간다. 진공 펌프 (예를 들어, 터보 분자 펌프) 는 통상적으로 프로세스 가스들을 인출하고 반응기 내에서 적합하게 저압으로 유지한다. 반응기 (101) 는 제어기 (190) 를 사용하여 제어될 수도 있다. 제어기 (190) 는 본 명세서에 개시된 다양한 동작들을 수행하기 위한 머신 판독가능 인스트럭션들을 포함할 수도 있다. 제어기 (190) 에 관한 추가 기술이 이하에 제공된다.
도 2는 개시된 실시예들에 따른 방법을 수행하기 위한 동작들을 도시하는 프로세스 흐름도이다. 동작 201에서, 도 1에 대해 상기 기술된 바와 같은 프로세스 챔버 내에서 페데스탈의 ESC 상에 PEC가 제공된다. 다양한 실시예들에서, PEC는 페데스탈 상에 위치되지만, 동작 201 동안 페데스탈에 클램핑되지 않는다.
다양한 실시예들에 사용하기 적합한 PEC는 다양한 특성들을 갖는다. 다양한 실시예들에서, PEC의 사이즈 및 재료는 PEC로 하여금 페데스탈에 클램핑하게 하고 본 명세서에 기술된 바와 같은 프로세싱을 견디도록 선택된다. 일부 실시예들에서, PEC는 프로세싱될 웨이퍼들의 사이즈와 유사한 사이즈일 수도 있다. 예를 들어, PEC는 직경이 약 250 ㎜ 직경, 또는 직경이 300 ㎜, 또는 직경이 450 ㎜일 수도 있다. 다양한 실시예들에서, PEC는 직경이 300 ㎜이다. PEC는 세라믹 재료, 예컨대 알루미늄 나이트라이드로 이루어질 수도 있다.
동작 203에서, 컨디셔닝 층은 제 1 스테이지 동안 챔버의 표면들 상에 증착된다. 컨디셔닝 층은 또한 PEC 상에 증착될 수도 있다. 동작 203 동안, 제 1 바이어스 전력이 인가되는 동안 하나 이상의 가스들이 도입된다. 제 1 바이어스 전력은 PEC의 파괴를 완화시키도록 PEC의 재료에 따라 선택된다. 예를 들어, 일부 실시예들에서, PEC는 문턱값 바이어스 전력을 가질 수도 있고, PEC의 열적 불균일성을 증가시키고 PEC 파괴를 발생시킬 문턱값 바이어스 전력을 넘는 고 바이어스 전력이 인가될 수도 있다. 다양한 실시예들에서, 최대 제 1 바이어스 전력은 약 1500 W 내지 3000 W일 수도 있다. 일부 실시예들에서, 최대 제 1 바이어스 전력은 약 1500 W이다. 임의의 적합한 컨디셔닝 층 가스가 사용될 수도 있다. 예들은 실란, 산소, 헬륨, 및 이들의 조합들을 포함한다. 동작 203 동안, 컨디셔닝 층은 약 2 내지 약 3 ㎛의 두께로 증착될 수도 있다. 동작 203은 약 5 내지 약 7 분의 지속기간 동안 수행될 수도 있다. (이들 범위들은 채용된 특정한 장치 및 프로세스에 따라 적절한 다른 두께들 및 지속기간들을 갖는 범위들의 예들로서 주어진다.)
동작 205에서, 챔버는 챔버 외부로 워크피스를 이송하고 및 챔버 내로 웨이퍼를 이송하기 위한 프로세스를 시뮬레이팅하는 플라즈마 조건들에 노출된다. 그러나, PEC는 이 동작 동안 챔버 외부로 이송되지 않을 수도 있고 챔버 내에 유지될 수도 있다는 것을 주의한다. 다양한 실시예들에서, 동작 205는 LFRF 플라즈마를 사용하여 PEC를 산소 및 헬륨 플라즈마에 노출시키는 단계를 포함한다. 일부 실시예들에서, 동작 205는 약 10 초 내지 약 40 초, 예를 들어, 약 20 초의 지속기간 동안 수행될 수도 있다.
동작 207에서, 막은 제 2 스테이지에서 제 2 바이어스 전력으로 챔버 컴포넌트들 상에 증착될 수도 있다. 다양한 실시예들에서, 동작 207에서 제 2 바이어스 전력은 동작 203에서의 제 1 바이어스 전력보다 보다 크다. 예를 들어, 바이어스는 약 2000 W 내지 약 9500 W의 전력으로 공급될 수도 있다. 그러나, 인가된 바이어스는, PEC가 파괴될 수도 있기 전에 PEC의 문턱값 전력보다 보다 클 수도 있는 바이어스 전력이기 때문에, PEC는, PEC의 열적 속성들 (열 전도도, 특정한 열, 및 팽창 계수), 기계적 속성들 (밀도, 영률 (Young's modulus), 및 프아송 비 (Poisson's ratio), 두께 및 표면 거칠기에 영향을 주는, HFRF 플라즈마 가열 하에서 높은 열적 불균일성으로 인해 많은 증착 프로세스들 후에 파괴될 수도 있다.
그 결과, 동작 207 동안, PEC의 온도는 PEC를 냉각함으로써 유지된다. 예를 들어, PEC는 ESC 상의 채널들을 통해 PEC의 배면으로 헬륨과 같은 가스를 흘림으로써 냉각될 수도 있다. 다양한 실시예들에서, PEC가 이 동작 동안 사용된 제 2 바이어스 전력을 견디지 못할 수도 있는 재료로 이루어지기 때문에, PEC는 프로세싱 동안 열적 불균일성을 감소시키고 파괴를 방지하도록 냉각된다.
PEC는 ESC에 대한 대미지를 방지하도록 동작 207 동안 페데스탈 상에 남는다. 가스가 배면으로 흐르는 동안 PEC가 대체되는 것을 방지하기 위해, PEC는 클램핑 메커니즘, 예컨대 PEC를 정전기적으로 클램핑하거나 기계적으로 클램핑함으로써 페데스탈에 클램핑된다. 다양한 실시예들에서, PEC는 PEC를 사용하여 정전기적으로 클램핑된다. PEC를 페데스탈에 클램핑하기 위해 사용된 ESC의 전압은 사용된 ESC의 타입에 따라 결정된다. 예를 들어, 일부 실시예들에서, 클램핑 전압은 적어도 약 2000 V일 수도 있다. 일부 실시예들에서, HFRF 전기장의 모든 방해 및 PEC 표면 상의 막 성장을 방지하는 동안 기계적 클램핑 메커니즘이 사용될 수도 있다.
임의의 적합한 가스가 동작 209에서 막을 증착하기 위해 사용될 수도 있다. 일부 실시예들에서, 동작 209에서 사용된 하나 이상의 가스들은 또한 동작 203에서 사용된다. 다양한 실시예들에서, 동작 209는 PEC를 사전 가열하는 것, 가스의 방향을 바꾸는 것, 막을 증착하는 것, 표면을 패시베이션하기 위해 막을 에칭하는 것 및 사후 냉각을 포함할 수도 있다.
다양한 실시예들에서, 동작들 205 및 207은 금속 오염을 감소시키기 위해 1회 이상 반복될 수도 있다. 예를 들어, 동작 207 후에, 챔버는 웨이퍼를 챔버 외부로 이송하고 또 다른 웨이퍼의 이송을 다시 시뮬레이팅하도록 챔버 내로 다시 이송하기 위한 프로세스를 시뮬레이팅하는 플라즈마 조건들에 노출된다. 이어서 동작 207은 PEC를 클램핑하고 PEC의 온도를 유지하기 위해 PEC의 배면으로 가스를 도입하는 동안 웨이퍼에 대한 증착 프로세스를 시뮬레이팅하도록 다시 수행될 수도 있다. 이들 동작들은 동작 207 후에 프로세싱된 웨이퍼들에서의 금속 오염을 더 감소시키도록 반복될 수도 있다.
일부 실시예들에서, 동작 207 후에, PEC는 제거되고, 제 1 웨이퍼는 챔버로 이송되고 프로세싱을 위해 페데스탈 상에 위치된다. 일부 구현예들에서, PEC는 디클램핑되고 PEC를 냉각하도록 사용된 배면 가스 흐름은 턴 오프되고, 페데스탈은 웨이퍼 프로세싱에 적합한 위치로 이동된다.
일부 실시예들에서, 동작 209는 동작들 201 내지 207 후에 수행된다. 동작 209에서, 웨이퍼들은 증착 바이어스 전력에서 웨이퍼들 상에 막을 증착함으로써 선택가능하게 프로세싱된다. 일부 실시예들에서, 막은 HDP CVD에 의해 증착된다. 다양한 실시예들에서, 증착 바이어스 전력은 동작 207에서 사용된 제 2 바이어스 전력과 거의 동일하거나 동일하다. 증착 바이어스 전력은 동작 203에서 제 1 바이어스 전력보다 보다 클 수도 있다. 예를 들어, 증착 바이어스 전력은 약 2000 W 내지 약 9500 W, 예를 들어 약 2000 W일 수도 있다. 동작 209 동안 사용된 하나 이상의 가스들은 동작 207에서 막을 증착하기 위해 사용된 하나 이상의 가스들과 동일할 수도 있다. 일부 실시예들에서, 동작 209 동안 사용된 하나 이상의 가스들은 컨디셔닝 층을 증착하기 위해 동작 203에서 사용된 하나 이상의 가스들과 동일할 수도 있다. 일부 실시예들에서, 챔버 압력, 페데스탈 온도, 바이어스 전력, 가스 화학물질 및 플로우 레이트들, 등과 같은 동작 207에 대한 프로세스 조건들은 또한 동작 209에서 사용된다. 개시된 실시예들을 사용하여 프로세싱된 웨이퍼들은 보다 낮은 금속 오염을 나타내고, PEC는 세정되고 프로세싱될 2 이상의 웨이퍼들의 배치에 대해 재사용될 수도 있다.
장치
개시된 실시예들은 상기 기술된 바와 같이 도 1에 도시된 챔버와 같은 챔버 내에서 수행될 수도 있다. 고밀도 플라즈마 CVD (HDP CVD) 챔버는 도 1에 도시되고, 막들을 증착하기 위한 다른 반응기들 또는 툴들은 본 명세서에 기술된 다양한 실시예들에 사용될 수도 있다.
이전에 기술된 바와 같이, 반응기 (101) 는 개시된 실시예들에 따라 동작들을 제어하기 위한 제어기 (190) 를 포함한다. 제어기 (190) 는 유입부 (113) 를 통해 페데스탈 (109) 로의 가스 플로우의 플로우 레이트 및 압력, 페데스탈 (109) 의 이동, 정전 척 (ESC) 에 대한 바이어스 전력, MFRF 코일들 (105) 및 MFRF 코일들 (107) 에 대한 플라즈마 전력, 페데스탈 온도, 챔버 압력, 프로세스 챔버 (103) 로의 가스 플로우, 챔버 (103) 내외로 워크피스 (111) 의 이송, 및 다른 동작들과 같은 다양한 파라미터들을 제어하도록 사용될 수도 있다.
일부 실시예들에서, 도 1에 도시된 반응기 (101) 는 하나 이상의 웨이퍼들을 프로세싱하기 위한 툴의 일부이다. 하나 이상의 반응기들을 포함하는 툴의 예가 도 3에 제공된다. 도 3은 개시된 실시예들에 따른 다단계 증착 프로세스를 수행하기에 적합한 플라즈마 프로세싱 시스템의 블록도이다. 시스템 (300) 은 California, Fremont 소재의 Lam Research Corporation으로부터 입수가능한 SPEED™ 플랫폼에 사용된 웨이퍼 이송 시스템 (WTS) 과 같은 이송 모듈 (303) 을 포함한다. 이송 모듈 (303) 은 웨이퍼들과 같은, 워크피스들이 다양한 스테이지들 사이에 이동됨에 따라 프로세싱될 워크피스의 오염 위험을 최소화하도록 깨끗하고, 가압된 분위기를 제공한다. 이송 모듈 (303) 상에 하나 이상의 HDP CVD 모듈들 또는 프로세스 챔버들 (305), 예컨대 California, Fremont 소재의 Lam Research Corporation으로부터 입수가능한 Lam SPEED™ 반응기들이 장착된다. 에칭 모듈들 (307) 은 Lam ALR (atomic layer removal) 반응기 또는 Kiyo™ 반응기일 수도 있다. 이들 에칭 반응기들은 증착 반응기들로서 동일한 플랫폼들 또는 별도의 플랫폼들에 장착될 수도 있다.
시스템은 PECVD 또는 ALD (atomic layer deposition) 프로세스를 수행할 수 있는 챔버 (309) 를 선택가능하게 포함할 수도 있다. 챔버 (309) 는, 증착 또는 제거 동작들 또는 PEC 세정 동작들을 순차적으로 수행할 수도 있는 복수의 스테이션들 (311, 313, 315, 및 317) 을 포함할 수도 있다. 시스템 (300) 은 또한 프로세싱 전 및 프로세싱 후에 웨이퍼들이 저장되는 하나 이상 (이 경우 2 개) 의 웨이퍼 소스 모듈들 (301) 을 포함한다. 이송 모듈 (303) 내의 디바이스 (일반적으로 로봇 암 유닛) 는 이송 모듈 (303) 상에 장착된 모듈들 사이에서 웨이퍼들을 이동시킨다.
웨이퍼들은 각각 증착 및 에칭 백 프로세싱을 위해, HDP CVD 반응기들 (305) 및/또는 플라즈마 에칭 반응기 (307) 사이의 로봇 암에 의해 이송된다. 로봇 암은 또한 컨디셔닝 층 증착 모듈 (309) 과 다른 챔버들 사이에서 웨이퍼들을 이송할 수도 있다. 일 실시예에서, 단일 에칭 반응기는 이 애플리케이션에서 약 15 내지 16 시간 당 웨이퍼 (wph) 의 고 쓰루풋을 갖는 2 개의 SPEED 증착 모듈들 (305) 을 지원할 수 있다. 다른 실시예들에서, 2 개의 에칭 반응기들 (307) 은 하나 이상의 SPEED 증착 모듈들 (305) 을 지원할 수도 있다.
개시된 실시예들은 또한 플라즈마 에칭 챔버를 사용하지 않고 실시될 수도 있다. 예를 들어, 단일 챔버는 HDP CVD 증착 및 반응성 플라즈마 에칭 양자를 위해 구성될 수도 있다. 예를 들어, Lam SPEED HDP-CVD 반응기들은 개별 반응기들을 사용하는 것과 유사한 쓰루풋으로 컨디셔닝, 증착, 및 플라즈마 에칭을 할 수 있다. 소정의 상세들 및 파라미터들이 본 명세서에 제공되고, 단일 챔버는 증착 (HDP CVD) 및 반응성 플라즈마 에칭을 위해 예를 들어, 장비, 예를 들어, 본 명세서에 기술된 다양한 플라즈마 소스 (예를 들어, 인시츄 또는 다운스트림 플라즈마 소스) 를 갖는 플라즈마 반응기를 구성할 수도 있다.
도 3은 또한 프로세스 툴 (300) 의 하드웨어 상태들 및 프로세스 조건들을 제어하도록 채용된 시스템 제어기 (350) 의 실시예를 도시한다. 시스템 제어기 (350) 는 상기 기술된 프로세스들을 구현하기 위한 프로그램 인스트럭션들을 제공할 수도 있다. 프로그램 인스트럭션들은 DC 전력 레벨, RF 바이어스 전력 레벨, 압력, 온도, 등과 같은 다양한 프로세스 파라미터들을 제어할 수도 있다. 인스트럭션들은 본 명세서에 기술된 다양한 실시예들에 따른 배치의 웨이퍼들을 프로세싱하기 전에 PEC 상의 증착 동작들을 수행하고 챔버를 컨디셔닝하기 위한 파라미터들을 제어할 수도 있다.
일부 구현예들에서, 제어기 (350) 는 상기 기술된 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부품들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기 (350) 는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기 (350) 는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어에 의해서 규정된 레시피의 일부일 수도 있다.
일부 실시예들에서, 시스템 제어기 (350) 는 프로세스 툴 (300) 의 모든 액티비티들을 제어한다. 시스템 제어기 (350) 는 하나 이상의 메모리 디바이스들 (356), 하나 이상의 대용량 저장 디바이스들 (354), 및 하나 이상의 프로세서들 (352) 을 포함할 수도 있다. 프로세서 (352) 는 CPU 또는 컴퓨터, 아날로그 입력/출력 접속부 및/또는 디지털 입력/출력 접속부, 스텝퍼 모터 제어기 보드들, 등을 포함할 수도 있다. 시스템 제어기 (350) 는 대용량 저장 디바이스 (354) 에 저장되고, 메모리 디바이스 (356) 로 로딩되고, 프로세서 (352) 상에서 실행되는 시스템 제어 소프트웨어 (358) 를 실행한다. 대안적으로, 제어 로직은 시스템 제어기 (350) 내에 하드 코딩 (hard coded) 될 수도 있다. ASIC들 (Applications Specific Integrated Circuits), 프로그램 가능한 논리 디바이스들 (Programmable Logic Devices) (예를 들어, FPGA들 (field-programmable gate arrays)), 등이 이들 목적들을 위해 사용될 수도 있다. 이하의 논의에서, "소프트웨어" 또는 "코드"가 사용되는 경우, 기능적으로 유사한 하드 코딩된 로직이 그 자리에 사용될 수도 있다. 시스템 제어 소프트웨어 (358) 는 프로세스 챔버 내외로 PEC의 이송, 프로세스 챔버 내외로 웨이퍼들의 이송, 가스들의 타이밍, 가스들의 혼합물, 가스 플로우 양, 챔버 및/또는 스테이션 압력, 배면 가스 플로우 압력, 챔버 및/또는 반응기 온도, 웨이퍼 온도, 바이어스 전력, 타깃 전력 레벨들, RF 전력 레벨들, 페데스탈, 척 및/또는 서셉터 위치, 및 프로세스 툴 (300) 에 의해 수행된 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들을 포함할 수도 있다. 시스템 제어 소프트웨어 (358) 는 임의의 적합한 방식으로 구성될 수도 있다. 예를 들어, 다양한 프로세스 툴 컴포넌트 서브루틴들 또는 제어 객체들이 다양한 프로세스 툴 프로세스들을 수행하는데 필요한 프로세스 툴의 동작을 제어하도록 작성될 수도 있다. 시스템 제어 소프트웨어 (358) 는 임의의 적합한 컴퓨터 판독가능 프로그래밍 언어로 코딩될 수도 있다.
제어기 (350), 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기 (350) 는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기 (350) 는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기 (350) 는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
일부 실시예들에서, 시스템 제어 소프트웨어 (358) 는 상기 기술된 다양한 파라미터들을 제어하기 위한 IOC (input/output control) 시퀀싱 인스트럭션들을 포함할 수도 있다. 시스템 제어기 (350) 와 연관된 대용량 저장 디바이스 (354) 및/또는 메모리 디바이스 (356) 상에 저장된 다른 컴퓨터 소프트웨어 및/또는 프로그램들이 일부 실시예들에서 채용될 수도 있다. 이 목적을 위한 프로그램들의 섹션들 또는 프로그램들의 예들은 웨이퍼 포지셔닝 프로그램, 프로세스 가스 제어 프로그램, 압력 제어 프로그램, 히터 제어 프로그램, 및 플라즈마 제어 프로그램을 포함한다.
웨이퍼 포지셔닝 프로그램은 웨이퍼 또는 PEC를 페데스탈 (318) 상으로 로딩하고 웨이퍼 또는 PEC와 프로세스 툴 (300) 의 다른 부분들 사이의 간격을 제어하도록 사용된 프로세스 툴 컴포넌트들을 위한 프로그램 코드를 포함할 수도 있다. 프로세스 가스 제어 프로그램은 가스 조성 (예를 들어, 본 명세서에 기술된 바와 같은, 컨디셔닝 프로세스 가스들, 증착 가스들, 헬륨 가스 또는 배면 플로우를 위한 다른 가스, 캐리어 가스들, 등) 및 플로우 레이트들을 제어하기 위한 코드, 및 선택가능하게 프로세스 스테이션의 압력을 안정화하기 위해 증착 전에 하나 이상의 프로세스 스테이션들로 가스를 흘리기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은, 예를 들어, 프로세스 스테이션의 배기 시스템의 쓰로틀 밸브, 프로세스 스테이션으로의 가스 플로우, 컨디셔닝 동작들 동안 PEC의 배면으로 도입된 가스의 압력, 등을 조절함으로써 프로세스 스테이션의 압력을 제어하기 위한 코드를 포함할 수도 있다.
히터 제어 프로그램은 워크피스를 가열하기 위해 사용된 가열 유닛으로의 전류를 제어하기 위한 코드를 포함할 수도 있다. 대안적으로, 히터 제어 프로그램은 웨이퍼로의 열 전달 가스 (예컨대 헬륨) 의 전달을 제어할 수도 있다. 플라즈마 제어 프로그램은 본 명세서의 실시예들에 따른 하나 이상의 프로세스 스테이션들의 바이어스 및 프로세스 전극들에 인가된 RF 전력 레벨들을 설정하기 위한 코드를 포함할 수도 있다. 압력 제어 프로그램은 본 명세서의 실시예들에 따라 반응 챔버 내의 압력을 유지하기 위한 코드를 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (350) 와 연관된 사용자 인터페이스가 있을 수도 있다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽적인 소프트웨어 디스플레이, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
일부 실시예들에서, 시스템 제어기 (350) 에 의해 조정된 파라미터들은 프로세스 조건들과 관련될 수도 있다. 비한정적인 예들은 프로세스 가스 조성 및 플로우 레이트들, 온도, 압력, 플라즈마 조건들 (예컨대 RF 바이어스 전력 레벨들), 압력, 온도, 등을 포함한다. 이들 파라미터들은 사용자 인터페이스를 활용하여 입력될 수도 있는 레시피의 형태로 사용자에게 제공될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 다양한 프로세스 툴 센서들로부터 시스템 제어기 (350) 의 아날로그 입력 접속부 및/또는 디지털 입력 접속부에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 프로세스 툴 (300) 의 아날로그 출력 접속부 및 디지털 출력 접속부로 출력될 수도 있다. 모니터링될 수도 있는 프로세스 툴 센서들의 비한정적인 예들은 질량 유량 제어기들, 압력 센서들 (예컨대 마노미터들), 써모커플들, 등을 포함한다. 적절하게 프로그램된 피드백 및 제어 알고리즘들은 프로세스 조건들을 유지하기 위해 이들 센서들로부터의 데이터와 함께 사용될 수도 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.
실험
실험 1
다양한 방법들을 사용하여 프로세싱된 웨이퍼들에 대하여 몇몇 제 1 웨이퍼들의 금속 오염을 비교하는 실험이 수행되었다. 첫번째 방법은, 컨디셔닝 화학물질로의 ESC의 노출을 방지하도록 정전 척 (ESC) 상에 PEC (protective electrostatic chuck cover) 가 위치되는 동안 사전 코팅 챔버에 대한 컨디셔닝 프로세스에 챔버를 노출하는 것을 수반하는, 표준 웨이퍼 프로세싱을 나타낸다. 챔버가 사전 코팅된 후, PEC는 챔버 외부로 이송되고, 제 1 웨이퍼는 챔버로 도입되고 제 1 증착 프로세스가 제 1 웨이퍼 상에서 수행되었다. 웨이퍼들이 배치 중 나머지 웨이퍼들이 또한 후속하여 프로세싱되었다.
두번째 방법은 다수의 증착 동작들에 이전에 노출되지 않은 PEC를 사용하여 개시된 실시예들을 사용하는 것을 수반한다. 이 방법에서, PEC는, 컨디셔닝 층으로 챔버를 사전 코팅하기 위해 컨디셔닝 화학물질에 챔버가 노출되는 동안 ESC 상에 위치된다. PEC는 챔버 외부로 그리고 챔버 내에서 웨이퍼의 이송을 시뮬레이팅하기 위해 챔버 내로 다시 이송된다. PEC가 페데스탈에 정전기적으로 클램핑되고 온도를 유지하기 위해 배면 가스로서 헬륨이 PEC로 흐르는 동안 제 1 증착 프로세스가 PEC 상에서 수행된다. 증착 프로세스는 3 Torr 미만의 배면 헬륨 압력과 함께, 410 ℃ 미만의 온도로 PEC를 유지하도록 수행되었다. 제 1 증착 프로세스가 수행된 후, PEC는 챔버 외부로 이송되고 제 1 웨이퍼는 챔버 내로 이송되었다. 이어서 제 2 증착 프로세스가 제 1 웨이퍼 상에서 수행되고, 이어서 웨이퍼들의 배치의 나머지 웨이퍼가 프로세싱되었다.
세번째 방법은 다수의 증착 동작들에 이전에 노출된 PEC를 사용하여 개시된 실시예들을 사용하는 것을 수반한다. 이 방법에서, 이전에 사용되고 세정된 PEC는, 컨디셔닝 층으로 챔버를 사전 코팅하기 위해 컨디셔닝 화학물질에 챔버가 노출되는 동안 ESC 상에 위치된다. PEC는 챔버 외부로 그리고 챔버 내에서 웨이퍼의 이송을 시뮬레이팅하기 위해 챔버 내로 다시 이송된다. PEC가 페데스탈에 정전기적으로 클램핑되고 온도를 유지하기 위해 배면 가스로서 헬륨이 PEC로 흐르는 동안 제 1 증착 프로세스가 PEC 상에서 수행된다. 증착 프로세스는 3 Torr 미만의 배면 헬륨 압력과 함께, 410 ℃ 미만의 온도로 PEC를 유지하도록 수행되었다. 제 1 증착 프로세스가 수행된 후, PEC는 챔버 외부로 이송되고 제 1 웨이퍼는 챔버 내로 이송되었다. 이어서 제 2 증착 프로세스가 제 1 웨이퍼 상에서 수행되고, 이어서 웨이퍼들의 배치의 나머지 웨이퍼가 프로세싱되었다.
다양한 금속들에 대한 금속 오염이 이들 방법들 각각에서 제 1 웨이퍼, 제 2 웨이퍼, 제 4 웨이퍼, 및 제 7 웨이퍼에 대해 결정되었다. 결과들이 도 4에 플롯팅되었다. 제 1 방법에 의해 증착된 제 1 웨이퍼는 411로 나타내고, 제 1 방법에 의해 증착된 제 2 웨이퍼는 412로 나타내고, 제 1 방법에 의해 증착된 제 4 웨이퍼는 414, 제 1 방법에 의해 증착된 제 7 웨이퍼는 417로 나타냈다. 제 2 방법에 의해 증착된 제 1 웨이퍼는 421로 나타내고, 제 2 방법에 의해 증착된 제 2 웨이퍼는 422로 나타내고, 제 2 방법에 의해 증착된 제 4 웨이퍼는 424로 나타내고, 제 2 방법에 의해 증착된 제 7 웨이퍼는 427로 나타냈다. 제 3 방법에 의해 증착된 제 1 웨이퍼는 431로 나타내고, 제 3 방법에 의해 증착된 제 2 웨이퍼는 432로 나타내고, 제 3 방법에 의해 증착된 제 4 웨이퍼는 434로 나타내고, 제 3 방법에 의해 증착된 제 7 웨이퍼는 437로 나타냈다.
도시된 바와 같이, 표준 방법으로부터의 제 1 웨이퍼 (411) 는 후속하여 프로세싱된 웨이퍼들 (412, 414, 및 417) 보다 보다 큰 금속 오염을 나타낸다. 그러나, 제 2 방법 및 제 3 방법에 대한 제 1 웨이퍼 (각각 421, 431) 는, 제 2 방법 및 제 3 방법 양자에 대해 평가된 제 2 웨이퍼들, 제 4 웨이퍼들, 및 제 7 웨이퍼들 (각각 422, 424, 427 및 432, 434, 437) 이 이들 각각의 방법들 각각에 대한 제 1 웨이퍼 (421, 431) 와 유사하게 낮은 금속 오염을 나타내기 때문에, 제 1 웨이퍼 금속 오염 효과가 완화되고 가능하면 제거되도록 제 1 방법의 제 2 웨이퍼 (412) 와 유사한 금속 오염을 나타낸다. 이러한 결과들은 개시된 실시예들이 제 1 웨이퍼 상의 금속 오염을 감소시키고 제 1 웨이퍼 금속 오염 효과를 감소시킨다는 것을 암시한다.
결론
전술한 실시예들이 이해의 명확성을 목적으로 다소 상세히 기술되었지만, 특정한 변화들 및 수정들이 첨부된 청구항들의 범위 내에서 실시될 수 있다는 것이 자명할 것이다. 본 실시예들의 프로세스들, 시스템들, 및 장치를 구현하는 많은 대안적인 방식들이 있다는 것을 주의해야 한다. 따라서, 본 실시예들은 예시적이고 제한하지 않는 것으로 간주되고, 실시예들은 본 명세서에 제공된 상세들로 제한되지 않는다.

Claims (25)

  1. (a) 제 1 바이어스 전력에서 챔버 내에 웨이퍼가 존재하지 않는 동안 상기 챔버의 표면들 상에 막을 증착하도록 플라즈마에 상기 챔버를 노출시키는 단계를 포함하는 제 1 스테이지를 수행하는 단계로서, 상기 바이어스 전력은 상기 챔버 내의 정전 척에 인가된, 상기 제 1 스테이지를 수행하는 단계; 및
    (b) 상기 제 1 스테이지를 수행한 후, 제 2 바이어스 전력에서 상기 챔버 내에 웨이퍼가 존재하지 않는 동안 상기 챔버의 표면들 상에 제 2 막을 증착하도록 플라즈마에 상기 챔버를 노출시키는 단계를 포함하는 제 2 스테이지를 수행하는 단계를 포함하고,
    상기 제 2 바이어스 전력은 상기 제 1 바이어스 전력보다 보다 큰, 방법.
  2. 제 1 항에 있어서,
    상기 단계 (b) 는 보호 커버를 상기 정전 척에 클램핑하는 단계 및 상기 보호 커버의 배면으로 가스를 흘리는 단계를 더 포함하는, 방법.
  3. 제 2 항에 있어서,
    상기 보호 커버의 상기 배면으로 흐른 상기 가스의 압력은 약 3 Torr 미만인, 방법.
  4. 제 2 항에 있어서,
    상기 보호 커버는 세라믹 재료를 포함하는, 방법.
  5. 제 2 항에 있어서,
    상기 보호 커버는 적어도 약 10 초 동안 클램핑되는, 방법.
  6. 제 2 항에 있어서,
    상기 보호 커버는 적어도 약 2000 V의 클램핑 전압에서 클램핑되는, 방법.
  7. 제 1 항에 있어서,
    상기 단계 (b) 는 상기 정전 척 상의 보호 커버를 냉각하는 단계를 더 포함하는, 방법.
  8. 제 1 항에 있어서,
    상기 단계 (b) 는 고밀도 플라즈마 CVD (chemical vapor deposition) 를 수행하는 단계를 더 포함하는, 방법.
  9. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 제 1 바이어스 전력은 약 1500 W 미만인, 방법.
  10. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    상기 제 2 바이어스 전력은 적어도 약 2000 W인, 방법.
  11. 제 1 항 내지 제 8 항 중 어느 한 항에 있어서,
    (c) 상기 제 2 스테이지를 수행한 후, 고밀도 플라즈마 CVD에 의해 막을 증착하도록 상기 챔버 내에서 웨이퍼를 플라즈마에 노출시키는 단계를 더 포함하는, 방법.
  12. 막으로 플라즈마 챔버의 표면들을 코팅하도록 척 상에 보호 커버를 포함하는 상기 플라즈마 챔버를 플라즈마에 노출시키는 단계를 포함하고,
    상기 보호 커버는 상기 플라즈마 노출 동안 능동적으로 냉각되는, 방법.
  13. 제 12 항에 있어서,
    상기 보호 커버는 상기 보호 커버를 상기 척에 클램핑하고 상기 보호 커버의 배면으로 가스를 흘림으로써 능동적으로 냉각되는, 방법.
  14. 제 13 항에 있어서,
    상기 보호 커버의 상기 배면으로 흐른 상기 가스의 압력은 약 3 Torr 미만인, 방법.
  15. 제 13 항에 있어서,
    상기 보호 커버는 적어도 약 2000 V의 클램핑 전압에서 클램핑되는, 방법.
  16. 제 13 항에 있어서,
    상기 보호 커버는 적어도 약 10 초 동안 클램핑되는, 방법.
  17. 제 12 항 내지 제 16 항 중 어느 한 항에 있어서,
    상기 보호 커버는 세라믹 재료를 포함하는, 방법.
  18. 제 12 항 내지 제 16 항 중 어느 한 항에 있어서,
    상기 플라즈마 노출 동안 상기 척에 바이어스를 인가하는 단계를 더 포함하는, 방법.
  19. 웨이퍼들을 프로세싱하기 위한 장치에 있어서,
    상기 장치는,
    (a) 플라즈마 생성기;
    (b) 정전 척을 갖는 페데스탈을 포함하는 챔버;
    (c) 상기 페데스탈로 가스를 공급하도록 구성된 가스 라인을 포함하는 열 전달 서브시스템;
    (d) 하나 이상의 가스 유입부들; 및
    (e) 제어기를 포함하고,
    상기 제어기는,
    (i) 상기 챔버의 내부 표면들을 코팅하기에 충분한 지속기간 동안 제 1 바이어스 전력에서 상기 챔버 내에서 플라즈마를 생성하기 위한 머신 판독가능 인스트럭션, 및
    (ii) 상기 인스트럭션 (i) 후에, 제 2 바이어스 전력에서 상기 챔버의 상기 내부 표면들 상에 막을 증착하도록 상기 제 2 바이어스 전력에서 상기 챔버 내에서 제 2 플라즈마를 생성하기 위한 머신 판독가능 인스트럭션을 포함하고,
    상기 제 2 바이어스 전력은 상기 제 1 바이어스 전력보다 보다 큰, 웨이퍼들을 프로세싱하기 위한 장치.
  20. 제 19 항에 있어서,
    상기 제어기는 상기 인스트럭션 (ii) 동안 상기 페데스탈로 헬륨을 공급하도록 상기 가스 라인을 통해 헬륨을 흘리기 위한 머신 판독가능 인스트럭션들을 더 포함하는, 웨이퍼들을 프로세싱하기 위한 장치.
  21. 제 19 항에 있어서,
    상기 머신 판독가능 인스트럭션 (ii) 은 상기 정전 척에 보호 커버를 클램핑하고 상기 보호 커버의 배면으로 가스를 흘리기 위한 인스트럭션들을 포함하는, 웨이퍼들을 프로세싱하기 위한 장치.
  22. 제 21 항에 있어서,
    상기 머신 판독가능 인스트럭션들은 상기 보호 커버의 상기 배면으로 흐른 상기 가스의 압력을 약 3 Torr 미만의 압력으로 설정하는 인스트럭션을 더 포함하는, 웨이퍼들을 프로세싱하기 위한 장치.
  23. 제 21 항에 있어서,
    상기 머신 판독가능 인스트럭션들은 클램핑 전압을 적어도 약 2000 V로 설정하는 인스트럭션을 더 포함하는, 웨이퍼들을 프로세싱하기 위한 장치.
  24. 제 19 항에 있어서,
    상기 머신 판독가능 인스트럭션들은 상기 제 1 바이어스 전력을 약 1500 W 미만으로 설정하는 인스트럭션을 더 포함하는, 웨이퍼들을 프로세싱하기 위한 장치.
  25. 제 19 항에 있어서,
    상기 머신 판독가능 인스트럭션들은 상기 제 2 바이어스 전력을 적어도 약 2000 W로 설정하는 인스트럭션을 더 포함하는, 웨이퍼들을 프로세싱하기 위한 장치.
KR1020160042618A 2015-04-09 2016-04-07 고밀도 플라즈마 cvd 시스템들에서 제 1 웨이퍼 금속 오염 효과 제거 KR102654243B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/683,022 US10023956B2 (en) 2015-04-09 2015-04-09 Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
US14/683,022 2015-04-09

Publications (2)

Publication Number Publication Date
KR20160121429A true KR20160121429A (ko) 2016-10-19
KR102654243B1 KR102654243B1 (ko) 2024-04-04

Family

ID=57112814

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160042618A KR102654243B1 (ko) 2015-04-09 2016-04-07 고밀도 플라즈마 cvd 시스템들에서 제 1 웨이퍼 금속 오염 효과 제거

Country Status (3)

Country Link
US (1) US10023956B2 (ko)
KR (1) KR102654243B1 (ko)
TW (1) TW201706449A (ko)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US20190080949A1 (en) * 2017-09-08 2019-03-14 Applied Materials, Inc. Soft chucking and dechucking for electrostatic chucking substrate supports
US11114326B2 (en) 2017-09-08 2021-09-07 Applied Materials, Inc. Substrate chucking and dechucking methods
WO2019113351A1 (en) 2017-12-07 2019-06-13 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
JP2020077750A (ja) * 2018-11-07 2020-05-21 東京エレクトロン株式会社 クリーニング方法及び成膜方法
CN114032523A (zh) * 2021-10-22 2022-02-11 华虹半导体(无锡)有限公司 金属层的制备方法

Citations (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0871408A (ja) * 1994-03-15 1996-03-19 Applied Materials Inc 化学的攻撃ガス環境に露出されるプラズマ処理室の加熱金属表面用セラミック保護及びその加熱金属表面の保護方法
KR19980018744A (ko) * 1996-08-19 1998-06-05 조셉 제이. 스위니 챔버 세정 동안 시즌 시간과 바이어스 rf 전력을 변경함으로써 유동 이온과 금속 오염을 감소하는 방법 (reduction in mobile ion and metal contamination by varying season time and bias rf power during chamber cleaning)
JP2001123271A (ja) * 1999-10-25 2001-05-08 Hitachi Ltd プラズマcvd装置のプリコート方法
JP2003297817A (ja) * 2002-04-03 2003-10-17 Matsushita Electric Ind Co Ltd 半導体装置の製造方法、半導体装置、そのためのプラズマcvd装置
JP2004511901A (ja) * 2000-10-06 2004-04-15 ラム リサーチ コーポレーション 静電気的にクランプされるプラズマ処理用エッジリング
JP2006100705A (ja) * 2004-09-30 2006-04-13 Hitachi High-Technologies Corp 半導体製造装置のクリーニング方法
JP2007049162A (ja) * 2005-08-09 2007-02-22 Samsung Electronics Co Ltd 半導体素子のトレンチ素子分離領域製造方法
US20070056843A1 (en) * 2005-09-13 2007-03-15 Applied Materials, Inc. Method of processing a substrate using a large-area magnetron sputtering chamber with individually controlled sputtering zones
US20080286982A1 (en) * 2007-05-15 2008-11-20 Shijian Li Plasma immersion ion implantation with highly uniform chamber seasoning process for a toroidal source reactor
JP2010103443A (ja) * 2008-10-27 2010-05-06 Tokyo Electron Ltd 基板処理装置の真空排気方法及び基板処理装置
JP2010147238A (ja) * 2008-12-18 2010-07-01 Tokyo Electron Ltd 構成部品の洗浄方法及び記憶媒体
US20100190352A1 (en) * 2009-01-29 2010-07-29 Rajneesh Jaiswal Use of a biased precoat for reduced first wafer defects in high-density plasma process
KR20100120199A (ko) * 2008-02-06 2010-11-12 어플라이드 머티어리얼스, 인코포레이티드 챔버 내부 표면 상의 순수한 또는 거의 순수한 실리콘 시즈닝 층을 이용하는 플라즈마 침지형 이온 주입 방법
KR20110053360A (ko) * 2008-08-20 2011-05-20 어플라이드 머티어리얼스, 인코포레이티드 표면 처리된 알루미늄 질화물 배플
JP2011124274A (ja) * 2009-12-08 2011-06-23 Hitachi High-Technologies Corp プラズマ処理装置
US20120097330A1 (en) * 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
US20120181166A1 (en) * 2011-01-14 2012-07-19 Applied Materials, Inc. Pvd process with synchronized process parameters and magnet position
KR20150060583A (ko) * 2013-11-25 2015-06-03 램 리써치 코포레이션 저온 ald 막들을 위한 챔버 언더코팅 준비 방법
KR20150086197A (ko) * 2014-01-17 2015-07-27 램 리써치 코포레이션 기상 증착된 막들의 결함 감소를 위한 방법 및 장치
US20150221553A1 (en) * 2014-01-31 2015-08-06 Alan Hiroshi Ouye Cooled tape frame lift and low contact shadow ring for plasma heat isolation

Family Cites Families (54)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US5654475A (en) 1996-03-25 1997-08-05 Twenty-First Century Research Corporation Methods of making intermediate oxidation products by controlling oxidation rates in an atomized liquid
US5605859A (en) 1995-07-05 1997-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making insulator structure for polysilicon resistors
US5647953A (en) 1995-12-22 1997-07-15 Lam Research Corporation Plasma cleaning method for removing residues in a plasma process chamber
US5824375A (en) 1996-10-24 1998-10-20 Applied Materials, Inc. Decontamination of a plasma reactor using a plasma after a chamber clean
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
TW460943B (en) 1997-06-11 2001-10-21 Applied Materials Inc Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions
US6121164A (en) 1997-10-24 2000-09-19 Applied Materials, Inc. Method for forming low compressive stress fluorinated ozone/TEOS oxide film
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US5970383A (en) 1997-12-17 1999-10-19 Advanced Micro Devices Method of manufacturing a semiconductor device with improved control of deposition layer thickness
US6071573A (en) 1997-12-30 2000-06-06 Lam Research Corporation Process for precoating plasma CVD reactors
KR100323874B1 (ko) 1999-12-22 2002-02-16 박종섭 반도체 소자의 알루미늄 산화막 형성 방법
KR100375102B1 (ko) 2000-10-18 2003-03-08 삼성전자주식회사 반도체 장치의 제조에서 화학 기상 증착 방법 및 이를수행하기 위한 장치
DE10130340A1 (de) 2001-06-26 2003-01-02 Bhs Corr Masch & Anlagenbau Bahnspannungs-Regelungs-Vorrichtung für Wellpappeanlage
US6626188B2 (en) 2001-06-28 2003-09-30 International Business Machines Corporation Method for cleaning and preconditioning a chemical vapor deposition chamber dome
US20030013314A1 (en) 2001-07-06 2003-01-16 Chentsau Ying Method of reducing particulates in a plasma etch chamber during a metal etch process
US6720259B2 (en) 2001-10-02 2004-04-13 Genus, Inc. Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition
US6815007B1 (en) 2002-03-04 2004-11-09 Taiwan Semiconductor Manufacturing Company Method to solve IMD-FSG particle and increase Cp yield by using a new tougher UFUN season film
US7204913B1 (en) 2002-06-28 2007-04-17 Lam Research Corporation In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control
US20040134427A1 (en) 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
WO2004102648A2 (en) 2003-05-09 2004-11-25 Asm America, Inc. Reactor surface passivation through chemical deactivation
KR100519798B1 (ko) 2003-12-11 2005-10-10 삼성전자주식회사 향상된 생산성을 갖는 박막 형성 방법
KR100557673B1 (ko) 2003-12-22 2006-03-06 어댑티브프라즈마테크놀로지 주식회사 플라즈마 장비를 시즌닝하는 방법
US7288284B2 (en) 2004-03-26 2007-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Post-cleaning chamber seasoning method
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
CN101088131A (zh) 2004-07-23 2007-12-12 桑德夫技术有限公司 具有高蓄能密度和低等效串联电阻的电容器
US7449416B2 (en) 2004-09-01 2008-11-11 Axcelis Technologies, Inc. Apparatus and plasma ashing process for increasing photoresist removal rate
US20060093756A1 (en) 2004-11-03 2006-05-04 Nagarajan Rajagopalan High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films
US20060189171A1 (en) 2005-02-23 2006-08-24 Chua Choon A Seasoning process for a deposition chamber
US8163087B2 (en) 2005-03-31 2012-04-24 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7241690B2 (en) 2005-04-12 2007-07-10 Texas Instruments Incorporated Method for conditioning a microelectronics device deposition chamber
JP4492963B2 (ja) 2005-06-14 2010-06-30 ルネサスエレクトロニクス株式会社 薄膜の成膜方法、気相成長装置、プログラム
WO2007026778A1 (ja) 2005-08-31 2007-03-08 Sumitomo Chemical Company, Limited トランジスタ、有機半導体素子及びこれらの製造方法
EP2541179A3 (en) 2005-11-23 2014-09-24 Surface Combustion, Inc. Gas generator for an atmospheric furnace for treating one or more articles
JP4476232B2 (ja) 2006-03-10 2010-06-09 三菱重工業株式会社 成膜装置のシーズニング方法
US7923376B1 (en) 2006-03-30 2011-04-12 Novellus Systems, Inc. Method of reducing defects in PECVD TEOS films
US20080118663A1 (en) 2006-10-12 2008-05-22 Applied Materials, Inc. Contamination reducing liner for inductively coupled chamber
US7704894B1 (en) 2006-11-20 2010-04-27 Novellus Systems, Inc. Method of eliminating small bin defects in high throughput TEOS films
US20090325391A1 (en) 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US8017527B1 (en) 2008-12-16 2011-09-13 Novellus Systems, Inc. Method and apparatus to reduce defects in liquid based PECVD films
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US20110151142A1 (en) 2009-12-22 2011-06-23 Applied Materials, Inc. Pecvd multi-step processing with continuous plasma
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
TW201210058A (en) 2010-05-12 2012-03-01 Applied Materials Inc Method of manufacturing crystalline silicon solar cells using epitaxial deposition
US8101531B1 (en) 2010-09-23 2012-01-24 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US20130064973A1 (en) 2011-09-09 2013-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Chamber Conditioning Method
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
CN103243310B (zh) 2012-02-14 2017-04-12 诺发系统公司 在衬底表面上的等离子体激活的保形膜沉积的方法
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9123651B2 (en) 2013-03-27 2015-09-01 Lam Research Corporation Dense oxide coated component of a plasma processing chamber and method of manufacture thereof
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma

Patent Citations (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0871408A (ja) * 1994-03-15 1996-03-19 Applied Materials Inc 化学的攻撃ガス環境に露出されるプラズマ処理室の加熱金属表面用セラミック保護及びその加熱金属表面の保護方法
KR19980018744A (ko) * 1996-08-19 1998-06-05 조셉 제이. 스위니 챔버 세정 동안 시즌 시간과 바이어스 rf 전력을 변경함으로써 유동 이온과 금속 오염을 감소하는 방법 (reduction in mobile ion and metal contamination by varying season time and bias rf power during chamber cleaning)
JP2001123271A (ja) * 1999-10-25 2001-05-08 Hitachi Ltd プラズマcvd装置のプリコート方法
JP2004511901A (ja) * 2000-10-06 2004-04-15 ラム リサーチ コーポレーション 静電気的にクランプされるプラズマ処理用エッジリング
JP2003297817A (ja) * 2002-04-03 2003-10-17 Matsushita Electric Ind Co Ltd 半導体装置の製造方法、半導体装置、そのためのプラズマcvd装置
JP2006100705A (ja) * 2004-09-30 2006-04-13 Hitachi High-Technologies Corp 半導体製造装置のクリーニング方法
JP2007049162A (ja) * 2005-08-09 2007-02-22 Samsung Electronics Co Ltd 半導体素子のトレンチ素子分離領域製造方法
US20070056843A1 (en) * 2005-09-13 2007-03-15 Applied Materials, Inc. Method of processing a substrate using a large-area magnetron sputtering chamber with individually controlled sputtering zones
US20080286982A1 (en) * 2007-05-15 2008-11-20 Shijian Li Plasma immersion ion implantation with highly uniform chamber seasoning process for a toroidal source reactor
KR20080101740A (ko) * 2007-05-15 2008-11-21 어플라이드 머티어리얼스, 인코포레이티드 토로이달 소스 반응기를 위한 매우 균일한 챔버 시즈닝프로세스를 가진 플라즈마 잠입 이온 주입방법
KR20100120199A (ko) * 2008-02-06 2010-11-12 어플라이드 머티어리얼스, 인코포레이티드 챔버 내부 표면 상의 순수한 또는 거의 순수한 실리콘 시즈닝 층을 이용하는 플라즈마 침지형 이온 주입 방법
KR20110053360A (ko) * 2008-08-20 2011-05-20 어플라이드 머티어리얼스, 인코포레이티드 표면 처리된 알루미늄 질화물 배플
JP2010103443A (ja) * 2008-10-27 2010-05-06 Tokyo Electron Ltd 基板処理装置の真空排気方法及び基板処理装置
JP2010147238A (ja) * 2008-12-18 2010-07-01 Tokyo Electron Ltd 構成部品の洗浄方法及び記憶媒体
US20100190352A1 (en) * 2009-01-29 2010-07-29 Rajneesh Jaiswal Use of a biased precoat for reduced first wafer defects in high-density plasma process
JP2011124274A (ja) * 2009-12-08 2011-06-23 Hitachi High-Technologies Corp プラズマ処理装置
US20120097330A1 (en) * 2010-10-20 2012-04-26 Applied Materials, Inc. Dual delivery chamber design
KR20140034115A (ko) * 2010-10-20 2014-03-19 어플라이드 머티어리얼스, 인코포레이티드 이중 전달 챔버 디자인
US20120181166A1 (en) * 2011-01-14 2012-07-19 Applied Materials, Inc. Pvd process with synchronized process parameters and magnet position
KR20150060583A (ko) * 2013-11-25 2015-06-03 램 리써치 코포레이션 저온 ald 막들을 위한 챔버 언더코팅 준비 방법
KR20150086197A (ko) * 2014-01-17 2015-07-27 램 리써치 코포레이션 기상 증착된 막들의 결함 감소를 위한 방법 및 장치
US20150221553A1 (en) * 2014-01-31 2015-08-06 Alan Hiroshi Ouye Cooled tape frame lift and low contact shadow ring for plasma heat isolation

Also Published As

Publication number Publication date
KR102654243B1 (ko) 2024-04-04
TW201706449A (zh) 2017-02-16
US20160300713A1 (en) 2016-10-13
US10023956B2 (en) 2018-07-17

Similar Documents

Publication Publication Date Title
KR102654243B1 (ko) 고밀도 플라즈마 cvd 시스템들에서 제 1 웨이퍼 금속 오염 효과 제거
KR102556603B1 (ko) 플라즈마 프로세싱 시스템들을 위한 고순도 sp3 결합들을 가진 화학적 기상 증착 (cvd) 다이아몬드 코팅을 포함한 에지 링들과 같은 컴포넌트들
KR102521717B1 (ko) 아킹 (arcing) 을 감소시키기 위한 헬륨 플러그 설계
KR102630920B1 (ko) 구리를 포함하는 합금으로 이루어진 컴포넌트들을 갖는 기판 프로세싱 챔버들로 인한 구리 오염물을 감소시키기 위한 시스템들 및 방법들
US10161034B2 (en) Rapid chamber clean using concurrent in-situ and remote plasma sources
KR20160123248A (ko) 깨끗한/더러운 기판 핸들링을 위한 엔드 이펙터 어셈블리
KR20210011501A (ko) 금속 옥사이드 막들을 제거하기 위한 온도 제어 시스템들 및 방법들
US20230020387A1 (en) Low temperature sintered coatings for plasma chambers
US20220093372A1 (en) Showerhead shroud
US20220336191A1 (en) Low temperature plasma enhanced chemical vapor deposition process including preheated showerhead
US20180005867A1 (en) Esc ceramic sidewall modification for particle and metals performance enhancements
US20220199379A1 (en) High temperature heating of a substrate in a processing chamber
US20220375746A1 (en) Semiconductor substrate bevel cleaning
TWI794318B (zh) 增加反應器處理批量大小的方法和設備
US20200098562A1 (en) Dual frequency silane-based silicon dioxide deposition to minimize film instability
WO2023107376A1 (en) Soaking and esc clamping sequence for high bow substrates
WO2020028256A1 (en) Honeycomb injector with dielectric window for substrate processing systems
US20190249295A1 (en) Ammonia pre-treatment to promote amorphous silicon adhesion to aluminum nitride
WO2020154244A1 (en) Substrate processing system including dual ion filter for downstream plasma
WO2024030307A1 (en) System and method to maintain constant clamping pressure during chamber rebooting and power failure instances
WO2020257095A1 (en) Reduced diameter carrier ring hardware for substrate processing systems
WO2018195535A1 (en) Method for depositing high deposition rate, thick tetraethyl orthosilicate film with low compressive stress, high film stability and low shrinkage

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
E601 Decision to refuse application
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E90F Notification of reason for final refusal
AMND Amendment
X701 Decision to grant (after re-examination)