KR20110053360A - 표면 처리된 알루미늄 질화물 배플 - Google Patents

표면 처리된 알루미늄 질화물 배플 Download PDF

Info

Publication number
KR20110053360A
KR20110053360A KR1020117006239A KR20117006239A KR20110053360A KR 20110053360 A KR20110053360 A KR 20110053360A KR 1020117006239 A KR1020117006239 A KR 1020117006239A KR 20117006239 A KR20117006239 A KR 20117006239A KR 20110053360 A KR20110053360 A KR 20110053360A
Authority
KR
South Korea
Prior art keywords
baffle
metal oxide
gas
substrate
oxide binder
Prior art date
Application number
KR1020117006239A
Other languages
English (en)
Inventor
무하메드 엠. 라시드
드미트리 루보미르스키
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20110053360A publication Critical patent/KR20110053360A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/515Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics
    • C04B35/58Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics based on borides, nitrides, i.e. nitrides, oxynitrides, carbonitrides or oxycarbonitrides or silicides
    • C04B35/581Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics based on borides, nitrides, i.e. nitrides, oxynitrides, carbonitrides or oxycarbonitrides or silicides based on aluminium nitride
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B40/00Processes, in general, for influencing or modifying the properties of mortars, concrete or artificial stone compositions, e.g. their setting or hardening ability
    • C04B40/0092Temporary binders, mortars or concrete, i.e. materials intended to be destroyed or removed after hardening, e.g. by acid dissolution
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Structural Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Ceramic Products (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

알루미늄 질화물 배플과 관련된 방법 및 장치가 제공된다. 일부 실시예에서, 반도체 프로세스 챔버에서 사용하기 위한 배플이 알루미늄 질화물 및 금속 산화물 결합제를 포함하는 본체를 포함하며, 상기 본체 표면 상에서의 알루미늄 질화물 대 금속 산화물 결합제의 비율이 상기 본체 내부에서의 비율과 같거나 그보다 크다. 일부 실시예에서, 상기 본체는 중앙 스템 및 상기 중앙 스템의 하부 부분에 커플링되고 그로부터 방사상 외측으로 연장하는 외측 환형부를 더 포함한다. 일부 실시예에서, 배플을 제조하는 방법이 알루미늄, 질소 및 금속 산화물 결합제를 소결하여 배플의 본체를 형성하는 소결 단계로서, 상기 본체가 표면에 배치된 과다 금속 산화물 결합제를 가지는, 소결 단계 그리고 상기 표면으로부터 과다 금속 산화물 결합제의 벌크를 제거하는 단계를 포함한다.

Description

표면 처리된 알루미늄 질화물 배플{SURFACE TREATED ALUMINUM NITRIDE BAFFLE}
개략적으로, 본원 발명의 실시예는 반도체 프로세싱 설비에 관한 것으로서, 보다 구체적으로는 반도체 기판을 프로세싱하기 위한 알루미늄 질화물 배플에 관한 것이다.
일부 고밀도 플라즈마 화학기상증착(HDP-CVD) 프로세싱 챔버에서, 기판 프로세싱 동안에 가스 주입 및 분배를 위해서 배플이 이용될 수 있다. 고밀도 플라즈마 화학기상증착용 배플은 통상적으로 알루미늄 산화물(Al2O3)로 구성된다. 그러나, 소형 장치 노드(nodes)에 접근(access)하기 위해서 높은 RF 전력의 HDP-CVD 프로세스를 이용할 때, 높은 온도로 인해서 알루미늄 산화물이 프로세스 가스, 예를 들어, HDP-CVD 챔버용 세정 가스로서 이용될 수 있는 질소 플루오라이드(NF3)와 반응할 수 있다. 그에 따라, 특히 개선된 열 전도성 때문에, 알루미늄 산화물 배플이 알루미늄 질화물(AlN) 배플로 대체되고 있다.
불행하게도, 알루미늄 질화물 배플이 일부 바람직한 특성을 가지지만, 다른 문제점들이 여전히 존재하게 된다. 예를 들어, 알루미늄 질화물 배플은 일반적으로 금속 산화물 결합제를 포함하는 소결 프로세스에 의해서 제조된다. 금속 산화물 결합제는 소결된 알루미늄 질화물의 높은 열전도성에 기여한다. 그러나, 소결 후에 배플의 표면에 존재하는 이들 결합제는 기판 프로세싱에 앞서서 배플의 시즈닝(seasoning) 중에 바람직하지 못하게 실리콘 산화물(SiO2) 층의 부착물과 간섭하게 된다. 예를 들어, 시즈닝은 배플의 물질에 의해서 기판의 오염을 방지할 수 있고, 그리고 프로세싱 또는 세정 중에 반응성 가스로부터 배플을 보호할 수 있다. 그러나, 열악하게(poor) 부착된 실리콘 산화물 시즈닝 층이 배플 표면으로부터 플레이크로서 벗겨질 수 있고(flake off), 그에 따라 프로세싱되는 기판을 오염시킬 수 있고, 그리고 기판 프로세싱 또는 챔버 세정 중에 공급되는 반응성 가스에 의해서 배플이 쉽게 손상될 수도 있을 것이다. 금속 산화물 결합제를 이용하지 않음으로써 이러한 문제점들을 해소할 수 있지만, 그러한 해결책은 배플의 열전도성을 바람직하지 못하게 감소시킬 것이다.
그에 따라, 개선된 알루미늄 질화물 배플 및 그 제조 방법이 이른바 당업계에서 요구되고 있다.
알루미늄 질화물 배플과 관련된 방법 및 장치가 제공된다. 일부 실시예에서, 반도체 프로세스 챔버에서 이용하기 위한 배플이 알루미늄 질화물 및 금속 산화물 결합제를 포함하는 본체를 포함할 것이고, 상기 본체 표면상에서 알루미늄 질화물 대 금속 산화물 결합제의 비율이 본체 내부의 비율과 같거나 그보다 크다. 일부 실시예에서, 본체가 중앙 스템(stem) 및 외측 환형부(annulus)를 구비할 수 있으며, 상기 외측 환형부는 상기 중앙 스템의 하부 부분에 커플링되거나 그 하부 부분으로부터 방사상 외측으로 연장된다.
일부 실시예에서, 반도체 기판을 프로세싱하기 위한 장치가 내부 체적부(volume) 및 천장에 배치된 제 1 가스 유입구를 가지는 프로세스 챔버; 및 배플을 포함할 수 있고, 상기 배플은 상기 제 1 가스 유입구에 커플링되고 그리고 제 1 프로세스 가스의 유동을 제 1 가스 유입구로부터 내측 체적부로 지향시키도록 구성되며, 상기 배플은 알루미늄 질화물 및 금속 산화물 결합제를 포함하는 본체를 포함하고, 상기 본체 표면 상의 알루미늄 질화물 대 금속 산화물 결합제의 비율은 상기 본체 내부의 비율과 같거나 그보다 크다.
일부 실시예에서, 반도체 프로세스 챔버에서 사용하기 위한 배플은 알루미늄, 질소 및 금속 산화물 결합제를 소결하여 배플의 본체를 형성하는 단계로서, 상기 본체가 표면상에 배치된 과다한 금속 산화물 결합제를 가지는, 배플 본체 형성 단계; 및 상기 표면으로부터 과다한 금속 산화물 결합제의 벌크(bulk)를 제거하는 단계를 포함하는 프로세스에 의해서 형성될 수 있다.
본원 발명의 일부 측면에 따라서, 반도체 프로세스 챔버에서 사용하기 위한 배플을 제조하는 방법이 제공된다. 일부 실시예에서, 반도체 프로세스 챔버에서 사용하기 위한 배플을 제조하는 방법은 알루미늄, 질소, 및 금속 산화물 결합제를 소결하여 배플의 본체를 형성하는 단계로서, 상기 본체가 표면상에 배치된 과다한 금속 산화물 결합제를 가지는, 배플 본체 형성 단계; 및 상기 본체의 표면으로부터 과다한 금속 산화물 결합제의 벌크를 제거하는 단계를 포함할 수 있다.
본원 발명의 일부 측면에 따라서, 반도체 기판을 프로세싱하는 방법이 제공된다. 일부 실시예에서, 반도체 기판을 프로세싱하는 방법은 내부 체적부 및 지지 받침대에 대향하여 프로세스 챔버의 천장에 배치되는 제 1 가스 유입구를 가지는 프로세스 챔버 내의 기판 지지부 상에 기판을 배치하는 단계; 상기 제 1 가스 유입구에 커플링된 배플을 통해서 그리고 내측 체적부 내로 제 1 프로세스 가스를 유동시키는 단계; 및 제 1 프로세스 가스로 기판을 프로세싱 하는 단계를 포함하며, 상기 배플은 금속 산화물 결합제를 가지는 알루미늄 질화물 본체를 포함하고, 상기 본체 표면 상의 알루미늄 질화물 대 금속 산화물 결합제의 비율은 상기 본체 내부의 비율과 같거나 그보다 크다.
본원 발명의 전술한 특징들이 보다 구체적으로 이해될 수 있도록, 첨부 도면들에 일부가 도시된 실시예들을 참조하여 본원 발명을 보다 특정하여 이하에서 설명한다. 그러나, 첨부 도면들은 본원 발명의 전형적인 실시예들만을 도시한 것이고 그에 따라 본원 발명의 한정하는 것으로 해석되지 않아야 할 것이며, 본원 발명은 다른 균등한 실시예들도 포함할 것이다.
도 1은 본원 발명의 일부 실시예에 따라 이용되는 예시적인 프로세스 챔버를 도시한 단면도이다.
도 2a-2b는 본원 발명의 일부 실시예에 따른 배플을 도시한 도면들이다.
도 3은 본원 발명의 일부 실시예에 따른 배플의 제조 방법을 도시한 흐름도이다.
도 4는 본원 발명의 일부 실시예에 따른 기판 프로세싱 방법을 도시한 흐름도이다.
도 5a-5b는 종래 배플의 표면을 서로 다른 배율로 도시한 도면들이다.
이해를 돕기 위해서, 도면들에서 공통되는 동일한 구성요소에 대해서는 가급적 동일한 참조 부호를 부여하였다. 도면들은 실척으로 도시된 것이 아니고 명료한 도시를 위해서 단순화하였다. 별다른 언급이 없는 경우에, 일 실시예의 그러한 구성요소들 및 특징들은 다른 실시예에서도 유리하게 포함될 수 있을 것이다.
개선된 알루미늄 질화물 배플을 이용하여 반도체 기판을 프로세싱하기 위한 방법 및 장치가 제공된다. 일부 실시예들에서, 반도체 프로세스 챔버에서 사용하기 위한 배플은 알루미늄 질화물 및 금속 산화물 결합제를 포함하는 본체를 포함한다. 본체 표면상의 알루미늄 질화물 대 금속 산화물의 비율은 본체 내부에서의 비율과 같거나 그보다 크다. 본체 표면 상의 금속 산화물의 감소된 양은 배플 표면에 대한 실리콘 산화물 시즈닝 층의 부착을 개선하는데 도움이 되고, 이는 알루미늄 질화물 배플로부터 플레이크로서 박리될 수 있는 입자에 의해서 프로세싱 동안에 기판이 오염되는 것을 추가적으로 방지할 수 있고, 그리고 반응성 프로세스 가스에 의해서 배플이 손상되는 것을 추가로 방지할 수 있다. 일부 실시예에서, 알루미늄 질화물 배플 제조 방법이 제공된다. 그러한 제조 방법은 본체 표면상의 알루미늄 질화물 대 금속 산화물의 비율이 본체 내부에서의 비율보다 큰 알루미늄 질화물 배플을 제조하는 것을 바람직하게 돕는다.
본원 발명의 알루미늄 질화물 배플은 적합한 프로세스 챔버, 예를 들어, 고밀도 플라즈마 화학기상증착(HDP-CVD)을 실시하도록 구성된 챔버 내에서 이용될 수 있을 것이다. 프로세스 챔버는 단일 챔버로서 구성될 수 있고, 또는 그 대신에 클러스터 툴의 일부로서 통합될 수도 있을 것이다. 그러한 적합한 시스템의 2가지 예를 들면, 300mm HDP-CVD ULTIMA X 및 CENTURA® ULTIMA HDP-CVD가 있으며, 양자는 모두 Applied Materials, Inc.로부터 공급된다. HDP-CVD 챔버는 알루미늄 질화물 배플이 이용될 수 있는 단지 하나의 예시적인 챔버라는 것을 이해할 수 있을 것이다. 알루미늄 질화물 배플을 수용하도록 구성되고 하나 또는 둘 이상의 가스 유입구를 구비하는 어떠한 적절한 챔버도 본원 발명의 실시예들에 따라 유리하게 이용될 수 있을 것이다.
도 1은 본원 발명의 일부 실시예에 따른 배플(200)을 가지는 예시적인 HDP-CVD 챔버(100)를 도시한 도면이다. HDP-CVD 챔버(100)는, 기판이 내부에서 프로세싱되는 플라즈마 환경을 생성하고 유지하면서, 실리콘(Si) 기판, 게르마늄 비소(GaAs) 기판 등과 같은 기판을 온도 제어 상태에서 프로세싱하기 위해서 이용될 수 있을 것이다. 플라즈마는 기판의 프로세싱 동안에 기판에 인접하여 생성되고, 그리고 기판의 온도는 여러 가지 기술을 이용하여, 예를 들어, 기판의 후방면으로 열전달 유체를 공급함으로써 제어된다.
HDP-CVD 챔버(100)는 기판 지지부(102)를 가지는 내부 체적부(101)를 구비하고 그리고 내부에 배치된 배플(200)을 구비하는 프로세스 챔버(110)를 포함한다. 프로세스 챔버(100)는 진공 시스템(112), 소오스(source) 플라즈마 시스템(114), 바이어스(bias) 플라즈마 시스템(116), 가스 전달 시스템(118), 및 원격 플라즈마 세정 시스템(도시하지 않음)을 추가적으로 포함할 수 있다.
기판 지지부(102)는 프로세싱 동안에 상부에 위치되는 기판(106)을 지지하기 위해서 프로세스 챔버(110) 내에 배치될 수 있다. 기판 지지부(102)는 여러 가지 성분들, 예를 들어, 기판(106)을 기판 지지부(102)에 대해서 클램핑하기 위한 정전기 척(chuck; 108), 또는 이하에서 보다 구체적으로 설명하는 바와 같은 다른 성분들을 포함할 수 있다. 기판이 기판 지지부 상에 놓일 때, 프로세스 키트(도시하지 않음)를 선택적으로 이용하여, 기판(106)에 의해서 덮여지지 않은 기판 지지부(102)의 적어도 하나의 부분을 덮을 수 있을 것이다. 프로세스 키트는 프로세싱 동안에 기판(106) 표면의 오염을 줄이도록 구성될 수 있고, 그리고 챔버 세정 프로세스 동안에 세정 시간을 줄이도록 구성될 수 있다.
프로세스 챔버(110)의 상부 부분이 돔(121)을 포함할 수 있고, 그러한 돔은 알루미나 또는 알루미늄 질화물과 같은 유전체 물질로 제조될 수 있을 것이다. 돔(121)은 플라즈마 프로세싱 영역(120)의 상부 경계부를 형성한다. 플라즈마 프로세싱 영역(120)의 하부는 기판(106) 및 기판 지지부(102)에 의해서 경계지어진다.
히터 플레이트(122) 및 냉각(cold) 플레이트(124)가 돔(121)의 위쪽에 놓이고 그리고 열적으로 커플링된다. 히터 플레이트(122) 및 냉각 플레이트(124)는 약 100 내지 200 ℃에 걸쳐서 약 +/- 10 ℃ 내에서 돔 온도를 제어할 수 있게 허용한다. 이는 여러 프로세스에서 돔 온도를 최적화할 수 있게 한다. 예를 들어, 세정 또는 에칭 프로세스는 증착 프로세스 보다 더 높은 온도에서 돔 온도를 유지하는 것이 바람직할 것이다. 돔 온도의 정확한 제어는 프로세스 챔버 내에서 플레이크 또는 입자 개체수(counts)를 감소시키고 그리고 증착 층과 기판 사이의 접착을 개선한다.
돔(121)은 내부에 관통하여 배치된 상부 노즐(150) 및 상부 벤트(vent; 152)를 포함할 수 있고, 이를 이용하여 가스 전달 시스템(118)과 관련하여 이하에서 추가적으로 설명하는 바와 같이 프로세스 챔버(100) 내로 가스를 도입할 수 있을 것이다. 도 1 및 도 2a-2b와 관련해서 이하에서 설명하는 바와 같이, 상부 노즐(150)은 가스 유입구(예를 들어, 도 2a와 관련하여 이하에서 설명되는 가스 유입구(208))에서 배플(200)에 커플링되고 그리고 상부 벤트(152)는 프로세스 챔버(110)로 개방되고 그리고 배플(200)의 후방부(예를 들어, 도 2a와 관련하여 이하에서 설명하는 후방부(203))를 향해서 지향된다.
도 2a-2b를 참조하면, 개략적으로 설명해서, 배플(200)은 프로세스 챔버 내에서 필요에 따라 프로세스 가스를 지향시키기 위한 형상을 가지는 본체(202)를 포함한다. 예를 들어, 배플(200)은 가스 유입구(도 1에서 상부 노즐(150)로 도시된 바와 같음)와 기판 지지부(도 1에서 기판 지지부(102)로 도시된 바와 같음) 사이에 배치될 수 있고, 그리고 상기 돔(121)(도 1에 도시됨)으로부터 분리되고 상기 돔과 대향하는 후방면(203) 및 상기 기판(106)과 기판 지지부(102)(도 1에 도시됨)에 대향하여 배치되는 전방부(201)를 포함하여, 프로세스 가스를 원하는 바에 따라 지향시킬 수 있다. 배플(200)은 알루미늄 질화물 및 금속 산화물 결합제를 포함한다. 배플(200)에서는 본체(202) 표면상에서의 알루미늄 산화물 대 금속 산화물의 비율이 본체(202) 내부의 알루미늄 산화물 대 금속 산화물의 비율 보다 크다.
금속 산화물 결합제과 알루미늄 산화물 대 금속 산화물의 비율에 관한 내용을 포함하는 알루미늄 질화물 배플 제조 방법이 도 3을 참조하여 이하에서 설명되며, 도 3은 본원 발명의 일부 실시예들에 따라 알루미늄 질화물 배플을 제조하기 위한 방법(300)을 도시하는 흐름도이다.
방법(300)은 알루미늄, 질소 및 금속 산화물 결합제로부터 배플(200)(또는 본체(202))이 소결되는 단계(310)에서 시작된다. 일반적으로, 소결 프로세스는 분말들이 함께 결합되어 고체 대상물(예를 들어, 본체(202))을 형성할 때까지 압력하에서 그리고 융점 미만으로 분말들을 가열하는 단계를 포함한다. 일부 실시예들에서, 알루미늄 질화물 분말 및 금속 산화물 분말을 소결하여 본체(202)를 형성할 수 있다.
금속 산화물 결합제를 이용하여 알루미늄 질화물 입자들의 부착을 촉진할 수 있고, 그리고 배플(200)의 열 전도성을 개선할 수 있다. 일부 실시예들에서, 금속 산화물 결합제는 이트륨 산화물 또는 에르븀 산화물 중 하나 이상을 포함한다. 금속 산화물 결합제가 알루미늄 질화물 분말에 첨가될 수 있고 그리고 소결될 수 있으며, 또는 그 대신에, 알루미늄 질화물 분말이 충분한 양의 금속 산화물 불순물을 포함하며 그에 따라 소결에 앞서서 추가적인 금속 산화물제(oxide agents)가 불필요할 수 있다. 일부 실시예에서, 약 0.1 내지 약 10 질량%(percent by mass)의 금속 산화물이 배플(200) 내에 존재할 수 있을 것이다.
본원 발명자들은 종래의 알루미늄 질화물 배플의 몇 가지 문제점을 관찰하였다. 예를 들어, 본원 발명자들은 불균일한 코팅들, 예를 들어, 실리콘 산화물 시즈닝 층들이 종래의 알루미늄 질화물 배플의 표면 상에서 성장하는 경향이 있다는 것을 발견하였다. 그러한 불균일한 코팅은 코팅 플레이크 형성을 초래할 수 있고(예를 들어, 코팅의 불균일한 축적으로 인해서 또는 배플 표면 상의 금속 산화물의 손실된(loose) 입자들로 인해서) 그리고 후속하여 기판을 오염시킬 수 있으며, 유해한 프로세스 가스에 배플을 노출시킬 수 있다. 이러한 문제점에 대해서 연구한 결과, 본원 발명자들은, 종래의 소결 기술을 이용할 때, 소결 프로세스로 인해서 배플 표면 상에 과다한 금속 산화물을 가지는 배플이 얻어진다는 것을 발견하였다. 예를 들어, 도 5a는 알루미늄 질화물 배플의 실제 표면을 100 배 확대하여 도시한 것으로서, 과다 금속 산화물(504)의 영역들이 알루미늄 질화물(502)의 표면 상에 존재하는 것을 명확하게 보여주고 있다. 과다 금속 산화물(504)의 영역들은 상당히 어두운 알루미늄 질화물(502) 상부에 위치하는 백색의 또는 밝은 색채의(light-colored) 영역들로 보여진다. 도 5b는 500 배 배율로 도시한 알루미늄 질화물 배플의 실제 표면을 도시한 도면으로서, 알루미늄 질화물(502)의 표면 상에 존재하는 과다 금속 산화물(504)의 영역들을 명확하게 도시하고 있다. 보다 확대하여 도시한 도 5b로부터 보다 명확하게 확인할 수 있는 바와 같이, 과다 금속 산화물(504)의 입자 조직은 알루미늄 질화물(502)의 입자 조직과 매우 상이하다. 또한, 알루미늄 질화물(502) 내에 보다 균일하게 배치된 또는 통합된 것과 대비하여, 과다 금속 산화물(504)은 알루미늄 질화물(502)의 상부에 배치된 영역들 또는 "섬(islands)"으로 형성되는 것으로 보여진다. 일반적으로, 표면 상에 배치된 과다 금속 산화물은 본체 전체 보다 많은 양으로 존재할 것인데, 이는 소결 프로세스 중에 금속 산화물 결합제가 압출(squeeze-out)되고 이동되기 때문이다. 본원 발명자들은 종래 배플에서 관찰되는 문제점들이, 적어도 부분적으로, 알루미늄 질화물 배플 표면 상의 과다 금속 산화물의 존재에 기인한다고 생각한다.
그에 따라, 본원 발명자들은 배플 표면 상에서 과다 금속 산화물을 제거 또는 감소시키는 것이 종래 알루미늄 질화물 배플에 대비한 이점을 제공할 것으로 생각한다. 따라서, 일부 실시예에서, 배플(200)에서 본체(202) 표면 상의 알루미늄 질화물 대 금속 산화물의 비율이 본체(202) 내부의 비율과 같거나 그보다 클 것이다. 일부 실시예에서, 배플(200)은 본체(202) 표면 상에서 과다 금속 산화물을 실질적으로 가지지 않는다.
도 3을 참조하면, 단계(320)에서, 과다 금속 산화물 결합제의 벌크(예를 들어, 대부분)가 배플(200)(예를 들어, 본체(202))의 표면으로부터 제거된다. 일부 실시예에서, 벌크 제거 단계에서, 예를 들어 샌드 블래스팅, 그릿 블래스팅, 습식(wet) 블래스팅, 기계적인 연마, 기계적인 폴리싱 등 중 하나 이상에 의해서, 과다 금속 산화물 결합제의 벌크가 배플(200) 또는 본체(202)의 표면으로부터 제거된다(도 3의 단계(322)에 도시된 바와 같음). 일부 실시예에서, 표면 세정 및 처리 단계가 부식성 산(corrosive acid)을 이용한 청정단계를 포함할 수 있다(도 3의 단계(324)). 적합한 부식성 산에는 질산(HNO3), 염산(HCI), 실리콘 수소화물(SiH4) 등이 포함될 수 있을 것이다. 부식성 산은 탈이온수(DI)에서 적절한 강도로 희석될 수 있을 것이다. 배플의 알루미늄 질화물 표면의 처리 및/또는 금속 산화물의 최종적인 제거를 제공하기 위해서, 부식성 산 및 탈이온수로 이루어진 세정 용액이 필요에 따라서 강하거나 약할 수 있을 것이다. 알루미늄 질화물의 표면 세정 및 처리는 배플 표면 상에서 또는 그 부근에서 과다 금속 산화물을 제거하는 것을 도울 뿐만 아니라, 알루미늄 질화물 배플의 표면을 에칭 또는 개질(modify)하여 그 상부에 형성되는 층(예를 들어, 실리콘 산화물 시즈닝 층)의 보다 양호한 부착을 촉진할 수 있을 것이다.
배플(200)(또는 본체(202)) 표면으로부터 과다 금속 산화물 결합제를 제거하는 것은 본체 표면 상의 알루미늄 질화물 대 금속 산화물의 비율이 본체 내부의 비율과 같거나 그보다 큰 배플을 제공하는 것을 돕는다(예를 들어, 본체 내에서와 유사하게, 표면에서 알루미늄 질화물 및 금속 산화물 결합제의 아말감화(amalgamation))가 주로 이루어질 것이다). 예를 들어, 종래 배플의 표면에서 볼 수 있는 것과 비교할 때, 도 5a-5b에 도시된 바와 같이, 배플(200) 표면을 관찰하면 동일 배율에서 배플(200) 표면 상에서 금속 산화물을 육안으로 탐지할 수 없다는 것을 확인할 수 있을 것이다.
배플(200)의 표면으로부터 과다 금속 산화물의 벌크를 제거하는 것을 완료하면, 도 1과 관련하여 전술한 바와 같이, 방법(300)은 전체적으로 종료되고 그리고 배플(200)은 필요에 따라서 추가적으로 프로세싱되거나 프로세스 챔버 내에 설치될 것이다.
도 2를 다시 참조하면, 일부 실시예에서, 배플(200)은 중앙 스템(204) 및 외측 환형부(206)를 구비할 수 있고, 그와 다른 기하학적 형상도 다른 챔버 또는 용도에서 이용될 수 있을 것이다. 도 1과 관련하여 전술한 바와 같이, 중앙 스템(204)은 중앙 스템(204)을 상부 노즐(150)로 커플링하기 위한 중앙 스템(204)의 상부 부분 내에 배치된 가스 유입구(208)를 포함한다. 중앙 스템(204)은 중앙 스템(204)의 하부 부분 내에 배치되고 채널(209)을 통해서 가스 유입구(208)에 유체적으로 커플링된 다수의 가스 배출구(210)를 추가적으로 포함할 수 있다. 다수의 가스 배출구(210)는 가스 유입구(208)를 경유하여 상부 노즐(150)로부터 배플(200)의 전방부(203)로 가스가 유동하는 것을 돕는다.
도 2a에 도시된 바와 같이, 중앙 스템(204)의 하부 부분은 배플(200)의 전방부(201) 상에서 외측 환형부(206)의 표면에 대해서 상승한 전방부(201) 상의 상승 표면을 구비할 수 있다. 일부 실시예에서, 상승된 표면을 이용하여 각 가스 배출구(210)로부터의 가스 유동을 지향시킴으로써, 각 가스 배출구(210)를 빠져나오는 가스가 배플(200)의 중심 축선에 대해서(또는 그 하부에 배치된 기판의 중심 축선에 대해서) 경사를 이루어 유동하게 한다. 그러나, 그와 달리, 배플(200)의 전방부(201) 상에서 중앙 스템(204)의 하부 부분이 동일한 높이의(flush), 함몰된, 또는 다른 표면 형상을 가질 수 있을 것이다. 또한, 기판(106) 표면으로의 가스 유동을 돕기 위해서, 다수의 가스 배출구(210) 중 하나 또는 둘 이상이 서로 평행, 직교 또는 임의의 적절한 각도로 배향될 수 있을 것이다.
도 2b를 참조하면, 일부 실시예에서, 다수의 가스 유입구(208)가 배플(200)의 전방부(201) 상에서 균일하게 간격을 두고 원형 패턴으로 배치될 수 있을 것이다. 그 대신에, 다수의 가스 배출구(210)가 기판(106) 표면으로의 가스 유동을 촉진하기 위해서 필요한 적절한 임의 패턴으로 이격될 수 있고, 그러한 경우에 그들의 형태는 균일하게 또는 원형으로 이격된 것으로 제한되지 않는다.
도 2a를 다시 참조하면, 일부 실시예에서, 외측 환형부(206)가 관통하여 배치되는 다수의 홀(212)을 포함할 수 있다. 다수의 홀(212)은 배플(200)의 후방부(203)로부터 전방부(201)까지의 가스 유동을 촉진할 수 있을 것이다. 또한, 외측 환형부(206)는, 추가적으로 또는 다수의 홀(212)이 없는 경우에, 외측 환형부(206)의 엣지 주위의 가스 유동을 통해서 후방부(203)로부터 전방부(201)로의 가스 유동을 돕는다. 예를 들어, 도 1에 도시된 바와 같이, 상부 벤트(152)를 통해서 배플(200)의 후방부(203)로 공급되는 가스는 외측 환형부(206) 주위에서 부분적으로 전향(divert)될 수 있고 그리고 외측 환형부(206) 내에 형성된 다수의 홀(212)을 통해서 부분적으로 유동할 수 있으며 그에 따라 프로세스 챔버 내에서 가스의 희망 분포를 제공할 수 있을 것이다.
도 2b를 참조하면, 다수의 홀(212)이 예를 들어 원형 패턴으로 균일하게 정렬될 수 있을 것이다. 일부 실시예에서, 각 홀(212)이 도시된 바와 같이 각 가스 배출구(210)들 사이에 배치될 수 있다. 그 대신에, 프로세스 챔버 내에서 프로세스 가스의 유동을 촉진하기 위해서, 각 홀(212)이 각 가스 배출구(210)에 대해서 임의의 적절한 배열로 배향되거나 정렬될 수 있을 것이다.
도 1을 다시 참조하면, 프로세스 챔버(110)의 하부 부분은 프로세스 챔버(110)를 진공 시스템(112)에 결합하는 본체 부재(126)를 포함할 수 있다. 기판 지지부(102)가 본체 부재(126) 내에 장착될 수 있다. 정전기 척(108) 또는 다른 기구가 기판 지지부(102) 내에 또는 기판 지지부 상에 제공되어 기판(106)을 기판 지지부(102)에 고정할 수 있을 것이다. 기판(106)은 로봇 블레이드(도시하지 않음)에 의해서 프로세스 챔버(110) 내부의 삽입/제거 개구부(128)를 통해서 프로세스 챔버의 내외로 이송될 수 있을 것이다. 공압식 액츄에이터(도시하지 않음)가 승강 핀 플레이트(도시하지 않음)를 상승 및 하강시키고, 이는 승강 핀(도시하지 않음)을 상승 및 하강시키며, 이는 기판(106)을 상승 및 하강시킬 것이다. 프로세스 챔버(110) 내로 이송되면, 기판(106)은 상승된 승강 핀 상에 배치되고, 이어서 기판 지지부(102)의 표면 상으로 하강된다.
진공 시스템(112)은 스로틀 본체(130)를 포함하고, 상기 스로틀 본체는 다수-블레이드 스로틀 밸브(132)를 수용하고 그리고 게이트 밸브(134) 및 터보분자 펌프(136)에 부착된다. 스로틀 본체(130)는 가스 유동에 대한 최소 장애(obstruction)를 제공할 것이고, 그리고 대칭적인 펌핑을 가능하게 할 것이다. 게이트 밸브(134)는 터보분자 펌프를 스로틀 본체(130)로부터 격리시킬 것이고, 그리고 스로틀 밸브(132)가 완전히 개방되었을 때 배기 유동 용량을 제한함으로써 프로세스 챔버(110)의 압력을 추가적으로 제어한다. 스로틀 밸브(132), 게이트 밸브(134), 및 터보분자 펌프(136)의 배치로 인해서, 약 1 내지 100 mTorr까지 프로세스 챔버(110)의 압력을 정확하고 안정적으로 제어할 수 있게 된다.
소오스 플라즈마 시스템(114)은 돔(121)에 장착된 상부 코일(138) 및 측면 코일(140)을 포함한다. 대칭적인 접지 차폐부(도시하지 않음)가 상부 코일(138)과 측면 코일(140) 사이의 전기적인 커플링을 감소시킨다. 상부 코일(138)은 상부 RF 소오스 발생기(137)에 의해서 전력을 공급받는 한편, 측면 코일(140)은 측면 Rf 소오스 발생기(141)로부터 전력을 공급받으며, 그에 따라 각 코일에서 작업에 대한 독립적인 전력 레벨 및 주파수가 허용될 것이다. 이러한 듀얼 코일 시스템으로 인해서, 프로세스 챔버(110) 내에서의 방사상 이온 밀도를 제어할 수 있게 되고, 그에 따라 플라즈마 균일성을 개선할 수 있게 된다. 측면 코일(140) 및 상부 코일(138)은 프로세스 챔버(110) 내로 에너지를 유도적으로 커플링 한다. 상부 Rf 소오스 발생기(137)는 공칭적으로(nominally) 2 MHz에서 8000 W 이하의 RF 전력을 제공할 것이고, 측면 RF 소오스 발생기(141)는 공칭적으로 2 MHz에서 8000 W 이하의 RF 전력을 제공할 것이다. 플라즈마 발생 효율을 높이기 위해서, 상부 RF 발생기(137) 및 측면 RF 발생기(141)의 작동 주파수가 공칭 작동 주파수로부터 오프셋(offset)될 수 있을 것이다(예를 들어, 각각 1.7 - 1.9 MHz 및 1.9 - 2.1 MHz).
일부 실시예에서, RF 발생기(137 및 141)가 디지털 제어형 신시사이저(합성장치)를 포함하고 그리고 약 1.7 내지 약 2.1 MHz의 주파수 범위에 걸쳐 작동된다. 소위 당업자가 이해할 수 있는 바와 같이, 각 발생기(137 및 141)는 프로세스 챔버(110)와 코일(138 및 140)로부터 다시 발생기로의 반사 전력(reflected power)을 측정하고, 그리고 가장 낮은 반사 전력을 얻기 위해서 작동 주파수를 조정하는 RF 제어 회로(도시하지 않음)를 포함한다. 통상적으로, RF 발생기(137 및 141)는 50 오옴의 특성 임피던스(characteristic impedance)를 가지는 로드(load)로 작동되도록 디자인된다. RF 전력은 발생기와 상이한 특성 임피던스를 가지는 로드로부터 반사될 수 있다. 이는 로드로 전달되는 전력을 감소시킬 수 있다. 추가적으로, 로드로부터 발생기로 반사되는 전력은 발생기에 과부하를 발생시키고 손상시킬 수 있다. 플라즈마의 임피던스가 5 오옴 미만으로부터 900 오옴 초과의 범위를 가질 수 있을 것이기 때문에, 특히 플라즈마 이온 밀도에 의존하는 것, 그리고 반사 전력이 주파수의 함수일 것이기 때문에, 반사 전력에 따라서 발생기 주파수를 조정하는 것은 RF 발생기로부터 플라즈마로 전달되는 전력을 증대시키고 그리고 발생기를 보호한다. 반사 전력을 감소시키고 효율을 개선하는 다른 방법으로서 매칭 네트워크(matching network)를 이용하는 것이 있다.
매칭 네트워크(139 및 142)는 RF 발생기(137 및 141)의 출력 임피던스를 각 코일(138 및 140)과 매칭시킨다. 로드가 변화됨에 따라서 발생기를 로드에 매칭시키기 위해서 매칭 네트워크(139 및 142) 내의 커패시터 값을 변화시킴으로써, RF 제어 회로가 매칭 네트워크(139 및 142)를 튜닝할 수 있을 것이다. RF 제어 회로는 로드로부터 발생기로 다시 반사된 파워가 특정 한계를 넘어설 때 매칭 네트워크를 튜닝할 것이다. 일정한 매칭을 제공하고 그리고 매칭 네트워크로부터 RF 제어 회로를 효과적으로 디스에이블링(disable)하는 하나의 방법은 반사 전력 한계를 예상되는 반사 전력 값 보다 높게 설정하는 것이다. 이는, 매칭 네트워크를 가장 최근의 조건에서 일정하게 유지함으로써 일부 조건하에서 플라즈마를 안정화시키는데 도움이 될 것이다.
바이어스 플라즈마 시스템(116)은 RF 바이어스 발생기(144) 및 바이어스 매칭 네트워크(146)를 포함한다. 바이어스 플라즈마 시스템(116)은 정전기 척(108)을 본체 부재(126)에 용량적으로 커플링할 수 있을 것이며, 이는 상보적인 전극들로 작용한다. 바이어스 플라즈마 시스템(116)은 소오스 플라즈마 시스템(114)에 의해서 생성된 플라즈마 종을 기판(106)의 표면으로 이송하는 것을 강화하는 역할을 한다. 일부 실시예에서, RF 바이어스 발생기(144)가 13.56 MHz에서 10000 W 이하의 RF 전력을 제공할 수 있다.
또한, 다른 수단들이 플라즈마를 안정화에 도움이 될 수 있을 것이다. 예를 들어, RF 제어 회로를 이용하여 로드(플라즈마)로 전달되는 전력을 결정할 수 있을 것이고 그리고 층의 증착 동안에 전달되는 파워를 실질적으로 일정하게 유지하기 위해서 발생기 출력 파워를 증가 또는 감소시킬 수 있을 것이다.
가스 전달 시스템(118)이 다수 가스 소오스(도시하지 않음)를 포함할 수 있다. 일부 실시예에서, 가스 소오스는 실란, 분자 산소, 헬륨, 아르곤 등을 포함할 수 있다. 가스 전달 시스템(118)은 몇몇 소오스로부터의 가스들을 가스 전달 라인(119)(일부만이 도시됨)을 통해서 기판(106) 프로세싱용 프로세스 챔버(110)로 제공한다. 가스들은 가스 링(148), 상부 노즐(150), 및 상부 벤트(152)를 통해서 프로세스 챔버(110) 내로 도입된다. 가스 소오스들은 유동 제어부(도시하지 않음) 및 가스 전달 라인(119)을 통해서 가스 링(148), 상부 노즐(150), 및 상부 벤트(152)로 제공될 수 있을 것이다. 가스 전달 라인(119)은 프로세스 가스의 유량을 제어하기 위한 유동 제어부(도시하지 않음)를 구비할 수 있을 것이다. 상부 노즐(150) 및 상부 벤트(152)는 가스들의 상부 유동 및 측면 유동을 독립적으로 제어할 수 있게 허용하고, 이는 필름 균일성을 개선하고 그리고 증착 층 및 도핑 파라미터를 정밀하게 조정할 수 있게 허용한다. 상부 벤트(152)는 상부 노즐(150) 주위의 환형 개구부이고, 이를 통해서 가스가 가스 전달 시스템(118)으로부터 프로세스 챔버(110) 내로 유동될 것이다.
가스는 가스 전달 시스템(118)의 하나 또는 둘 이상의 가스 소오스로부터 유동 제어부 및 가스 전달 라인(119)을 통해서 링(148)으로 제공된다. 가스 링(148)은 기판(106) 상에서 가스의 균일한 유동을 제공하는 다수의 가스 노즐(153 및 154)(이들 중 2개 만이 도시됨)을 구비한다. 가스 링(148)을 변화시킴으로써 노즐 길이 및 노즐 각도가 변화될 수 있을 것이다. 이에 따라, 프로세스 챔버(110) 내에서 특정 프로세스에 맞춰 균일성 프로파일 및 가스 이용 효율을 조정할 수 있을 것이다. 일부 실시예에서, 가스 노즐(154)(이들 중 하나 만이 도시됨)은 제 2 가스 노즐(153)과 동일 평면적이고 그리고 그보다 짧다.
본원 발명의 일부 실시예에서, 가연성, 독성, 또는 부식성 가스들이 이용될 수 있다. 이들 경우에, 증착 후에 가스 전달 라인(119) 내에 가스가 잔류하지 않는 것이 바람직할 것이다. 이는, 프로세스 챔버(110)를 하나 또는 둘 이상의 가스 전달 라인(119)으로부터 격리하기 위해서, 그리고 하나 또는 둘 이상의 가스 전달 라인(119)을 진공 포어라인(foreline)(도시하지 않음)으로 환기하기 위해서, 하나 또는 둘 이상의 3-방향 밸브(도시하지 않음)를 이용함으로써 달성될 수 있을 것이다. 환기되지 않은 가스 전달 라인의 부피(3-방향 밸브와 프로세스 챔버 사이)를 최소화하기 위해서, 실질적으로 3-방향 밸브는 프로세스 챔버(110)에 가능한 한 근접 배치될 것이다. 추가적으로, 2-방향(온-오프) 밸브(도시하지 않음)가 질량 유량계(MFC)와 프로세스 챔버(110) 사이에 또는 가스 소오스와 MFC 사이에 배치될 수 있을 것이다.
프로세스 챔버(110)의 상부 노즐(150)로 세정 가스를 제공하기 위해서 HDP-CVD 챔버(100)가 원격 세정 RF 플라즈마 소오스(도시하지 않음)를 추가적으로 포함할 수 있다. 일부 실시예에서, 세정 가스(사용되는 경우)가 다른 위치에서 프로세스 챔버(110) 내로 도입될 것이다.
시스템 제어부(160)는 플라즈마-계 기판 프로세싱 시스템(100)의 작동을 조정하고 그리고 프로세서(162) 및 메모리(164)를 포함한다. 통상적으로, 프로세서(162)는 단일-보드 컴퓨터(SBC)의 일부이고, 이는 아날로그 및 디지털 입/출력 보드, 인터페이스 보드 및 스텝퍼 모터 제어부 보드를 포함한다. 플라즈마-계 기판 프로세싱 시스템(100)의 여러 성분들은 보드, 카드 케이지 및 커넥터 타입과 치수를 규정하고 있는 Versa Modular European(VME) 표준을 따른다. VME 표준은 16-비트 데이터 버스 및 24-비트 어드레스 버스를 구비하는 버스 구조를 추가적으로 규정한다. 프로세서(162)는 시스템 제어 소프트웨어를 실행하고, 이는 메모리(164) 내에 저장된 컴퓨터 프로그램일 수 있다. 하드 디스크 드라이브, 플로피 디스크 드라이브, 카드 랙 또는 이들의 조합과 같은 어떠한 타입의 메모리(164)도 이용될 수 있을 것이다. 시스템 제어 소프트웨어는 타이밍, 가스 혼합, 프로세스 챔버 압력, 프로세스 챔버 온도, 마이크로파 전력 레벨, 받침대 위치, 및 기타 특정 프로세스의 다른 파라미터들을 지시하는 명령어들의 세트를 포함한다.
작동 중에, 장치(100)를 이용하여 기판(106)을 프로세싱할 수 있을 것이며, 이때 입자 오염의 발생이 감소되고 배플 수명이 연장된다. 예를 들어, 본원의 사상에 따라 배플을 이용하여 기판을 프로세싱하는 방법이 도 4와 관련하여 이하에서 설명된다. 도 4는 본원 발명의 일부 실시예에 따른 기판 프로세싱 방법(400)을 도시한 흐름도이다. 도 4의 방법(400)은 도 1 및 도 2a-2b를 참조하여 추가적으로 설명된다.
방법(400)은 단계(410)에서 시작되고, 여기에서 기판은 본원 명세서의 사상에 따라 내부에 배치된 배플을 구비하는 프로세스 챔버로 제공된다. 예를 들어, 기판(106)이 배플(200) 아래에서 프로세스 챔버(110)의 기판 지지부(102) 상에 배치될 수 있다. 일부 실시예에서, 기판의 균일한 프로세싱을 돕기 위해서 그리고 프로세싱 동안에 프로세스 분위기로부터 챔버 성분들을 보호하기 위해서, 프로세싱에 앞서서 프로세스 챔버 및 배플을 시즈닝 처리할 수 있을 것이다. 예를 들어, 단계(412)에서, 프로세스 챔버(예를 들어, 프로세스 챔버(110))가 내부에 배치된 배플(예를 들어, 배플(200))을 구비할 수 있다. 단계(414)에서, 실리콘 산화물(SiO2)과 같은 시즈닝 층이 배플(200) 상에(예를 들어, 배플(200) 상의 표면에) 형성될 수 있을 것이다. 시즈닝 층은 어떠한 적절한 방식으로도 형성될 수 있을 것이다. 그러나, 금속 산화물이 표면 상에 적게 존재하는 것에 의해서 및/또는 알루미늄 질화물의 표면 처리로 인해서, 보다 균일한 시즈닝 층이 배플(200) 상에 형성될 수 있을 것이다.
다음에, 단계(420)에서, 프로세스 가스는 배플(200)을 통해서 그리고 프로세스 챔버(110) 내로 유동될 것이다. 예를 들어, 하나 또는 둘 이상의 프로세스 가스가 가스 전달 시스템(118)으로부터 적어도 배플(200)을 통해서(예를 들어, 도 2a-2b에 도시된 유입구(208), 채널(209), 및 배출구(210)를 경유하여) 플라즈마 프로세싱 영역(120)으로 제공될 수 있을 것이다. 그 대신에 또는 상기와 조합하여, 하나 또는 둘 이상의 프로세스 가스들이 상부 벤트(152)를 통해서 플라즈마 프로세스 영역(120)으로 공급되어, 외측 환형부(206)의 엣지를 경유하여 및/또는 홀(212)을 통해서 후방부(203)로부터 전방부(201)로 유동할 수 있을 것이다. 하나 또는 둘 이상의 프로세스 가스들이 플라즈마 프로세스 영역(120) 내에서 혼합될 수 있을 것이고 그리고 RF 전력을 기판 지지부(102), 상부 코일(138) 또는 측면 코일(140)내에 배치된 전극으로 인가함으로써 플라즈마로 발화(ignite)될 수 있을 것이다.
단계(430)에서, 층(도시하지 않음)이 기판(106) 상에 배치될 수 있을 것이다. 배플(200) 상에 배치된 보다 균일한 시즈닝 층 및 시즈닝 층의 개선된 부착으로 인해서, 기판(106) 상에 배치된 층은 감소된 입자 결합을 가지게 될 것이다. 층을 기판(106) 상에 증착하는 것이 완료되면, 방법(400)이 전체적으로 종료된다. 기판의 추가적인 프로세싱이 동일한 프로세스 챔버 내에서 또는 다른 프로세스 챔버 내에서 실시되어 기판(106) 상의 구조물 제조를 완성할 수 있을 것이다. 예시적인 프로세스 챔버에서 특정 프로세스에 대해서 설명하였지만, 본원 발명의 사상에 따라서 배플이 내부에 배치된 유사한 또는 다른 프로세스 챔버들 내에서 다른 프로세스들이 실시될 수도 있을 것이다. 예를 들어, 플라즈마를 형성하지 않고, 예를 들어, 비-플라즈마형 CVD 프로세스, 원자층 증착(ALD) 프로세스, 또는 배플의 표면을 보호하기 위해서 시즈닝 층을 이용하는 다른 프로세스에서 하나 또는 둘 이상의 프로세스 가스를 유동시키기 위해서 배플(200)을 이용할 수 있을 것이다. 또한, 전술한 바와 같이 배플을 유리하게 이용할 수 있는 에칭 등과 같은 다른 프로세스에서도 배플(200)을 이용할 수 있을 것이다.
그에 따라, 개선된 알루미늄 질화물 배플과 관련된 장치 및 방법이 제공되었다. 개선된 알루미늄 질화물 배플은 바람직하게 알루미늄 질화물 배플의 표면 상에서 감소된 금속 산화물 함량을 가진다. 개선된 배플은 알루미늄 질화물 배플의 표면 상에서 보다 균일한 실리콘 산화물 시즈닝 층이 형성되는 것을 촉진하고, 그리고 시즈닝 층이 배플의 표면에 보다 잘 부착되도록 촉진할 것이며, 그에 따라 프로세싱 균일성을 개선하고 그리고 프로세싱되는 동안에 기판 표면 상의 입자 결함을 감소시킨다. 개선된 알루미늄 질화물 배플은 시즈닝 층의 보다 균일한 피복(coverage)으로 인해서 보다 긴 수명을 또한 가질 것이다.
이상에서 본원 발명의 실시예들에 대해서 설명하였지만, 본원 발명의 다른 실시예들 및 추가적인 실시예들이 본원 발명의 기본적인 범위 내에서도 안출될 수 있을 것이다.

Claims (15)

  1. 반도체 프로세스 챔버에서 사용하기 위한 배플로서:
    알루미늄 질화물 및 금속 산화물 결합제를 포함하는 본체를 포함하고,
    상기 본체 표면 상에서의 알루미늄 질화물 대 금속 산화물 결합제의 비율이 상기 본체 내부에서의 비율과 같거나 그보다 큰
    배플.
  2. 제 1 항에 있어서,
    상기 금속 산화물 결합제가 이트륨 산화물 또는 에르븀 산화물 중 하나 이상을 포함하는
    배플.
  3. 제 1 항에 있어서,
    상기 본체가:
    중앙 스템; 및
    상기 중앙 스템의 하부 부분에 커플링되고 그로부터 방사상 외측으로 연장하는 외측 환형부를 더 포함하는
    배플.
  4. 제 1 항에 있어서,
    상기 중앙 스템의 상부 부분 내에 배치된 가스 유입구; 및
    상기 중앙 스템의 하부 부분 내에 배치되고 상기 가스 유입구에 유체적으로 커플링된 다수의 가스 배출구를 더 포함하는
    배플.
  5. 제 1 항에 있어서,
    상기 배플의 후방부로부터 상기 배플의 전방부까지 프로세스 가스의 유동을 돕기 위해서 상기 외측 환형부를 통해서 배치된 다수의 홀을 더 포함하는
    배플.
  6. 반도체 기판을 프로세싱하기 위한 장치로서:
    내부 체적부 및 천장에 배치된 제 1 가스 유입구를 구비하는 프로세스 챔버; 및
    제 1 항 내지 제 5 항 중 어느 한 항에 따른 배플로서, 상기 제 1 가스 유입구에 커플링되고 그리고 상기 제 1 가스 유입구로부터 상기 내부 체적부까지 제 1 프로세스 가스의 유동을 지향시키도록 구성되는, 배플을 포함하는
    반도체 기판을 프로세싱하기 위한 장치.
  7. 반도체 프로세스 챔버 내에서 사용하기 위한 배플을 제조하는 방법으로서:
    알루미늄, 질소 및 금속 산화물 결합제를 소결하여 배플의 본체를 형성하는 소결 단계로서, 상기 본체가 표면에 배치된 과다 금속 산화물 결합제를 가지는, 소결 단계; 그리고
    상기 표면으로부터 과다 금속 산화물 결합제의 벌크를 제거하는 단계를 포함하는
    배플 제조 방법.
  8. 제 7 항에 있어서,
    상기 본체의 표면으로부터 과다 금속 산화물 결합제의 벌크를 제거하는 단계가:
    상기 본체의 표면 상에서의 알루미늄 질화물 대 금속 산화물 결합제의 비율이 상기 본체 내부에서의 비율과 같거나 그보다 크도록, 상기 표면으로부터 과다 금속 산화물 결합제의 벌크를 제거하는 단계를 더 포함하는
    배플 제조 방법.
  9. 제 7 항에 있어서,
    상기 과다 금속 산화물 결합제의 벌크를 제거하는 단계가:
    상기 본체 표면의 샌드 블래스팅, 그릿 블래스팅, 습식(wet) 블래스팅, 기계적인 연마, 또는 기계적인 폴리싱 중 하나 이상에 의해서 과다 금속 산화물 결합제의 벌크를 제거하는 단계를 더 포함하는
    배플 제조 방법.
  10. 제 9 항에 있어서,
    상기 과다 금속 산화물 결합제의 벌크를 제거하는 단계가:
    상기 금속 산화물 결합제의 벌크를 제거한 후에, 상기 배플의 표면을 부식성 산을 포함하는 용액에 노출시키는 단계를 더 포함하는
    배플 제조 방법.
  11. 제 7 항에 있어서,
    상기 금속 산화물 결합제가 이트륨 산화물 또는 에르븀 산화물 중 하나 이상을 포함하는
    배플 제조 방법.
  12. 제 7 항 내지 제 11 항에 따른 방법에 의해서 형성된, 반도체 프로세스 챔버에서 사용하기 위한 배플.
  13. 반도체 기판을 프로세싱하기 위한 방법으로서:
    내부 체적부 및 지지 받침대에 대향하여 프로세스 챔버의 천장에 배치되는 제 1 가스 유입구를 가지는 프로세스 챔버 내의 기판 지지부 상에 기판을 배치하는 단계;
    상기 제 1 가스 유입구에 커플링된 배플을 통해서 그리고 내측 체적부 내로 제 1 프로세스 가스를 유동시키는 단계로서, 상기 배플이 제 1 항 내지 제 5 항 중 어느 한 항에 따르는 배플인, 제 1 프로세스 가스 유동 단계; 그리고
    제 1 프로세스 가스로 기판을 프로세싱하는 단계를 포함하는
    반도체 기판을 프로세싱하기 위한 방법.
  14. 제 13 항에 있어서,
    기판을 프로세싱하기에 앞서서 상기 배플의 표면 상에 시즈닝 층을 증착하는 단계를 더 포함하는
    반도체 기판을 프로세싱하기 위한 방법.
  15. 제 13 항에 있어서,
    제 1 및 제 2 프로세스 가스의 유동으로부터 얻어진 물질의 층을 상기 기판의 표면 상에 증착하는 단계를 더 포함하는
    반도체 기판을 프로세싱하기 위한 방법.
KR1020117006239A 2008-08-20 2009-08-20 표면 처리된 알루미늄 질화물 배플 KR20110053360A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/195,127 US9222172B2 (en) 2008-08-20 2008-08-20 Surface treated aluminum nitride baffle
US12/195,127 2008-08-20

Publications (1)

Publication Number Publication Date
KR20110053360A true KR20110053360A (ko) 2011-05-20

Family

ID=41696788

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117006239A KR20110053360A (ko) 2008-08-20 2009-08-20 표면 처리된 알루미늄 질화물 배플

Country Status (7)

Country Link
US (2) US9222172B2 (ko)
JP (2) JP5757869B2 (ko)
KR (1) KR20110053360A (ko)
CN (1) CN102132382B (ko)
SG (1) SG193208A1 (ko)
TW (1) TWI480922B (ko)
WO (1) WO2010022212A2 (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101419515B1 (ko) * 2012-09-24 2014-07-15 피에스케이 주식회사 배플 및 배플의 표면처리장치, 그리고 기판 처리 장치 및 표면 처리 방법
KR20150138666A (ko) 2014-06-02 2015-12-10 주식회사 미코 플라즈마 화학기상증착 장치용 배플 구조물 및 이의 제조 방법
KR20160070683A (ko) * 2014-12-10 2016-06-20 램 리써치 코포레이션 효과적인 혼합 및 퍼징을 위한 유입부
KR20160121429A (ko) * 2015-04-09 2016-10-19 램 리써치 코포레이션 고밀도 플라즈마 cvd 시스템들에서 제 1 웨이퍼 금속 오염 효과 제거
KR20170082129A (ko) * 2016-01-05 2017-07-13 어플라이드 머티어리얼스, 인코포레이티드 Hdp-cvd를 위한 배플 및 노즐을 갖는 냉각된 가스 피드 블록

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9222172B2 (en) * 2008-08-20 2015-12-29 Applied Materials, Inc. Surface treated aluminum nitride baffle
TW201123291A (en) * 2009-09-25 2011-07-01 Applied Materials Inc Method and apparatus for high efficiency gas dissociation in inductive coupled plasma reactor
US8968537B2 (en) 2011-02-09 2015-03-03 Applied Materials, Inc. PVD sputtering target with a protected backing plate
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US10316409B2 (en) * 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US9399228B2 (en) * 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9551070B2 (en) * 2014-05-30 2017-01-24 Applied Materials, Inc. In-situ corrosion resistant substrate support coating
KR20160002059A (ko) * 2014-06-30 2016-01-07 삼성전자주식회사 하드 마스크 제거 방법
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9589846B1 (en) * 2016-01-25 2017-03-07 United Microelectronics Corp. Method of forming semiconductor device
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US10409295B2 (en) * 2016-12-31 2019-09-10 Applied Materials, Inc. Methods and apparatus for enhanced flow detection repeatability of thermal-based mass flow controllers (MFCS)
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
KR20180134182A (ko) * 2017-06-08 2018-12-18 삼성전자주식회사 플라즈마 처리 장치
US20190131112A1 (en) * 2017-10-30 2019-05-02 Mattson Technology, Inc. Inductively Coupled Plasma Wafer Bevel Strip Apparatus
US11761079B2 (en) 2017-12-07 2023-09-19 Lam Research Corporation Oxidation resistant protective layer in chamber conditioning
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US20220307129A1 (en) * 2021-03-23 2022-09-29 Applied Materials, Inc. Cleaning assemblies for substrate processing chambers

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3078671B2 (ja) * 1992-11-26 2000-08-21 日本碍子株式会社 耐蝕性部材、その使用方法およびその製造方法
JP3145519B2 (ja) * 1992-12-28 2001-03-12 京セラ株式会社 窒化アルミニウム質焼結体
JPH06219844A (ja) * 1993-01-27 1994-08-09 Showa Denko Kk AlN焼結体およびその製造方法
JPH10296610A (ja) * 1997-04-28 1998-11-10 Sony Corp 研磨方法
JP2000086346A (ja) * 1998-07-10 2000-03-28 Sumitomo Electric Ind Ltd セラミックス基材
US6383964B1 (en) 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
JP2001233676A (ja) * 2000-02-23 2001-08-28 Taiheiyo Cement Corp プラズマ耐食部材及びその製造方法
JP2002031972A (ja) * 2000-05-10 2002-01-31 Sumitomo Electric Ind Ltd トナー定着器用セラミックスヒータ及びその製造方法
WO2002083596A1 (fr) * 2001-04-13 2002-10-24 Sumitomo Electric Industries, Ltd. Article ceramique assemble, structure de maintien de substrat et appareil permettant de traiter les substrats
JP3973872B2 (ja) 2001-10-17 2007-09-12 住友大阪セメント株式会社 電極内蔵型サセプタ及びその製造方法
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
JP4529608B2 (ja) * 2004-09-16 2010-08-25 株式会社村田製作所 超音波接合装置
US7552521B2 (en) 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
US7722719B2 (en) * 2005-03-07 2010-05-25 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
JP2007042672A (ja) 2005-07-29 2007-02-15 Ibiden Co Ltd プラズマプロセス装置用チャンバー部材及びその製造方法
JP2008053390A (ja) * 2006-08-23 2008-03-06 Sumitomo Metal Electronics Devices Inc 窒化アルミニウム多層基板
US7740706B2 (en) 2006-11-28 2010-06-22 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US9222172B2 (en) * 2008-08-20 2015-12-29 Applied Materials, Inc. Surface treated aluminum nitride baffle
CN102484188B (zh) * 2009-07-31 2015-02-18 电气化学工业株式会社 Led搭载用晶片及其制造方法、以及使用该晶片的led搭载结构体

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101419515B1 (ko) * 2012-09-24 2014-07-15 피에스케이 주식회사 배플 및 배플의 표면처리장치, 그리고 기판 처리 장치 및 표면 처리 방법
KR20150138666A (ko) 2014-06-02 2015-12-10 주식회사 미코 플라즈마 화학기상증착 장치용 배플 구조물 및 이의 제조 방법
KR20160070683A (ko) * 2014-12-10 2016-06-20 램 리써치 코포레이션 효과적인 혼합 및 퍼징을 위한 유입부
KR20160121429A (ko) * 2015-04-09 2016-10-19 램 리써치 코포레이션 고밀도 플라즈마 cvd 시스템들에서 제 1 웨이퍼 금속 오염 효과 제거
KR20170082129A (ko) * 2016-01-05 2017-07-13 어플라이드 머티어리얼스, 인코포레이티드 Hdp-cvd를 위한 배플 및 노즐을 갖는 냉각된 가스 피드 블록

Also Published As

Publication number Publication date
JP2015146459A (ja) 2015-08-13
JP5757869B2 (ja) 2015-08-05
US20160145743A1 (en) 2016-05-26
TWI480922B (zh) 2015-04-11
SG193208A1 (en) 2013-09-30
WO2010022212A2 (en) 2010-02-25
US9222172B2 (en) 2015-12-29
CN102132382A (zh) 2011-07-20
JP2012500505A (ja) 2012-01-05
US10214815B2 (en) 2019-02-26
CN102132382B (zh) 2014-04-02
TW201017719A (en) 2010-05-01
US20100048028A1 (en) 2010-02-25
WO2010022212A3 (en) 2010-05-14

Similar Documents

Publication Publication Date Title
US10214815B2 (en) Surface treated aluminum nitride baffle
US8409355B2 (en) Low profile process kit
US8108981B2 (en) Method of making an electrostatic chuck with reduced plasma penetration and arcing
US9202736B2 (en) Method for refurbishing an electrostatic chuck with reduced plasma penetration and arcing
US7848076B2 (en) Method and apparatus for providing an electrostatic chuck with reduced plasma penetration and arcing
KR101025232B1 (ko) 아킹이 감소된 정전 척
US9551070B2 (en) In-situ corrosion resistant substrate support coating
US20040126952A1 (en) Gas delivery system for semiconductor processing
US10577689B2 (en) Sputtering showerhead
JP5140516B2 (ja) プラズマ侵入及びアーキングを減少させた静電チャックを準備するための方法及び装置
KR102216500B1 (ko) 웨이퍼 가스방출을 위한 플라즈마 강화 어닐링 챔버
US6436303B1 (en) Film removal employing a remote plasma source
JP4808716B2 (ja) 半導体処理におけるマイクロコンタミネーションの削減

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid