JP2015146459A - 表面処理された窒化アルミニウム製バッフル - Google Patents

表面処理された窒化アルミニウム製バッフル Download PDF

Info

Publication number
JP2015146459A
JP2015146459A JP2015084016A JP2015084016A JP2015146459A JP 2015146459 A JP2015146459 A JP 2015146459A JP 2015084016 A JP2015084016 A JP 2015084016A JP 2015084016 A JP2015084016 A JP 2015084016A JP 2015146459 A JP2015146459 A JP 2015146459A
Authority
JP
Japan
Prior art keywords
baffle
metal oxide
gas
substrate
aluminum nitride
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2015084016A
Other languages
English (en)
Inventor
ムハンマド エム ラシード
M Rasheed Muhammad
ムハンマド エム ラシード
ドミトリー ルボミルスキー
Lubomirsky Dmitry
ドミトリー ルボミルスキー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2015146459A publication Critical patent/JP2015146459A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B35/00Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products
    • C04B35/515Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics
    • C04B35/58Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics based on borides, nitrides, i.e. nitrides, oxynitrides, carbonitrides or oxycarbonitrides or silicides
    • C04B35/581Shaped ceramic products characterised by their composition; Ceramics compositions; Processing powders of inorganic compounds preparatory to the manufacturing of ceramic products based on non-oxide ceramics based on borides, nitrides, i.e. nitrides, oxynitrides, carbonitrides or oxycarbonitrides or silicides based on aluminium nitride
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B40/00Processes, in general, for influencing or modifying the properties of mortars, concrete or artificial stone compositions, e.g. their setting or hardening ability
    • C04B40/0092Temporary binders, mortars or concrete, i.e. materials intended to be destroyed or removed after hardening, e.g. by acid dissolution
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Structural Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Ceramic Products (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

【課題】改善された窒化アルミニウム製バッフルとその製造方法及び装置を提供する。【解決手段】幾つかの実施形態において、半導体プロセス・チャンバで用いるためのバッフル(200)が、窒化アルミニウムと金属酸化物結合剤とを含む本体(202)を含むことができ、本体の表面上における金属酸化物に対する窒化アルミニウムの比は、該本体内における該比より大きいか又はこれと等しい。本体は、中央ステム(204)と、該中央ステムの下部に結合され、そこから半径方向外方に延びる外側環(206)とを有することができる。バッフルを製造する方法は、アルミニウム、窒素、及び金属酸化物結合剤を焼結して、その表面上に過剰な金属酸化物結合剤が配置されたバッフルの本体を形成し、表面から過剰な金属酸化物結合剤のバルクを除去するステップを含むことができる。【選択図】図2A

Description

本発明の実施形態は、一般に、半導体処理装置に関し、より具体的には、半導体基板を処理するための窒化アルミニウム製バッフルに関する。
幾つかの高密度プラズマ化学気相堆積(HDP−CVD)プロセス・チャンバにおいては、基板の処理中、ガスの注入及び分配のためにバッフルを用いることができる。HDP−CVDチャンバ用のバッフルは、典型的には、酸化アルミニウム(Al23)で構成される。しかしながら、より小さいデバイス・ノードにアクセスするために高RF電力のHDP−CVDプロセスを用いると、高温により、酸化アルミニウムと、例えば、HDP−CVDチャンバ用の洗浄ガスとして用いることができる三フッ化窒素等のプロセス・ガスとの反応がもたらされる。従って、他の要因の中でも特に、改善された熱伝導率のために、酸化アルミニウム製バッフルは、窒化アルミニウム(AlN)製バッフルに置き換えられた。
残念なことに、窒化アルミニウム製バッフルは、幾つかの好都合な特性を有するものの、他の問題が残っている。例えば、窒化アルミニウム製バッフルは、一般に、金属酸化物結合剤を含む焼結プロセスにより製造される。金属酸化物結合剤は、高熱伝導率の焼結窒化アルミニウムをもたらす。しかしながら、焼結後にバッフルの表面上に存在するこれらの結合剤は、望ましくないことに、基板の処理前にバッフルをシーズニングする際、酸化シリコン(SiO2)層の接着を妨げる。例えば、シーズニングは、バッフルの材料による基板の汚染を防止することができ、処理又は洗浄中、反応性ガスからバッフルを保護することができる。しかしながら、接着が不十分な酸化シリコンのシーズニング層が、バッフルの表面から剥がれ落ち、従って、処理される基板が汚染されることがあり、また、基板の処理又はチャンバの洗浄中に供給される反応性ガスにより、バッフルが損傷しやすいままとなることもある。金属酸化物結合剤を用いずに、この問題を解消することもできるが、望ましくないことに、そうした手法は、バッフルの熱伝導率を低下させる。
従って、当技術分野において、改善された窒化アルミニウム製バッフル、及びこれを製造する方法に対する必要性がある。
本明細書において、窒化アルミニウム製バッフルに関する方法及び装置が提供される。幾つかの実施形態において、半導体プロセス・チャンバで用いるためのバッフルは、窒化アルミニウムと金属酸化物結合剤とを含む本体を含むことができ、本体の表面上における金属酸化物結合剤に対する窒化アルミニウムの比は、該本体内における該比より大きいか又はこれと等しい。幾つかの実施形態において、本体は、中央ステムと、該中央ステムの下部に結合され、そこから半径方向外方に延びる外側環とを有することができる。
幾つかの実施形態において、半導体基板を処理するための装置が、内部体積と、その天井内に配置された第1のガス入口とを有するプロセス・チャンバと、第1のガス入口に結合され、第1のプロセス・ガスの流れを第1のガス入口から内部体積に向けるように構成されたバッフルとを有し、該バッフルは、窒化アルミニウムと金属酸化物結合剤とを含む本体を含み、本体の表面上における金属酸化物結合剤に対する窒化アルミニウムの比は、該本体内における該比より大きいか又はこれと等しい。
幾つかの実施形態において、半導体プロセス・チャンバで用いるためのバッフルは、アルミニウム、窒素、及び金属酸化物結合剤を焼結して、その表面上に過剰な金属酸化物結合剤が堆積されたバッフルの本体を形成し、表面から過剰な金属酸化物結合剤のバルクを除去することを含む方法によって形成することができる。
本発明の幾つかの態様において、半導体プロセス・チャンバで用いるためのバッフルを製造する方法が提供される。幾つかの実施形態において、半導体プロセス・チャンバで用いるためのバッフルを製造する方法は、アルミニウム、窒素、及び金属酸化物結合剤を焼結して、その表面上に過剰な金属酸化物結合剤が配置されたバッフルの本体を形成し、表面から過剰な金属酸化物結合剤のバルクを本体の除去するステップを含むことができる。
本発明の幾つかの態様において、半導体基板を処理する方法が提供される。幾つかの実施形態において、半導体基板を処理する方法は、内部体積と、支持部ペデスタルの反対側にある該プロセス・チャンバの天井内に配置された第1のガス入口とを有するプロセス・チャンバ内の基板支持部上に基板を配置するステップと、第1のプロセス・ガスを、金属酸化物結合剤を有する窒化アルミニウム本体を含む、第1のガス入口に結合されたバッフルを通して、内部体積内に流すステップとを含み、ここで、本体の表面上における金属酸化物結合剤に対する窒化アルミニウムの比は、該本体内における該比より大きいか又はこれと等しく、基板を第1のプロセス・ガスで処理するステップをさらに含むことができる。本発明の他の及び更に別の実施形態が、以下に説明される。
本発明の上述の特徴を詳細に理解することができるように、その幾つかが添付図面に示される実施形態を参照することによって、上記で簡潔に要約された本発明のより具体的な説明をなすことができる。しかしながら、添付図面は、本発明の典型的な実施形態のみを図示するものであり、従って、本発明の範囲を限定するものとみなすべきではなく、本発明は他の等しく有効な実施形態も認め得ることに留意すべきである。
理解を容易にするために、可能な場合には、図に共通の同じ要素を示すように、同じ参照符号が使用されている。図は、縮尺通りに描かれてはいず、明確にするために簡単化されていることがある。更に詳述することなく、1つの実施形態の要素及び特徴を、他の実施形態に有利に組み込むことができると考えられる。
本発明の幾つかの実施形態に従って用いられる例示的なプロセス・チャンバの概略図を示す。 本発明の幾つかの実施形態によるバッフルの概略図を示す。 本発明の幾つかの実施形態によるバッフルの概略図を示す。 本発明の幾つかの実施形態によるバッフルの製造方法についての流れ図を示す。 本発明の幾つかの実施形態による基板を処理する方法についての流れ図を示す。 異なる倍率の下での従来のバッフルの表面の図を示す。 異なる倍率の下での従来のバッフルの表面の図を示す。
本明細書において、改善された窒化アルミニウム製バッフルを用いて半導体基板を処理するための方法及び装置が提供される。幾つかの実施形態においては、半導体プロセス・チャンバで用いるためのバッフルが、窒化アルミニウムと金属酸化物結合剤とを含む本体を含む。本体の表面上における金属酸化物に対する窒化アルミニウムの比は、本体内における該比より大きい。本体の表面上における金属酸化物の量の減少により、バッフルの表面に対する酸化シリコン・シーズニング層の接着の改善が容易になり、そのことは、さもなければ、窒化アルミニウム製バッフルから剥がれ落ちることがある粒子による、処理中の基板の汚染をさらに防止し、反応性プロセス・ガスによる損傷からバッフルをさらに保護することができる。幾つかの実施形態においては、窒化アルミニウム製バッフルを製造する方法が提供される。この製造方法は、本体の表面上における金属酸化物に対する窒化アルミニウムの比が、本体内における該比より大きい、窒化アルミニウム製バッフルの製造を容易にできるという利点をもたらす。
本発明の窒化アルミニウム製バッフルは、高密度プラズマ化学気相堆積(HDP−CVD)を行なうように適合されたものなど、好適なプロセス・チャンバに用いることができる。プロセス・チャンバは、単一のチャンバとして構成してもよく、又は代替的に、クラスタ・ツールの一部として統合してもよい。こうした2つの好適なシステムは、どちらもApplied Materials,Inc.社から入手可能な300mmのHDP−CVD ULTIMA X及びCENTURA(登録商標) ULTIMA HDP−CVDである。HDP−CVDチャンバは、窒化アルミニウムを用いることができる1つの例示的なチャンバにすぎないと考えられる。窒化アルミニウム製バッフルを受けるように適合された1つ又はそれ以上のガス入口を有するいずれの好適なチャンバも、本発明の実施形態による恩恵を受けることができる。
図1は、本発明の幾つかの実施形態による、バッフル200を有する例示的なHDP−CVDチャンバ100の概略図を示す。HDP−CVDチャンバ100は、基板を処理するプラズマ環境を生成し、維持しながら、シリコン(Si)基板、ヒ化ガリウム(GaAs)基板等のような基板の温度制御処理に用いることができる。基板の処理中、プラズマは、基板の近くに生成され、基板の温度は、熱伝導流体を基板の裏面に供給することなどにより、種々の技術を用いて制御される。
HDP−CVDチャンバ100は、基板支持部102を有する内部体積101を有し、バッフル200が内部に配置されたプロセス・チャンバ110を含む。プロセス・チャンバ110は、真空システム112と、ソース・プラズマ・システム114と、バイアス・プラズマ・システム116と、ガス供給システム118と、遠隔プラズマ洗浄システム(図示せず)とをさらに含むことができる。
処理中、上に配置された基板106を支持するために、プロセス・チャンバ110内に基板支持部102を配置することができる。基板支持部102は、基板106を基板支持部102にクランプするための静電チャック108、又は、以下により詳細に説明されるような他の構成要素等の、種々の構成要素を含むことができる。随意的に、プロセス・キット(図示せず)を用いて、基板106が上に配置されたときに、該基板106で覆われない基板支持部102の少なくとも一部を覆うことができる。処理中の基板106の表面の汚染を減らし、チャンバ洗浄プロセス中の洗浄時間を減らすように、プロセス・キットを構成することができる。
プロセス・チャンバ110の上部は、ドーム121を含むことができ、このドーム121は、アルミナ又は窒化アルミニウム等の誘電材料で作製することができる。このドーム121は、プラズマ処理領域120の上方境界を定める。プラズマ処理領域120の底部は、基板106及び基板支持部102によって境界される。
ヒーター・プレート122及び冷却プレート124が、ドーム121の上に載っており、該ドーム121に熱的に結合される。ヒーター・プレート122及び冷却プレート124は、摂氏約100度から200度までの範囲にわたって、およそ摂氏+/−10度の範囲内でドーム温度を制御することを可能にする。このことにより、種々のプロセスに対してドーム温度を最適化することが可能になる。例えば、堆積プロセスのためよりも高い洗浄又はエッチング・プロセスのための温度にドームを維持することが望ましい場合がある。ドーム温度の正確な制御はまた、プロセス・チャンバ内の剥片又は粒子カウントも減らし、堆積された層と基板との間の接着を改善する。
ドーム121は、内部に配置された上部ノズル150と、該ドーム121を通って配置された上部通気孔152とを含むことができ、ガス供給システム118に関して以下にさらに説明されるように、これらを用いて、ガスをプロセス・チャンバ110内に導入することができる。図1及び図2A−図2Bに関して以下に説明されるように、上部ノズル150は、ガス入口(例えば、図2Aに関して以下に説明されるガス入口208)においてバッフル200に結合され、上部通気孔152は、プロセス・チャンバ110に通じており、バッフル200の裏側(例えば、図2Aに関して以下に説明される裏側203)に向けられる。
図2A−図2Bを参照すると、バッフル200は、一般に、プロセス・チャンバ内でガスを所望のように向けるための形状を有する本体202を含む。例えば、バッフル200は、ガス入口(図1に示す上部ノズル150のような)と基板支持部(図1に示す基板支持部102のような)との間に配置することができ、かつ、プロセス・ガスを所望の通りに向けるように、ドーム121(図1に示す)から隔てられ、これと対向する裏側203と、基板106及び基板支持部102(図1に示す)と向き合って配置された前側201とを含むことができる。バッフル200は、一般に、窒化アルミニウムと、金属酸化物結合剤とを含む。バッフル200は、本体202の表面上における金属酸化物に対する酸化アルミニウムの比が、本体202内における金属酸化物に対する酸化アルミニウムの比より大きい。
金属酸化物結合剤、及び、金属酸化物に対する酸化アルミニウムの比についての説明を含む、窒化アルミニウム製バッフルの製造方法が、本発明の幾つかの実施形態に従った、窒化アルミニウム製バッフルを製造する方法300を示す流れ図を示す図3に関連して、以下に説明される。
この方法300は、アルミニウム、窒素、及び金属酸化物結合剤からバッフル(又は本体202)を焼結することができる310で始まる。一般に、焼結プロセスは、粉末が互いに接着して固体(例えば、本体202)を形成するまで、圧力をかけて粉末を融点未満に加熱することを含む。幾つかの実施形態においては、窒化アルミニウム粉末及び金属酸化物粉末を焼結し、本体202を形成することができる。
金属酸化物結合剤を用いて、窒化アルミニウムの粒子の接着を容易にし、バッフル202の熱伝導率を向上させることができる。幾つかの実施形態においては、金属酸化物結合剤は、酸化イットリウム又は酸化エルビウムの少なくとも一方を含む。金属酸化物結合剤を窒化アルミニウム粉末に添加し、次いで焼結してもよく、又は代替的に、焼結前に付加的な金属酸化物剤を必要としないように、窒化アルミニウム粉末が、十分な量の金属酸化物不純物を含んでいてもよい。幾つかの実施形態においては、約0.1質量パーセントから約10質量パーセントまでの間の金属酸化物が、バッフル200内に存在し得る。
本発明者らは、従来の窒化アルミニウム製バッフルに関する幾つかの問題に気付いた。例えば、本発明者らは、酸化シリコン・シーズニング層などの不均一な被覆が、従来の窒化アルミニウム製バッフルの表面上に生じる傾向があることに気付いた。こうした不均一な被覆は、被覆の剥がれ落ち(例えば、被覆の不均一な蓄積、又は、バッフルの表面上の金属酸化物の遊離砥粒が原因の)、及び、後に起こり得る基板の汚染、有害なプロセス・ガスへのバッフルの曝露などをもたらしかねない。この問題を調べることによって、本発明者らは、従来の焼結技術を用いた場合、焼結プロセスにより、バッフルの表面上に過剰な金属酸化物が配置されたバッフルがもたらされることに気付いた。例えば、図5Aは、100倍の倍率で表示された、窒化アルミニウム製バッフルの実際の表面の概略図を示し、窒化アルミニウム502の表面上に存在する過剰な金属酸化物504の領域を明確に示す。過剰な金属酸化物504の領域は、ずっと暗い色の窒化アルミニウム502の上にある白っぽい又は明るい色の領域として見られる。
図5Bは、500倍の倍率で表示された、窒化アルミニウム製バッフルの実際の表面の概略図を示し、窒化アルミニウム502の表面上に存在する過剰な金属酸化物504の領域を明確に示す。より拡大された図5Bにより明確に見られるように、過剰な金属酸化物504の粒子構造は、窒化アルミニウム502の粒子構造と全く異なる。さらに、過剰な金属酸化物504は、窒化アルミニウム502内により均一に配置又は統合されるものと比べて、窒化アルミニウム502の上に配置された領域すなわち「島」として形成されているように見える。焼結プロセス中に金属酸化物結合剤が絞り出され、移動するために、表面上に配置された過剰な金属酸化物が、本体のほぼ全体にわたる量より多く存在することがある。本発明者らは、従来のバッフルにおいて観察される問題は、少なくとも部分的には、窒化アルミニウム製バッフルの表面上における過剰な金属酸化物の存在に起因するものであると考える。
従って、本発明者らは、バッフルの表面上の過剰な金属酸化物をなくす又は減らすことにより、従来の窒化アルミニウム製バッフルに優る利点を提供できると考える。従って、幾つかの実施形態において、バッフル200は、本体202内における比より大きいか又はこれに等しい、表面上における金属酸化物に対する窒化アルミニウムの比を有することができる。幾つかの実施形態においては、バッフル200には、実質的に、本体202の表面上に過剰な金属酸化物がない場合がある。
図3に戻ると、320において、バッフル200(例えば、本体202)の表面から、過剰な金属酸化物結合剤のバルク(例えば、大部分)が除去される。幾つかの実施形態においては、例えば、サンド・ブラスト、グリット・ブラスト、ウェット・ブラスト、機械研削、機械研磨等のうちの少なくとも1つによるバルク除去ステップにおいて、バッフル200又は本体202の表面から、過剰な金属酸化物結合剤のバルクを除去することができる(図3の322に示されるような)。幾つかの実施形態においては、表面洗浄及び処理ステップが、腐食性の酸で洗浄することを含むことができる(図3の324に示されるような)。好適な腐食性の酸は、硝酸(HNO3)、塩酸(HCl)、水酸化ケイ素(SiH4)等を含むことができる。腐食性の酸は、脱イオン(DI)水中で適切な濃度に希釈することができる。腐食性の酸とDI水との洗浄溶液を必要に応じて薄く又は濃くし、金属酸化物の最終的な除去及び/又はバッフルの窒化アルミニウム表面の処理を行なうことができる。窒化アルミニウムの表面洗浄及び処理は、バッフルの表面上又はその近くのあらゆる過剰な金属酸化物の除去を容易にするだけではなく、窒化アルミニウム製バッフルの表面をエッチングするか、又は他の方法で改質し、その上に形成される層(酸化シリコン・シーズニング層のような)のより大きな接着を助長することもできる。
バッフル200(又は、本体202)の表面から過剰な金属酸化物結合剤を除去することにより、本体の表面上における金属酸化物に対する窒化アルミニウムの比が、本体内における比より大きいか又はこれと等しいバッフルを提供することが容易になる(例えば、表面は、主として、本体におけるような、窒化アルミニウム及び金属酸化物の類似融合体である)。例えば、図5A−図5Bに示されるような従来のバッフルの表面の図と比較すると、バッフル200の表面の観察では、同じ倍率において、バッフル200の表面上に視覚的に検出可能な金属酸化物は見られない。
バッフル200の表面からの過剰な金属酸化物のバルクの除去が完了すると、本方法300は一般に終了し、図1に関して上述されるように、バッフル200は、必要に応じてさらに処理することができ、又は、プロセス・チャンバに取り付けることができる。
図2に戻ると、幾つかの実施形態においては、バッフル200は、中央ステム204及び外側環206を有することができるが、他のチャンバ又は用途においては、他の幾何学的形状を用いてもよい。図1に関して上述されたように、中央ステム204は、該中央ステム204を上部ノズル150に結合するための、該中央ステム204の上部に配置されたガス入口208を含む。中央ステム204は、該中央ステム204の下部内に配置され、かつ、チャネル209を介してガス入口208に流体結合された複数のガス出口210をさらに含むことができる。複数のガス出口210により、ガス入口208を介する、上部ノズル150から、バッフル200の前側201へのガスの流れが容易になる。
図2Aに示すように、中央ステム204の下部は、バッフル200の前側201上の外側環206の表面に対して、前側201上の隆起面を有する。幾つかの実施形態においては、隆起面を用いて、各々のガス出口210を出るガスが、バッフル200の中心軸(又は、その下に配置される基板の中心軸)に対して角度をなして流れるように、各々のガス出口210からのガスの流れを向けることができる。しかしながら、中央ステム204の下部は、代替的に、バッフル200の前側201上に、平面形状、陥凹形状、又は他の表面形状を有してもよい。さらに、複数のガス出口210のうちの1つ又はそれ以上を、平行に、直角に、又はそれらの間のあらゆる好適な角度に配向して、基板106の表面に対するガスの流れを容易にすることができる。
図2Bを参照すると、幾つかの実施形態においては、複数のガス出口210を、バッフル200の前側201上に円形パターンで均等に離間配置することができる。代替的に、複数のガス出口210を、基板106の表面へのガスの流れを容易にするのに必要な任意の好適なパターンで離間配置することもでき、従って、その構成は、均等又は円形に離間配置することに限定されるものではない。
図2Aを参照すると、幾つかの実施形態においては、外側環206は、これを通って配置される複数の孔212を含むことができる。この複数の孔212は、バッフル200の裏側203から前側201へのガスの流れを容易にすることができる。さらに、外側環206は付加的に、又は複数の孔212がない場合、外側環206の縁部の周りを流れるガスを介して、裏側203から前側201へのガスの流れを容易にすることができる。例えば、図1に示すように、上部通気孔152を介してバッフル200の裏側203に供給されたガスは、一部が外側環206の周りにそらされ、一部が外側環206内に形成された複数の孔212を通って流れ、プロセス・チャンバ内に所望のガス分配を提供することができる。
図2Bを参照すると、複数の孔212は、例えば、円形パターンで均等に配置することができる。幾つかの実施形態においては、示されるように、各々のガス出口210の間に各々の孔212を配置することができる。代替的に、各々の孔212を各々のガス出口210に対して任意の好適な構成で位置合わせ又は配向し、プロセス・チャンバ内のプロセス・ガスの流れを容易にすることができる。
図1に戻ると、プロセス・チャンバ110の下部は、該プロセス・チャンバ110を真空システム112に接合する本体部材126を含むことができる。基板支持部102は、本体部材126内に取り付けることができる。静電チャック108又は他の機構を基板支持部102内又はその上に設けて、基板106を該基板支持部102に固定することができる。プロセス・チャンバ110の内側の挿入/取り外し用開口部128を通して、ロボット・ブレード(図示せず)により、基板106をプロセス・チャンバ110の内外に出し入れすることができる。空気圧アクチュエータ(図示せず)が、リフトピン・プレート(図示せず)を上下させ、該リフトピン・プレートがリフト・ピン(図示せず)を上下させ、該リフトピンが基板106を上下させる。プロセス・チャンバ110内に移送されると、基板106は、持ち上がったリフトピン上に配置され、次いで、基板支持部102の表面の上に下げられる。
真空システム112は、マルチブレード・スロットル弁132を収容し、ゲート弁134及びターボ分子ポンプ136に取り付けられたスロットル本体130を含む。スロットル本体130は、ガス流に対する障害を最小にすることができ、対称的なポンピングを可能にする。ゲート弁134は、ターボ分子ポンプ136をスロットル本体130から隔離し、さらに、スロットル弁132が全開のときに排気流量を制限することにより、プロセス・チャンバ110の圧力を制御することができる。スロットル弁132、ゲート弁134、及びターボ分子ポンプ136の構成は、約1ミリトールから100ミリトールまでの、プロセス・チャンバ110内の圧力の正確かつ安定した制御を可能にする。
ソース・プラズマ・システム114は、ドーム121上に取り付けられた上部コイル138及び側部コイル140を含む。対称的な接地シールド(図示せず)が、上部コイル138と側部コイル140との間の電気的結合を低減させる。上部コイル138は、上部RFソース発生器137により電力供給され、一方、側部コイル140は、側部RFソース発生器141により電力供給され、各々のコイルについて独立した電力レベル及び動作周波数を可能にする。この二重コイル・システムは、プロセス・チャンバ110におけるラジカルイオン密度の制御を可能にし、それにより、プラズマの均一性が改善される。側部コイル140及び上部コイル138は、プロセス・チャンバ110内のエネルギーを誘導結合する。上部RFソース発生器137は、公称2MHzにおいて8000WまでのRF電力を提供し、側部RFソース発生器141は、公称2MHzにおいて8000WまでのRF電力を提供することができる。上部RFソース発生器137及び側部RFソース発生器141の動作周波数を、公称動作周波数(例えば、それぞれ1.7−1.9MHz及び1.9−2.1MHz)からオフセットさせ、プラズマ発生効率を向上させることもできる。
幾つかの実施形態においては、RF発生器137及び141は、デジタル制御式シンセサイザを含み、約1.7MHzから約2.1MHzまでの周波数範囲にわたって動作する。当業者であれば理解するように、発生器137及び141の各々は、プロセス・チャンバ110並びにコイル138及び140から発生器に戻る反射電力を測定し、動作周波数を調整して、最も低い反射電力を得る、RF制御回路(図示せず)を含むことができる。RF発生器137及び141は、典型的には、50オームの特性インピーダンスを有する負荷で動作するように設計される。RF電力は、発生器とは異なる特性インピーダンスを有する負荷から反射されることがある。このことは、負荷に伝達される電力を減らすことがある。付加的に、負荷から再び発生器に反射される電力が、発生器を過負荷状態にし、これを損傷させることがある。プラズマのインピーダンスは、他の要因の中でも特にプラズマ・イオン密度に応じて、5オーム未満から900オーム超までの範囲に及ぶことがあり、反射電力は、周波数の関数であるため、反射電力に従って発生器の周波数を調整することにより、RF発生器からプラズマに伝達される電力が増大し、発生器が保護される。反射電力を減らし、効率を向上させる別の方法は、整合回路を用いるものである。
整合回路139及び142は、RF発生器137及び141の出力インピーダンスを、それぞれコイル138及び140と整合させる。RF制御回路は、整合回路139及び142内のコンデンサの値を変化させることによって整合回路139及び142を調整し、負荷が変化したときに、発生器を負荷に整合させることができる。負荷から再び発生器に反射された電力が一定の限度を超えたとき、RF制御回路は、整合回路を調整することができる。一定の整合をもたらし、RF制御回路を効率的にディスエーブルにして整合回路を調整できなくする1つの方法は、反射電力の限度を、反射電力のあらゆる予想値より高く設定することである。このことは、最新の状態で整合回路を一定に保持することにより、幾つかの条件下でプラズマを安定化させる助けとなり得る。
バイアス・プラズマ・システム116は、RFバイアス発生器144と、バイアス整合回路146とを含む。バイアス・プラズマ・システム116は、静電チャック108を本体部材126に容量結合させ、補完的な電極として働くことができる。バイアス・プラズマ・システム116は、基板106の表面への、ソース・プラズマ・システム114により生成されたプラズマ種の伝達を強化するように働く。幾つかの実施形態においては、RFバイアス発生器144は、13.56MHzにおいて、10000WまでのRF電力を提供することができる。
他の手段もプラズマの安定化を助けることができる。例えば、RF制御回路を用いて、負荷(プラズマ)に供給される電力を求め、発生器の出力電力を増減させて、層の堆積中に供給される電力を実質的に一定に保持することができる。
ガス供給システム118は、複数のガス源(図示せず)を含むことができる。幾つかの実施形態においては、ガス源は、シラン、分子酸素、ヘリウム、アルゴンなどを含むことができる。ガス供給システム118は、ガス供給ライン119(一部だけを示す)を介して、基板106を処理するために、幾つかの源からプロセス・チャンバ110にガスを提供する。ガスは、ガス・リング148、上部ノズル150及び上部通気孔152を通って、プロセス・チャンバ110に導入される。ガス源は、流量コントローラ(図示せず)及びガス供給ライン119を介して、ガス・リング148、上部ノズル150及び上部通気孔152に提供することができる。ガス供給ライン119は、プロセス・ガスの流量を制御するための流量コントローラ(図示せず)を有することができる。上部ノズル150及び上部通気孔152により、ガスの上部の流れ及び側部の流れを独立して制御することが可能になり、それにより、膜の均一性が改善され、堆積層とドーピング・パラメータの微調整が可能になる。上部通気孔152は、これを通って、ガスがガス供給システム118からプロセス・チャンバ110に流入できる、上部ノズル150の周りの環状開口部である。
ガスは、流量コントローラ及びガス供給ライン119を介して、ガス供給システム118の1つ又はそれ以上のガス源からガス・リング148に提供される。ガス・リング148は、基板106にわたって均一なガスの流れを与える複数のガス・ノズル153及び154(2つだけを示す)を有する。ガス・リング148を変化させることによって、ノズル長及びノズル角度を変化させることができる。このことは、プロセス・チャンバ110内の特定のプロセスに対する均一性プロファイル及びガス利用効率の調整を可能にする。幾つかの実施形態においては、ガス・ノズル154(1つだけを示す)は、第2のガス・ノズル153と同一平面上にあり、これより短い。
本発明の幾つか実施形態においては、可燃性ガス、有毒ガス、又は腐食性ガスを用いることができる。こうした場合、堆積後、ガス供給ライン119内に残っているガスを排除することが望ましい。このことはさらに、1つ又はそれ以上の三方弁(図示せず)を用いて、プロセス・チャンバ110を1つ又はそれ以上のガス供給ライン119から隔離し、かつ、1つ又はそれ以上のガス供給ライン119を真空フォアライン(図示せず)にガス抜きすることによって達成することができる。できる限りプロセス・チャンバ110の近くに三方弁を配置して、ガス抜きされていないガス供給ラインの体積(三方弁とプロセス・チャンバとの間の)を最小にすることができる。付加的に、質量流量コントローラ(MFC)とプロセス・チャンバ110との間、又は、ガス源とMFCとの間に、二方(オン・オフ)弁(図示せず)を配置することもできる。
HDP−CVDチャンバ100は、プロセス・チャンバ110の上部ノズル150に洗浄ガスを提供するための、遠隔洗浄RFプラズマ源(図示せず)をさらに含むことができる。幾つかの実施形態においては、洗浄ガス(用いられる場合)は、他の位置でプロセス・チャンバ110に入ることができる。
システム・コントローラ160が、プラズマ・ベースの基板処理システム100の動作を調節し、プロセッサ162とメモリ164とを含む。典型的には、プロセッサ162は、アナログ及びデジタルの入力/出力ボード、インターフェース・ボード、及びステッピングモータ・コントローラ・ボードを含むシングル・ボード・コンピュータ(SBC)の一部である。プラズマ・ベースの基板処理システム100の種々の構成要素は、ボード、カードケージ、並びに、コネクタの形式及び寸法を定めるVersa Modular European(VME)規格に準拠する。VME規格は、16ビットのデータ・バス及び24ビットのアドレス・バスを有するバス構造をさらに定める。プロセッサ162は、メモリ164内に格納されるコンピュータ・プログラムとすることができる、システム制御ソフトウェアを実行する。ハード・ディスク・ドライブ、フロッピー(登録商標)・ディスク・ドライブ、カードラック、又はこれらの組み合わせといった任意のタイプのメモリ164を用いることができる。システム制御ソフトウェアは、タイミング、ガス混合、プロセス・チャンバの圧力、プロセス・チャンバの温度、マイクロ波の電力レベル、ペデスタルの位置、及び特定のプロセスの他のパラメータを命じる命令の組を含む。
動作中、装置100を用いて、基板106を有利に処理し、粒子汚染の発生率を減少させ、バッフル寿命を延ばすことができる。例えば、本明細書で与えられる教示に従ったバッフルを用いて基板を処理する方法が、図4を参照して以下に説明される。図4は、本発明の幾つかの実施形態による基板を処理する方法400を示す流れ図である。図4の方法400は、図1及び図2A−図2Bを参照してさらに説明される。
方法400は、本明細書に開示される教示に従って、バッフルが内部に配置されたプロセス・チャンバに基板を提供することができる、410で始まる。例えば、基板106は、バッフル200の下方にある、プロセス・チャンバ110の基板支持部102上に配置することができる。幾つかの実施形態においては、基板を処理する前に、プロセス・チャンバ及びバッフルをシーズニングして、基板の均一な処理を容易にし、かつ、処理中にチャンバの構成要素を処理環境から保護することができる。例えば、412において、バッフル(例えば、バッフル200)が内部に配置されたプロセス・チャンバ(例えば、プロセス・チャンバ110)を設けることができる。414において、バッフル200上に(例えば、バッフル200の表面上に)、酸化シリコン(SiO2)のようなシーズニング層を形成することができる。シーズニング層は、任意の好適な方法で形成することができる。しかしながら、その表面上の金属酸化物の存在の低減、及び/又は、窒化アルミニウムの表面処理のために、バッフル200上により均一なシーズニング層を形成することができる。
次に、420において、バッフル200を通して、プロセス・チャンバ110内に、プロセス・ガスを流すことができる。例えば、1又はそれ以上のプロセス・ガスを、少なくともバッフル200を通して(例えば、図2A−図2Bに示す入口208、チャネル209、及び出口210を介して)、ガス供給システム118からプラズマ処理領域120内に提供することができる。1又はそれ以上のプロセス・ガスを、代替的に又は組み合わせて、上部通気孔152を介してプラズマ処理領域120に供給し、外側環206の縁部を介して、及び/又は、孔212を通して、裏側203から前側201に流すことができる。1又はそれ以上のプロセス・ガスは、プラズマ処理領域120において混合することができ、RF電力を、基板支持部102、上部コイル138、又は側部コイル140内に配置された電極のうちの1つ又はそれ以上に適用することにより、点火してプラズマを発生させることができる。
430において、基板106上に層(図示せず)を堆積させることができる。バッフル200上に配置されたより均一なシーズニング層、及び、該バッフルへのシーズニング層の改善された接着のため、基板106上に堆積された層は、粒子欠陥が減少しているという有利な結果をもたらす。基板106上への層の堆積が完了すると、一般に、方法400は終了する。基板の付加的な処理を、同じ又は異なるプロセス・チャンバ内で行ない、基板106上の構造の製造を完了することができる。例示的なプロセス・チャンバにおける特定の方法が例証として示されたが、本教示に従った、バッフルが内部に配置された類似の又は異なるプロセス・チャンバにおいて、他の方法を有利に行なうこともできる。例えば、バッフル200を用いて、例えば、非プラズマ支援CVD法、原子層堆積(ALD)法、又はバッフルの表面を保護するためにシーズニング層を用いる他の方法など、プラズマが形成されない状態で、1又はそれ以上のプロセス・ガスを流すことができる。本明細書に説明されるバッフルを有利に用いることができる、エッチング等のような他の方法においても、バッフル200を用い得ると考えられる。
このように、本明細書において、改善された窒化アルミニウム製バッフルに関する方法及び装置が提供された。改善された窒化アルミニウム製バッフルは、該窒化アルミニウム製バッフルの表面上に、低減された金属酸化物含有量を有するという有利な結果をもたらす。改善されたバッフルは、窒化アルミニウム製バッフルの表面上に、より均一な酸化シリコン・シーズニング層の形成を有利に容易にすることができ、さらに、バッフルの表面に対するシーズニング層のより大きな接着力を有利に高めることができ、それにより、処理の均一性が向上され、処理される基板表面上の粒子欠陥が減少する。改善された窒化アルミニウム製バッフルは、さらに、シーズニング層のより均一な被覆のために、より長い寿命を有することができる。
上記は、本発明の実施形態に向けられるが、本発明の基本的な範囲から逸脱することなく、他の及び更に別の実施形態を考案することができる。
100 チャンバ
101 内部体積
102 基板支持部
106 基板
108 静電チャック
110 プロセス・チャンバ
112 真空システム
114 ソース・プラズマ・システム
116 バイアス・プラズマ・システム
118 ガス供給システム
119 ガス供給ライン
120 プラズマ処理領域
121 ドーム
122 ヒーター・プレート
124 冷却プレート
126 本体部材
128 挿入/取り外し用開口部
130 スロットル本体
132 マルチブレード・スロットル弁
134 ゲート弁
136 ターボ分子ポンプ
137 上部RFソース発生器
138 上部コイル
139、142 整合回路
140 側部コイル
141 側部RFソース発生器
144 RFバイアス発生器
146 バイアス整合回路
148 ガス・リング
150 上部ノズル
152 上部通気孔
153、154 ガス・ノズル
160 システム・コントローラ
162 プロセッサ
164 メモリ
200 バッフル
201 前側
202 本体
203 裏側
204 中央ステム
206 外側環
208 ガス入口
209 チャネル
210 ガス出口
212 孔
502 窒化アルミニウム
504 金属酸化物

Claims (15)

  1. 半導体プロセス・チャンバで用いるためのバッフルであって、
    窒化アルミニウム及び金属酸化物結合剤を含む本体を含み、前記本体の表面上における金属酸化物結合剤に対する窒化アルミニウムの比は、該本体内における前記比より大きいか又はこれと等しいことを特徴とするバッフル。
  2. 前記金属酸化物結合剤は、酸化イットリウム又は酸化エルビウムのうちの少なくとも一方を含むことを特徴とする請求項1に記載のバッフル。
  3. 前記本体は、
    中央ステムと、
    前記中央ステムの下部に結合され、そこから半径方向外方に延びる外側環と、
    をさらに含むことを特徴とする請求項1に記載のバッフル。
  4. 前記中央ステムの上部に配置されたガス入口と、
    前記中央ステムの下部に配置され、前記ガス入口に流体結合された複数のガス出口と、をさらに含むことを特徴とする請求項1に記載のバッフル。
  5. 前記バッフルの裏側から該バッフルの前側へのプロセス・ガスの流れを容易にするように、前記外側環を通って配置された複数の孔をさらに含むことを特徴とする請求項1に記載のバッフル。
  6. 半導体基板を処理するための装置であって、
    内部体積と、その天井内に配置された第1のガス入口とを有するプロセス・チャンバと、
    前記第1のガス入口に結合され、第1のプロセス・ガスの流れを、前記第1のガス入口から前記内部体積に向けるように構成された、請求項1〜5のいずれか1項に記載のバッフルと、
    を含むことを特徴とする装置。
  7. 半導体プロセス・チャンバで用いるためのバッフルを製造する方法であって、
    アルミニウム、窒素、及び金属酸化物結合剤を焼結して、過剰な金属酸化物結合剤がその表面上に配置された、前記バッフルの本体を形成し、
    前記表面から前記過剰な金属酸化物結合剤のバルクを除去する、
    ステップを含むことを特徴とする方法。
  8. 前記本体の前記表面から前記過剰な金属酸化物結合剤の前記バルクを除去するステップは、
    前記表面から前記過剰な金属酸化物結合剤の前記バルクを除去し、前記本体の前記表面上における前記金属酸化物結合剤に対する窒化アルミニウムの比が、該本体内における前記比より大きいか又はこれと等しくなるようにするステップをさらに含むことを特徴とする請求項7に記載の方法。
  9. 前記過剰な金属酸化物結合剤の前記バルクを除去するステップは、
    前記本体の前記表面のサンド・ブラスト、グリット・ブラスト、ウェット・ブラスト、機械研削、又は機械研磨のうちの少なくとも1つによって、前記過剰な金属酸化物結合剤の前記バルクを除去するステップをさらに含むことを特徴とする請求項7に記載の方法。
  10. 前記過剰な金属酸化物結合剤の前記バルクを除去するステップは、
    前記金属酸化物結合剤の前記バルクを除去した後、前記バッフルの前記表面を、腐食性の酸を含む溶液にさらすステップをさらに含むことを特徴とする請求項9に記載の方法。
  11. 前記金属酸化物結合剤は、酸化イットリウム又は酸化エルビウムのうちの少なくとも一方を含むことを特徴とする請求項7に記載の方法。
  12. 請求項7から請求項11までに記載の前記方法により形成された半導体プロセス・チャンバで用いるためのバッフル。
  13. 半導体基板を処理する方法であって、
    内部体積と、前記支持部ペデスタルの反対側にある前記プロセス・チャンバの天井内に配置された第1のガス入口とを有するプロセス・チャンバ内の基板支持部上に、基板を配置し、
    第1のプロセス・ガスを、前記第1のガス入口に結合された、請求項1から請求項5までのいずれかに記載のバッフルを通して、前記内部体積内に流し、
    前記基板を前記第1のプロセス・ガスで処理する、
    ステップを含むことを特徴とする方法。
  14. 前記基板を処理する前に、前記バッフルの前記表面上にシーズニング層を堆積させるステップをさらに含むことを特徴とする請求項13に記載の方法。
  15. 前記第1のプロセス・ガス及び前記第2のプロセス・ガスの流れの結果として、前記基板の前記表面上に材料の層を堆積させるステップをさらに含むことを特徴とする請求項13に記載の方法。
JP2015084016A 2008-08-20 2015-04-16 表面処理された窒化アルミニウム製バッフル Pending JP2015146459A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/195,127 2008-08-20
US12/195,127 US9222172B2 (en) 2008-08-20 2008-08-20 Surface treated aluminum nitride baffle

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2011523981A Division JP5757869B2 (ja) 2008-08-20 2009-08-20 表面処理された窒化アルミニウム製バッフルを製造する方法

Publications (1)

Publication Number Publication Date
JP2015146459A true JP2015146459A (ja) 2015-08-13

Family

ID=41696788

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2011523981A Expired - Fee Related JP5757869B2 (ja) 2008-08-20 2009-08-20 表面処理された窒化アルミニウム製バッフルを製造する方法
JP2015084016A Pending JP2015146459A (ja) 2008-08-20 2015-04-16 表面処理された窒化アルミニウム製バッフル

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2011523981A Expired - Fee Related JP5757869B2 (ja) 2008-08-20 2009-08-20 表面処理された窒化アルミニウム製バッフルを製造する方法

Country Status (7)

Country Link
US (2) US9222172B2 (ja)
JP (2) JP5757869B2 (ja)
KR (1) KR20110053360A (ja)
CN (1) CN102132382B (ja)
SG (1) SG193208A1 (ja)
TW (1) TWI480922B (ja)
WO (1) WO2010022212A2 (ja)

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9222172B2 (en) * 2008-08-20 2015-12-29 Applied Materials, Inc. Surface treated aluminum nitride baffle
TW201123291A (en) * 2009-09-25 2011-07-01 Applied Materials Inc Method and apparatus for high efficiency gas dissociation in inductive coupled plasma reactor
US8968537B2 (en) 2011-02-09 2015-03-03 Applied Materials, Inc. PVD sputtering target with a protected backing plate
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
KR101419515B1 (ko) * 2012-09-24 2014-07-15 피에스케이 주식회사 배플 및 배플의 표면처리장치, 그리고 기판 처리 장치 및 표면 처리 방법
US10316409B2 (en) * 2012-12-21 2019-06-11 Novellus Systems, Inc. Radical source design for remote plasma atomic layer deposition
US9399228B2 (en) * 2013-02-06 2016-07-26 Novellus Systems, Inc. Method and apparatus for purging and plasma suppression in a process chamber
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9551070B2 (en) * 2014-05-30 2017-01-24 Applied Materials, Inc. In-situ corrosion resistant substrate support coating
KR102339563B1 (ko) 2014-06-02 2021-12-16 주식회사 미코세라믹스 플라즈마 화학기상증착 장치용 배플 구조물 및 이의 제조 방법
KR20160002059A (ko) * 2014-06-30 2016-01-07 삼성전자주식회사 하드 마스크 제거 방법
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9951421B2 (en) * 2014-12-10 2018-04-24 Lam Research Corporation Inlet for effective mixing and purging
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10023956B2 (en) * 2015-04-09 2018-07-17 Lam Research Corporation Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10662529B2 (en) * 2016-01-05 2020-05-26 Applied Materials, Inc. Cooled gas feed block with baffle and nozzle for HDP-CVD
US9589846B1 (en) * 2016-01-25 2017-03-07 United Microelectronics Corp. Method of forming semiconductor device
US9758868B1 (en) 2016-03-10 2017-09-12 Lam Research Corporation Plasma suppression behind a showerhead through the use of increased pressure
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US10409295B2 (en) * 2016-12-31 2019-09-10 Applied Materials, Inc. Methods and apparatus for enhanced flow detection repeatability of thermal-based mass flow controllers (MFCS)
US10975469B2 (en) 2017-03-17 2021-04-13 Applied Materials, Inc. Plasma resistant coating of porous body by atomic layer deposition
KR20180134182A (ko) * 2017-06-08 2018-12-18 삼성전자주식회사 플라즈마 처리 장치
US20190131112A1 (en) * 2017-10-30 2019-05-02 Mattson Technology, Inc. Inductively Coupled Plasma Wafer Bevel Strip Apparatus
KR20200086750A (ko) 2017-12-07 2020-07-17 램 리써치 코포레이션 챔버 내 산화 내성 보호 층 컨디셔닝
US10760158B2 (en) 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
US20220307129A1 (en) * 2021-03-23 2022-09-29 Applied Materials, Inc. Cleaning assemblies for substrate processing chambers

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06163428A (ja) * 1992-11-26 1994-06-10 Ngk Insulators Ltd 耐蝕性部材
JPH06219844A (ja) * 1993-01-27 1994-08-09 Showa Denko Kk AlN焼結体およびその製造方法
JP2001233676A (ja) * 2000-02-23 2001-08-28 Taiheiyo Cement Corp プラズマ耐食部材及びその製造方法
JP2003124299A (ja) * 2001-10-17 2003-04-25 Sumitomo Osaka Cement Co Ltd 電極内蔵型サセプタ及びその製造方法
JP2007042672A (ja) * 2005-07-29 2007-02-15 Ibiden Co Ltd プラズマプロセス装置用チャンバー部材及びその製造方法
JP2008147648A (ja) * 2006-11-28 2008-06-26 Applied Materials Inc 半導体処理チャンバのためのガスバッフル及び分配器
JP2008532331A (ja) * 2005-03-07 2008-08-14 アプライド マテリアルズ インコーポレイテッド 半導体処理チャンバ用分配装置及びガスバッフル

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3145519B2 (ja) * 1992-12-28 2001-03-12 京セラ株式会社 窒化アルミニウム質焼結体
JPH10296610A (ja) * 1997-04-28 1998-11-10 Sony Corp 研磨方法
JP2000086346A (ja) * 1998-07-10 2000-03-28 Sumitomo Electric Ind Ltd セラミックス基材
US6383964B1 (en) * 1998-11-27 2002-05-07 Kyocera Corporation Ceramic member resistant to halogen-plasma corrosion
JP2002031972A (ja) * 2000-05-10 2002-01-31 Sumitomo Electric Ind Ltd トナー定着器用セラミックスヒータ及びその製造方法
WO2002083596A1 (fr) * 2001-04-13 2002-10-24 Sumitomo Electric Industries, Ltd. Article ceramique assemble, structure de maintien de substrat et appareil permettant de traiter les substrats
US7166166B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
JP4529608B2 (ja) * 2004-09-16 2010-08-25 株式会社村田製作所 超音波接合装置
US7552521B2 (en) * 2004-12-08 2009-06-30 Tokyo Electron Limited Method and apparatus for improved baffle plate
JP2008053390A (ja) * 2006-08-23 2008-03-06 Sumitomo Metal Electronics Devices Inc 窒化アルミニウム多層基板
US9222172B2 (en) * 2008-08-20 2015-12-29 Applied Materials, Inc. Surface treated aluminum nitride baffle
US8890189B2 (en) * 2009-07-31 2014-11-18 Denki Kagaku Kogyo Kabushiki Kaisha Wafer for LED mounting, method for manufacturing same, and LED-mounted structure using the wafer

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06163428A (ja) * 1992-11-26 1994-06-10 Ngk Insulators Ltd 耐蝕性部材
JPH06219844A (ja) * 1993-01-27 1994-08-09 Showa Denko Kk AlN焼結体およびその製造方法
JP2001233676A (ja) * 2000-02-23 2001-08-28 Taiheiyo Cement Corp プラズマ耐食部材及びその製造方法
JP2003124299A (ja) * 2001-10-17 2003-04-25 Sumitomo Osaka Cement Co Ltd 電極内蔵型サセプタ及びその製造方法
JP2008532331A (ja) * 2005-03-07 2008-08-14 アプライド マテリアルズ インコーポレイテッド 半導体処理チャンバ用分配装置及びガスバッフル
JP2007042672A (ja) * 2005-07-29 2007-02-15 Ibiden Co Ltd プラズマプロセス装置用チャンバー部材及びその製造方法
JP2008147648A (ja) * 2006-11-28 2008-06-26 Applied Materials Inc 半導体処理チャンバのためのガスバッフル及び分配器

Also Published As

Publication number Publication date
CN102132382B (zh) 2014-04-02
US20100048028A1 (en) 2010-02-25
KR20110053360A (ko) 2011-05-20
CN102132382A (zh) 2011-07-20
US10214815B2 (en) 2019-02-26
TWI480922B (zh) 2015-04-11
US20160145743A1 (en) 2016-05-26
US9222172B2 (en) 2015-12-29
JP5757869B2 (ja) 2015-08-05
WO2010022212A3 (en) 2010-05-14
SG193208A1 (en) 2013-09-30
TW201017719A (en) 2010-05-01
WO2010022212A2 (en) 2010-02-25
JP2012500505A (ja) 2012-01-05

Similar Documents

Publication Publication Date Title
JP5757869B2 (ja) 表面処理された窒化アルミニウム製バッフルを製造する方法
US7159597B2 (en) Multistep remote plasma clean process
US7498268B2 (en) Gas delivery system for semiconductor processing
US8409355B2 (en) Low profile process kit
US9551070B2 (en) In-situ corrosion resistant substrate support coating
US11521830B2 (en) Ceramic coated quartz lid for processing chamber
US10577689B2 (en) Sputtering showerhead
KR102216500B1 (ko) 웨이퍼 가스방출을 위한 플라즈마 강화 어닐링 챔버
US6436303B1 (en) Film removal employing a remote plasma source
JP2023502137A (ja) プラズマチャンバの低温焼結コーティング
JP2008283217A (ja) 処理装置およびそのクリーニング方法
JP2006253733A (ja) プラズマ処理装置およびそのクリーニング方法
US12009178B2 (en) Ceramic coated quartz lid for processing chamber
US20070281447A1 (en) Method of loading and/or unloading wafer in semiconductor manufacturing apparatus
TW202141620A (zh) 清洗方法及半導體裝置之製造方法
CN112514044A (zh) 用于衬底处理系统的具有介电窗的蜂窝式喷射器

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20151210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20151216

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160316

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20160831