WO2020028256A1 - Honeycomb injector with dielectric window for substrate processing systems - Google Patents

Honeycomb injector with dielectric window for substrate processing systems Download PDF

Info

Publication number
WO2020028256A1
WO2020028256A1 PCT/US2019/043926 US2019043926W WO2020028256A1 WO 2020028256 A1 WO2020028256 A1 WO 2020028256A1 US 2019043926 W US2019043926 W US 2019043926W WO 2020028256 A1 WO2020028256 A1 WO 2020028256A1
Authority
WO
WIPO (PCT)
Prior art keywords
assembly
gas injector
opening
insert
dielectric window
Prior art date
Application number
PCT/US2019/043926
Other languages
French (fr)
Inventor
Nathaniel Jackson SZUMOWSKI
Ambarish CHHATRE
Original Assignee
Lam Research Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corporation filed Critical Lam Research Corporation
Priority to CN201980050687.0A priority Critical patent/CN112514044A/en
Priority to KR1020217006204A priority patent/KR20210028275A/en
Publication of WO2020028256A1 publication Critical patent/WO2020028256A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/32119Windows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring

Definitions

  • the present disclosure relates to gas injectors for substrate processing systems.
  • etch processes and deposition processes may be performed within a processing chamber.
  • the substrate is disposed in the processing chamber on a substrate support such as an electrostatic chuck (ESC) or a pedestal.
  • ESC electrostatic chuck
  • Process gases are introduced and plasma is struck in the processing chamber.
  • the processing chamber may include transformer coupled plasma (TCP) reactor coils.
  • a radio frequency (RF) signal generated by a power source, is supplied to the TCP reactor coils.
  • a dielectric window is incorporated into an upper surface of the processing chamber. The dielectric window maintains a vacuum seal of the processing chamber while allowing the RF signal to be transmitted from the TCP reactor coils into the interior of the processing chamber. The RF signal excites gas molecules within the processing chamber to generate plasma.
  • An assembly for a substrate processing chamber includes a quartz dielectric window configured to be arranged in an upper surface of the substrate processing chamber, a recess in the quartz dielectric window that includes a first opening that extends through the quartz dielectric window, and a gas injector assembly that includes a gas injector.
  • the gas injector assembly is arranged within the recess such that the gas injector extends through the first opening.
  • the gas injector is comprised of solid yttria and/or includes an outer surface having an yttria coating.
  • the gas injector corresponds to a honeycomb configured gas injector including a plurality of gas outlets.
  • the assembly further includes an insert arranged in the recess that includes a second opening and the gas injector extends through the second opening.
  • the second opening corresponds to a bayonet opening.
  • the insert is comprised of at least one of plastic and quartz.
  • the assembly further includes a nut assembly arranged to secure the gas injector assembly within the second opening.
  • the nut assembly is configured for twist-and-lock operation.
  • the assembly further includes a radio frequency shield arranged between the nut assembly and the gas injector.
  • the assembly further includes a seal arranged between the radio frequency shield and a gas connection block of the gas injector assembly.
  • the radio frequency shield includes a slot configured to receive a main body of the nut assembly. The slot is arranged above the insert.
  • the gas injector includes a flange arranged within the second opening and a lower flange of the radio frequency shield is located above the flange of the gas injector and the insert.
  • the assembly further includes a seal arranged in a groove between the gas injector and the dielectric window.
  • the seal is an O-ring.
  • the assembly further includes an insert arranged in the recess that includes a second opening, the gas injector extends through the second opening, and the gas injector includes a flange arranged within the second opening.
  • a height of the flange is substantially equivalent to at least one of a depth of the first opening and a height of the insert.
  • An upper surface of the flange is flush with an upper surface of the insert.
  • An assembly for a substrate processing chamber includes a quartz dielectric window configured to be arranged in an upper surface of the substrate processing chamber, a central recess in the quartz dielectric window that includes a first opening that extends through the quartz dielectric window an insert arranged in the central recess that includes a second opening, and a gas injector assembly that includes a gas injector.
  • the gas injector assembly is arranged within the central recess such that the gas injector extends through the second opening.
  • the gas injector includes a plurality of gas outlets and is comprised of solid yttria and/or includes an outer surface having an yttria coating.
  • the assembly further includes a nut assembly arranged to secure the gas injector assembly within the second opening.
  • the gas injector includes a flange arranged within the second opening. A height of the flange is substantially equivalent to at least one of a depth of the first opening and a height of the insert such that an upper surface of the flange is flush with an upper surface of the insert.
  • FIG. 1A is an example of a substrate processing system including a dielectric window according to the present disclosure
  • FIGS. 1 B and 1 C show an example dielectric window according to the present disclosure
  • FIGS. 1 D and 1 E show an example gas injector assembly according to the present disclosure.
  • FIGS. 2A, 2B, 2C, and 2D show a gas injector according to the present disclosure.
  • a substrate processing system may include a dielectric window incorporated into an upper surface of a processing chamber.
  • a gas injector assembly is arranged in an opening of the dielectric window to inject process gases into the processing chamber.
  • the dielectric window includes a central recess and an insert arranged in the recess.
  • the insert includes a bayonet opening configured to receive the gas injector assembly.
  • the dielectric window may be constructed from a material such as ceramic or quartz and may or may not be coated with another material.
  • the gas injector assembly includes a gas injector that may comprise one or more materials such as ceramic, quartz, yttria, etc. and may or may not be coated with another material.
  • Different gas injector assemblies may be configured to interface with different types of dielectric windows and/or windows having different recess designs, different dimensions, etc.
  • a quartz dielectric window may be more brittle (e.g., relative to ceramic) and therefore be susceptible to chipping caused by insertion of the gas injector assembly. Accordingly, configurations of the recess, bayonet, and/or other features may require adjustment and the gas injector may require modification to accommodate the adjusted configurations.
  • the effectiveness of a seal between the gas injector assembly and the dielectric window may depend upon the materials and configurations of the gas injector assembly and the dielectric window.
  • An example dielectric window and a gas injector assembly having a honeycomb configuration are described in more detail in U.S. Pat. No. 9,947,512, which is hereby incorporated by reference in its entirety.
  • gas injectors having a honeycomb configuration reduce center defects associated with substrate processing and increase yield.
  • the term “honeycomb” indicates a gas injector having a plurality of gas outlets as described below in more detail.
  • a quartz dielectric window may be configured to interface with a gas injector assembly including a gas injector comprising quartz, ceramic, aluminum, stainless steel, alumina, silicon nitride, etc.
  • a ceramic dielectric window may be configured to interface with a gas injector assembly including an yttria gas injector.
  • conventional designs do not include a quartz dielectric window configured to interface with a gas injector assembly including a solid yttria gas injector or a non-yttria gas injector with an yttria coating.
  • a quartz dielectric window and gas injector assembly according to the present disclosure are configured for use with a gas injector assembly including a gas injector comprised of solid yttria or a non-yttria material with an yttria coating.
  • the dielectric window includes a central recess and insert configured to accommodate an adaptor (e.g., a bayonet adaptor) and nut assembly for securing the gas injector assembly.
  • the adaptor facilitates and ensures compression of an O-ring seal between the gas injector and the dielectric window without damaging the gas injector or the dielectric window.
  • yttria is less susceptible to flaking and other particle generation defects than other gas injector materials, which results in fewer defects in the processed substrates.
  • the substrate processing system 10 includes a coil driving circuit 1 1 .
  • the coil driving circuit 1 1 includes an RF source 12 and a tuning circuit 13.
  • the tuning circuit 13 may be directly connected to one or more inductive TCP coils 16.
  • the tuning circuits 13 may be connected by an optional reversing circuit 15 to one or more of the coils 16.
  • the tuning circuit 13 tunes an output of the RF source 12 to a desired frequency and/or a desired phase, matches an impedance of the coils 16 and splits power between the TCP coils 16.
  • the reversing circuit 15 is used to selectively switch the polarity of current through one or more of the TCP coils 16.
  • a plenum 20 may be arranged between the TCP coils 16 and a dielectric window 24 to control the temperature of the dielectric window 24 with hot and/or cold air flow.
  • the dielectric window 24 is arranged along one side of a processing chamber 28.
  • the processing chamber 28 further comprises a substrate support (or pedestal) 32.
  • the substrate support 32 may include an electrostatic chuck (ESC), or a mechanical chuck or other type of chuck.
  • ESC electrostatic chuck
  • Process gas is supplied to the processing chamber 28 and plasma 40 is generated inside of the processing chamber 28.
  • the plasma 40 etches an exposed surface of the substrate 34.
  • An RF source 50 and a bias matching circuit 52 may be used to bias the substrate support 32 during operation to control ion energy.
  • a gas delivery system 56 may be used to supply a process gas mixture to the processing chamber 28.
  • the gas delivery system 56 may include process and inert gas sources 57, a gas metering system 58 such as valves and mass flow controllers, and a manifold 59.
  • a gas delivery system 60 may be used to deliver gas 62 via a valve 61 to the plenum 20.
  • the gas may include cooling gas (air) that is used to cool the TCP coils 16 and the dielectric window 24.
  • a heater/cooler 64 may be used to heat/cool the substrate support 32 to a predetermined temperature.
  • An exhaust system 65 includes a valve 66 and pump 67 to remove reactants from the processing chamber 28 by purging or evacuation.
  • a controller 54 may be used to control the etching process.
  • the controller 54 monitors system parameters and controls delivery of the gas mixture, striking, maintaining and extinguishing the plasma, removal of reactants, supply of cooling gas, and so on. Additionally, as described below in detail, the controller 54 may control various aspects of the coil driving circuit 1 1 , the RF source 50, and the bias matching circuit 52, etc. For example, processing chambers using a TCCT match network with switched capacitors are shown and described in commonly-assigned U.S. Pat. No. 9,515,633, which is hereby incorporated by reference in its entirety.
  • a temperature controller 68 may be connected to a plurality of heating elements 70, such as thermal control elements (TCEs), arranged in the substrate support 32.
  • the heating elements 70 may include, but are not limited to, macro heating elements corresponding to respective zones in a multi-zone heating plate and/or an array of micro heating elements disposed across multiple zones of a multi-zone heating plate.
  • the temperature controller 68 may be used to control the plurality of heating elements 70 to control a temperature of the substrate support 32 and the substrate 34 as described below in more detail.
  • the dielectric window 24 is a quartz dielectric window configured to receive a solid yttria and/or yttria coated gas injector (not shown in FIG. 1A) as described below in more detail.
  • an example dielectric window 100 and a gas injector assembly 104 including a gas injector 108 comprised of yttria are shown in more detail.
  • the gas injector 108 may be comprised of solid yttria or may comprise a non- yttria material with an yttria coating.
  • an outer surface of the gas injector 108 may include the yttria coating.
  • the dielectric window 100 is comprised of quartz (e.g., high purity quartz).
  • the dielectric window 100 includes a central recess 1 12 configured to receive the gas injector 108.
  • the central recess 1 12 includes an opening 1 16 that extends through the dielectric window 100.
  • FIGS. 1 B and 1 C show views of the dielectric window 100 while FIGS. 1 D and 1 E show an assembly including the dielectric window 100 and the gas injector assembly 104 installed within the dielectric windows 100.
  • the gas injector assembly 104 is arranged within the central recess 1 12 such that the gas injector 108 extends through the opening 1 16 of the dielectric window 100.
  • an insert 120 e.g., an annular insert, such as a disc
  • the insert 120 which may be comprised of plastic, quartz, or another dielectric material, includes an opening 124 (e.g., a bayonet opening) configured to receive the gas injector assembly 104.
  • the gas injector 108 extends through the opening 124 and the opening 1 16 and into the processing chamber 28.
  • a nut assembly 132 secures the gas injector assembly 104 within the opening 124.
  • the nut assembly 132 is configured for twist- and-lock operation such as described in U.S. Pat. No. 9,947,512.
  • An RF shield 136 is arranged between the nut assembly 132 and the gas injector 108.
  • the RF shield 136 comprises a metal such as copper, aluminum, etc., and/or may be coated in a metal (e.g., silver).
  • the gas injector assembly 104 includes one or more O-rings to provide a gas seal between respective components of the gas injector assembly 104.
  • an O-ring 140 is arranged between the gas injector 108 (e.g., in a groove) and the dielectric window 100.
  • An O-ring 144 is arranged between the RF shield 136 and a gas connection block 148.
  • An O-ring 152 is arranged between the gas injector 108 and the gas connection block 148.
  • the gas injector 108 includes a flange (e.g., an annular flange) 156 configured to secure the gas injector 108 within the insert 120 of the dielectric window 100.
  • a flange e.g., an annular flange
  • a height/depth of the flange 156 is greater than gas injectors comprising materials other than yttria, where the flange 156 includes a groove for the O-ring 140 and could crack under pressure.
  • the vertical height of the flange 156 may be substantially equivalent (e.g., within .05”, or 1 .25 mm) to a depth of the opening 1 12 and a height of the insert 120.
  • the height of the flange 156 is 0.5” (+/- .05”), or 12.7 mm. Accordingly, an upper surface of the flange 156 is flush with an upper surface of the insert 120.
  • the RF shield 136 includes a slot 160 configured to receive a main body 164 of the nut assembly 132.
  • the slot 160 is arranged above (i.e. , not within) the insert 120.
  • a lower flange 168 of the RF shield 136 is above the flange 156 and the insert 120.
  • FIGS. 2A, 2B, 2C, and 2D show alternate views of an example gas injector 200 comprised of yttria (e.g., solid yttria or another material with an yttria coating) according to the principles of the present disclosure.
  • FIG. 2A shows isometric views of the gas injector 200.
  • FIG. 2B shows a cross sectional view of the gas injector 200.
  • FIG. 2C shows a side view of the gas injector 200.
  • FIG. 2D shows a close-up view of feature 204 shown in FIG. 2B.
  • a controller is part of a system, which may be part of the above-described examples.
  • Such systems can comprise semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate.
  • the electronics may be referred to as the“controller,” which may control various components or subparts of the system or systems.
  • the controller depending on the processing requirements and/or the type of system, may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.
  • temperature settings e.g., heating and/or cooling
  • pressure settings e.g., vacuum settings
  • power settings e.g., radio frequency (RF) generator settings
  • RF matching circuit settings e.g., frequency settings, flow rate settings, fluid delivery settings, positional and operation settings
  • the controller may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like.
  • the integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software).
  • Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system.
  • the operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer.
  • the controller in some implementations, may be a part of or coupled to a computer that is integrated with the system, coupled to the system, otherwise networked to the system, or a combination thereof.
  • the controller may be in the“cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing.
  • the computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process.
  • a remote computer e.g.
  • a server can provide process recipes to a system over a network, which may include a local network or the Internet.
  • the remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer.
  • the controller receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control.
  • the controller may be distributed, such as by comprising one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein.
  • An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.
  • example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an atomic layer deposition (ALD) chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.
  • PVD physical vapor deposition
  • CVD chemical vapor deposition
  • ALD atomic layer deposition
  • ALE atomic layer etch
  • the controller might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Automation & Control Theory (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

An assembly for a substrate processing chamber includes a quartz dielectric window configured to be arranged in an upper surface of the substrate processing chamber, a recess in the quartz dielectric window that includes a first opening that extends through the quartz dielectric window, and a gas injector assembly that includes a gas injector. The gas injector assembly is arranged within the recess such that the gas injector extends through the first opening. The gas injector is comprised of solid yttria and/or includes an outer surface having an yttria coating.

Description

HONEYCOMB INJECTOR WITH DIELECTRIC WINDOW FOR SUBSTRATE
PROCESSING SYSTEMS
CROSS-REFERENCE TO RELATED APPLICATIONS
[0001] This application claims the benefit of U.S. Provisional Application No. 62/712,415, filed on July 31 , 2018. The entire disclosure of the application referenced above is incorporated herein by reference.
FIELD
[0002] The present disclosure relates to gas injectors for substrate processing systems.
BACKGROUND
[0003] The background description provided here is for the purpose of generally presenting the context of the disclosure. Work of the presently named inventors, to the extent it is described in this background section, as well as aspects of the description that may not otherwise qualify as prior art at the time of filing, are neither expressly nor impliedly admitted as prior art against the present disclosure.
[0004] During manufacturing of substrates such as semiconductor wafers, etch processes and deposition processes may be performed within a processing chamber. The substrate is disposed in the processing chamber on a substrate support such as an electrostatic chuck (ESC) or a pedestal. Process gases are introduced and plasma is struck in the processing chamber.
[0005] The processing chamber may include transformer coupled plasma (TCP) reactor coils. A radio frequency (RF) signal, generated by a power source, is supplied to the TCP reactor coils. A dielectric window is incorporated into an upper surface of the processing chamber. The dielectric window maintains a vacuum seal of the processing chamber while allowing the RF signal to be transmitted from the TCP reactor coils into the interior of the processing chamber. The RF signal excites gas molecules within the processing chamber to generate plasma.
SUMMARY
[0006] An assembly for a substrate processing chamber includes a quartz dielectric window configured to be arranged in an upper surface of the substrate processing chamber, a recess in the quartz dielectric window that includes a first opening that extends through the quartz dielectric window, and a gas injector assembly that includes a gas injector. The gas injector assembly is arranged within the recess such that the gas injector extends through the first opening. The gas injector is comprised of solid yttria and/or includes an outer surface having an yttria coating.
[0007] In other features, the gas injector corresponds to a honeycomb configured gas injector including a plurality of gas outlets. The assembly further includes an insert arranged in the recess that includes a second opening and the gas injector extends through the second opening. The second opening corresponds to a bayonet opening. The insert is comprised of at least one of plastic and quartz. The assembly further includes a nut assembly arranged to secure the gas injector assembly within the second opening. The nut assembly is configured for twist-and-lock operation. The assembly further includes a radio frequency shield arranged between the nut assembly and the gas injector.
[0008] In other features, the assembly further includes a seal arranged between the radio frequency shield and a gas connection block of the gas injector assembly. The radio frequency shield includes a slot configured to receive a main body of the nut assembly. The slot is arranged above the insert. The gas injector includes a flange arranged within the second opening and a lower flange of the radio frequency shield is located above the flange of the gas injector and the insert.
[0009] In other features, the assembly further includes a seal arranged in a groove between the gas injector and the dielectric window. The seal is an O-ring. The assembly further includes an insert arranged in the recess that includes a second opening, the gas injector extends through the second opening, and the gas injector includes a flange arranged within the second opening. A height of the flange is substantially equivalent to at least one of a depth of the first opening and a height of the insert. An upper surface of the flange is flush with an upper surface of the insert.
[0010] An assembly for a substrate processing chamber includes a quartz dielectric window configured to be arranged in an upper surface of the substrate processing chamber, a central recess in the quartz dielectric window that includes a first opening that extends through the quartz dielectric window an insert arranged in the central recess that includes a second opening, and a gas injector assembly that includes a gas injector. The gas injector assembly is arranged within the central recess such that the gas injector extends through the second opening. The gas injector includes a plurality of gas outlets and is comprised of solid yttria and/or includes an outer surface having an yttria coating.
[0011] In other features, the assembly further includes a nut assembly arranged to secure the gas injector assembly within the second opening. The gas injector includes a flange arranged within the second opening. A height of the flange is substantially equivalent to at least one of a depth of the first opening and a height of the insert such that an upper surface of the flange is flush with an upper surface of the insert.
[0012] Further areas of applicability of the present disclosure will become apparent from the detailed description, the claims and the drawings. The detailed description and specific examples are intended for purposes of illustration only and are not intended to limit the scope of the disclosure.
BRIEF DESCRIPTION OF THE DRAWINGS
[0013] The present disclosure will become more fully understood from the detailed description and the accompanying drawings, wherein:
[0014] FIG. 1A is an example of a substrate processing system including a dielectric window according to the present disclosure;
[0015] FIGS. 1 B and 1 C show an example dielectric window according to the present disclosure;
[0016] FIGS. 1 D and 1 E show an example gas injector assembly according to the present disclosure; and
[0017] FIGS. 2A, 2B, 2C, and 2D show a gas injector according to the present disclosure.
[0018] In the drawings, reference numbers may be reused to identify similar and/or identical elements.
DETAILED DESCRIPTION
[0019] A substrate processing system may include a dielectric window incorporated into an upper surface of a processing chamber. A gas injector assembly is arranged in an opening of the dielectric window to inject process gases into the processing chamber. In some examples, the dielectric window includes a central recess and an insert arranged in the recess. The insert includes a bayonet opening configured to receive the gas injector assembly.
[0020] The dielectric window may be constructed from a material such as ceramic or quartz and may or may not be coated with another material. The gas injector assembly includes a gas injector that may comprise one or more materials such as ceramic, quartz, yttria, etc. and may or may not be coated with another material. Different gas injector assemblies may be configured to interface with different types of dielectric windows and/or windows having different recess designs, different dimensions, etc. For example, a quartz dielectric window may be more brittle (e.g., relative to ceramic) and therefore be susceptible to chipping caused by insertion of the gas injector assembly. Accordingly, configurations of the recess, bayonet, and/or other features may require adjustment and the gas injector may require modification to accommodate the adjusted configurations. In other examples, the effectiveness of a seal between the gas injector assembly and the dielectric window may depend upon the materials and configurations of the gas injector assembly and the dielectric window. An example dielectric window and a gas injector assembly having a honeycomb configuration are described in more detail in U.S. Pat. No. 9,947,512, which is hereby incorporated by reference in its entirety. As described therein, gas injectors having a honeycomb configuration reduce center defects associated with substrate processing and increase yield. As used herein, the term “honeycomb” indicates a gas injector having a plurality of gas outlets as described below in more detail.
[0021] In some examples, a quartz dielectric window may be configured to interface with a gas injector assembly including a gas injector comprising quartz, ceramic, aluminum, stainless steel, alumina, silicon nitride, etc. Conversely, a ceramic dielectric window may be configured to interface with a gas injector assembly including an yttria gas injector. However, conventional designs do not include a quartz dielectric window configured to interface with a gas injector assembly including a solid yttria gas injector or a non-yttria gas injector with an yttria coating. For example, since high purity quartz and yttria are relatively brittle, preventing chipping of the quartz dielectric window and the yttria gas injector during installation while maintaining a sufficient seal between the gas injector assembly and the dielectric window is difficult. For example, existing quartz dielectric windows use a clip to secure a quartz gas injector, which will not provide a sufficient seal for a solid yttria and/or yttria coated gas injector. [0022] A quartz dielectric window and gas injector assembly according to the present disclosure are configured for use with a gas injector assembly including a gas injector comprised of solid yttria or a non-yttria material with an yttria coating. The dielectric window includes a central recess and insert configured to accommodate an adaptor (e.g., a bayonet adaptor) and nut assembly for securing the gas injector assembly. The adaptor facilitates and ensures compression of an O-ring seal between the gas injector and the dielectric window without damaging the gas injector or the dielectric window. Further, yttria is less susceptible to flaking and other particle generation defects than other gas injector materials, which results in fewer defects in the processed substrates.
[0023] Referring now to FIG. 1A, an example of a substrate processing system 10 according to the present disclosure is shown. The substrate processing system 10 includes a coil driving circuit 1 1 . In some examples, the coil driving circuit 1 1 includes an RF source 12 and a tuning circuit 13. The tuning circuit 13 may be directly connected to one or more inductive TCP coils 16. Alternatively, the tuning circuits 13 may be connected by an optional reversing circuit 15 to one or more of the coils 16. The tuning circuit 13 tunes an output of the RF source 12 to a desired frequency and/or a desired phase, matches an impedance of the coils 16 and splits power between the TCP coils 16. The reversing circuit 15 is used to selectively switch the polarity of current through one or more of the TCP coils 16.
[0024] A plenum 20 may be arranged between the TCP coils 16 and a dielectric window 24 to control the temperature of the dielectric window 24 with hot and/or cold air flow. The dielectric window 24 is arranged along one side of a processing chamber 28. The processing chamber 28 further comprises a substrate support (or pedestal) 32. The substrate support 32 may include an electrostatic chuck (ESC), or a mechanical chuck or other type of chuck. Process gas is supplied to the processing chamber 28 and plasma 40 is generated inside of the processing chamber 28. The plasma 40 etches an exposed surface of the substrate 34. An RF source 50 and a bias matching circuit 52 may be used to bias the substrate support 32 during operation to control ion energy.
[0025] A gas delivery system 56 may be used to supply a process gas mixture to the processing chamber 28. The gas delivery system 56 may include process and inert gas sources 57, a gas metering system 58 such as valves and mass flow controllers, and a manifold 59. A gas delivery system 60 may be used to deliver gas 62 via a valve 61 to the plenum 20. The gas may include cooling gas (air) that is used to cool the TCP coils 16 and the dielectric window 24. A heater/cooler 64 may be used to heat/cool the substrate support 32 to a predetermined temperature. An exhaust system 65 includes a valve 66 and pump 67 to remove reactants from the processing chamber 28 by purging or evacuation.
[0026] A controller 54 may be used to control the etching process. The controller 54 monitors system parameters and controls delivery of the gas mixture, striking, maintaining and extinguishing the plasma, removal of reactants, supply of cooling gas, and so on. Additionally, as described below in detail, the controller 54 may control various aspects of the coil driving circuit 1 1 , the RF source 50, and the bias matching circuit 52, etc. For example, processing chambers using a TCCT match network with switched capacitors are shown and described in commonly-assigned U.S. Pat. No. 9,515,633, which is hereby incorporated by reference in its entirety.
[0027] A temperature controller 68 may be connected to a plurality of heating elements 70, such as thermal control elements (TCEs), arranged in the substrate support 32. The heating elements 70 may include, but are not limited to, macro heating elements corresponding to respective zones in a multi-zone heating plate and/or an array of micro heating elements disposed across multiple zones of a multi-zone heating plate. The temperature controller 68 may be used to control the plurality of heating elements 70 to control a temperature of the substrate support 32 and the substrate 34 as described below in more detail.
[0028] The dielectric window 24 according to the present disclosure is a quartz dielectric window configured to receive a solid yttria and/or yttria coated gas injector (not shown in FIG. 1A) as described below in more detail.
[0029] Referring now to FIGS. 1 B, 1 C, 1 D, and 1 E, an example dielectric window 100 and a gas injector assembly 104 including a gas injector 108 comprised of yttria according to the principles of the present disclosure are shown in more detail. For example, the gas injector 108 may be comprised of solid yttria or may comprise a non- yttria material with an yttria coating. In other words, an outer surface of the gas injector 108 may include the yttria coating. The dielectric window 100 is comprised of quartz (e.g., high purity quartz). The dielectric window 100 includes a central recess 1 12 configured to receive the gas injector 108. The central recess 1 12 includes an opening 1 16 that extends through the dielectric window 100. FIGS. 1 B and 1 C show views of the dielectric window 100 while FIGS. 1 D and 1 E show an assembly including the dielectric window 100 and the gas injector assembly 104 installed within the dielectric windows 100.
[0030] The gas injector assembly 104 is arranged within the central recess 1 12 such that the gas injector 108 extends through the opening 1 16 of the dielectric window 100. For example, an insert 120 (e.g., an annular insert, such as a disc) is arranged in the central recess 1 12. The insert 120, which may be comprised of plastic, quartz, or another dielectric material, includes an opening 124 (e.g., a bayonet opening) configured to receive the gas injector assembly 104.
[0031] The gas injector 108 (e.g. a honeycomb configured gas injector including a plurality of gas outlets 128) extends through the opening 124 and the opening 1 16 and into the processing chamber 28. A nut assembly 132 secures the gas injector assembly 104 within the opening 124. For example, the nut assembly 132 is configured for twist- and-lock operation such as described in U.S. Pat. No. 9,947,512. An RF shield 136 is arranged between the nut assembly 132 and the gas injector 108. For example, the RF shield 136 comprises a metal such as copper, aluminum, etc., and/or may be coated in a metal (e.g., silver).
[0032] The gas injector assembly 104 includes one or more O-rings to provide a gas seal between respective components of the gas injector assembly 104. For example, an O-ring 140 is arranged between the gas injector 108 (e.g., in a groove) and the dielectric window 100. An O-ring 144 is arranged between the RF shield 136 and a gas connection block 148. An O-ring 152 is arranged between the gas injector 108 and the gas connection block 148.
[0033] The gas injector 108 includes a flange (e.g., an annular flange) 156 configured to secure the gas injector 108 within the insert 120 of the dielectric window 100. Since yttria is brittle and more susceptible to damage, a height/depth of the flange 156 is greater than gas injectors comprising materials other than yttria, where the flange 156 includes a groove for the O-ring 140 and could crack under pressure. For example, the vertical height of the flange 156 may be substantially equivalent (e.g., within .05”, or 1 .25 mm) to a depth of the opening 1 12 and a height of the insert 120. For example only, the height of the flange 156 is 0.5” (+/- .05”), or 12.7 mm. Accordingly, an upper surface of the flange 156 is flush with an upper surface of the insert 120.
[0034] The RF shield 136 includes a slot 160 configured to receive a main body 164 of the nut assembly 132. For example, the slot 160 is arranged above (i.e. , not within) the insert 120. Further, a lower flange 168 of the RF shield 136 is above the flange 156 and the insert 120.
[0035] FIGS. 2A, 2B, 2C, and 2D show alternate views of an example gas injector 200 comprised of yttria (e.g., solid yttria or another material with an yttria coating) according to the principles of the present disclosure. For example, FIG. 2A shows isometric views of the gas injector 200. FIG. 2B shows a cross sectional view of the gas injector 200. FIG. 2C shows a side view of the gas injector 200. FIG. 2D shows a close-up view of feature 204 shown in FIG. 2B.
[0036] The foregoing description is merely illustrative in nature and is in no way intended to limit the disclosure, its application, or uses. The broad teachings of the disclosure can be implemented in a variety of forms. Therefore, while this disclosure includes particular examples, the true scope of the disclosure should not be so limited since other modifications will become apparent upon a study of the drawings, the specification, and the following claims. It should be understood that one or more steps within a method may be executed in different order (or concurrently) without altering the principles of the present disclosure. Further, although each of the embodiments is described above as having certain features, any one or more of those features described with respect to any embodiment of the disclosure can be implemented in and/or combined with features of any of the other embodiments, even if that combination is not explicitly described. In other words, the described embodiments are not mutually exclusive, and permutations of one or more embodiments with one another remain within the scope of this disclosure.
[0037] Spatial and functional relationships between elements (for example, between modules, circuit elements, semiconductor layers, etc.) are described using various terms, including“connected,”“engaged,”“coupled,”“adjacent,”“next to,”“on top of,”“above,” “below,” and“disposed.” Unless explicitly described as being“direct,” when a relationship between first and second elements is described in the above disclosure, that relationship can be a direct relationship where no other intervening elements are present between the first and second elements, but can also be an indirect relationship where one or more intervening elements are present (either spatially or functionally) between the first and second elements. As used herein, the phrase at least one of A, B, and C should be construed to mean a logical (A OR B OR C), using a non-exclusive logical OR, and should not be construed to mean“at least one of A, at least one of B, and at least one of C.” [0038] In some implementations, a controller is part of a system, which may be part of the above-described examples. Such systems can comprise semiconductor processing equipment, including a processing tool or tools, chamber or chambers, a platform or platforms for processing, and/or specific processing components (a wafer pedestal, a gas flow system, etc.). These systems may be integrated with electronics for controlling their operation before, during, and after processing of a semiconductor wafer or substrate. The electronics may be referred to as the“controller,” which may control various components or subparts of the system or systems. The controller, depending on the processing requirements and/or the type of system, may be programmed to control any of the processes disclosed herein, including the delivery of processing gases, temperature settings (e.g., heating and/or cooling), pressure settings, vacuum settings, power settings, radio frequency (RF) generator settings, RF matching circuit settings, frequency settings, flow rate settings, fluid delivery settings, positional and operation settings, wafer transfers into and out of a tool and other transfer tools and/or load locks connected to or interfaced with a specific system.
[0039] Broadly speaking, the controller may be defined as electronics having various integrated circuits, logic, memory, and/or software that receive instructions, issue instructions, control operation, enable cleaning operations, enable endpoint measurements, and the like. The integrated circuits may include chips in the form of firmware that store program instructions, digital signal processors (DSPs), chips defined as application specific integrated circuits (ASICs), and/or one or more microprocessors, or microcontrollers that execute program instructions (e.g., software). Program instructions may be instructions communicated to the controller in the form of various individual settings (or program files), defining operational parameters for carrying out a particular process on or for a semiconductor wafer or to a system. The operational parameters may, in some embodiments, be part of a recipe defined by process engineers to accomplish one or more processing steps during the fabrication of one or more layers, materials, metals, oxides, silicon, silicon dioxide, surfaces, circuits, and/or dies of a wafer.
[0040] The controller, in some implementations, may be a part of or coupled to a computer that is integrated with the system, coupled to the system, otherwise networked to the system, or a combination thereof. For example, the controller may be in the“cloud” or all or a part of a fab host computer system, which can allow for remote access of the wafer processing. The computer may enable remote access to the system to monitor current progress of fabrication operations, examine a history of past fabrication operations, examine trends or performance metrics from a plurality of fabrication operations, to change parameters of current processing, to set processing steps to follow a current processing, or to start a new process. In some examples, a remote computer (e.g. a server) can provide process recipes to a system over a network, which may include a local network or the Internet. The remote computer may include a user interface that enables entry or programming of parameters and/or settings, which are then communicated to the system from the remote computer. In some examples, the controller receives instructions in the form of data, which specify parameters for each of the processing steps to be performed during one or more operations. It should be understood that the parameters may be specific to the type of process to be performed and the type of tool that the controller is configured to interface with or control. Thus as described above, the controller may be distributed, such as by comprising one or more discrete controllers that are networked together and working towards a common purpose, such as the processes and controls described herein. An example of a distributed controller for such purposes would be one or more integrated circuits on a chamber in communication with one or more integrated circuits located remotely (such as at the platform level or as part of a remote computer) that combine to control a process on the chamber.
[0041] Without limitation, example systems may include a plasma etch chamber or module, a deposition chamber or module, a spin-rinse chamber or module, a metal plating chamber or module, a clean chamber or module, a bevel edge etch chamber or module, a physical vapor deposition (PVD) chamber or module, a chemical vapor deposition (CVD) chamber or module, an atomic layer deposition (ALD) chamber or module, an atomic layer etch (ALE) chamber or module, an ion implantation chamber or module, a track chamber or module, and any other semiconductor processing systems that may be associated or used in the fabrication and/or manufacturing of semiconductor wafers.
[0042] As noted above, depending on the process step or steps to be performed by the tool, the controller might communicate with one or more of other tool circuits or modules, other tool components, cluster tools, other tool interfaces, adjacent tools, neighboring tools, tools located throughout a factory, a main computer, another controller, or tools used in material transport that bring containers of wafers to and from tool locations and/or load ports in a semiconductor manufacturing factory.

Claims

CLAIMS What is claimed is:
1. An assembly for a substrate processing chamber, the assembly comprising: a quartz dielectric window configured to be arranged in an upper surface of the substrate processing chamber;
a recess in the quartz dielectric window, wherein the recess includes a first opening that extends through the quartz dielectric window; and
a gas injector assembly that includes a gas injector, wherein the gas injector assembly is arranged within the recess such that the gas injector extends through the first opening,
wherein the gas injector one of (i) is comprised of solid yttria and (ii) includes an outer surface having an yttria coating.
2. The assembly of claim 1 , wherein the gas injector corresponds to a honeycomb configured gas injector including a plurality of gas outlets.
3. The assembly of claim 1 , further comprising an insert arranged in the recess, wherein the insert includes a second opening and the gas injector extends through the second opening.
4. The assembly of claim 3, wherein the second opening corresponds to a bayonet opening.
5. The assembly of claim 3, wherein the insert is comprised of at least one of plastic and quartz.
6. The assembly of claim 3, further comprising a nut assembly arranged to secure the gas injector assembly within the second opening.
7. The assembly of claim 6, wherein the nut assembly is configured for twist-and- lock operation.
8. The assembly of claim 6, further comprising a radio frequency shield arranged between the nut assembly and the gas injector.
9. The assembly of claim 8, further comprising a seal arranged between the radio frequency shield and a gas connection block of the gas injector assembly.
10. The assembly of claim 8, wherein the radio frequency shield includes a slot configured to receive a main body of the nut assembly.
11. The assembly of claim 10, wherein the slot is arranged above the insert.
12. The assembly of claim 11 , wherein the gas injector includes a flange arranged within the second opening and a lower flange of the radio frequency shield is located above (i) the flange of the gas injector and (ii) the insert.
13. The assembly of claim 1 , further comprising a seal arranged in a groove between the gas injector and the dielectric window.
14. The assembly of claim 13, wherein the seal is an O-ring.
15. The assembly of claim 1 , further comprising an insert arranged in the recess, wherein:
the insert includes a second opening and the gas injector extends through the second opening; and
the gas injector includes a flange arranged within the second opening.
16. The assembly of claim 15, wherein a height of the flange is substantially equivalent to at least one of (i) a depth of the first opening and (ii) a height of the insert.
17. The assembly of claim 15, wherein an upper surface of the flange is flush with an upper surface of the insert.
18. An assembly for a substrate processing chamber, the assembly comprising: a quartz dielectric window configured to be arranged in an upper surface of the substrate processing chamber;
a central recess in the quartz dielectric window, wherein the central recess includes a first opening that extends through the quartz dielectric window; an insert arranged in the central recess, wherein the insert includes a second opening; and
a gas injector assembly that includes a gas injector, wherein the gas injector assembly is arranged within the central recess such that the gas injector extends through the second opening,
wherein the gas injector includes a plurality of gas outlets and one of (i) is comprised of solid yttria and (ii) includes an outer surface having an yttria coating.
19. The assembly of claim 18, further comprising a nut assembly arranged to secure the gas injector assembly within the second opening, wherein the gas injector includes a flange arranged within the second opening.
20. The assembly of claim 19, wherein a height of the flange is substantially equivalent to at least one of (i) a depth of the first opening and (ii) a height of the insert such that an upper surface of the flange is flush with an upper surface of the insert.
PCT/US2019/043926 2018-07-31 2019-07-29 Honeycomb injector with dielectric window for substrate processing systems WO2020028256A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN201980050687.0A CN112514044A (en) 2018-07-31 2019-07-29 Honeycomb injector with dielectric window for substrate processing system
KR1020217006204A KR20210028275A (en) 2018-07-31 2019-07-29 Honeycomb injector with dielectric window for substrate processing system

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862712415P 2018-07-31 2018-07-31
US62/712,415 2018-07-31

Publications (1)

Publication Number Publication Date
WO2020028256A1 true WO2020028256A1 (en) 2020-02-06

Family

ID=69232551

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2019/043926 WO2020028256A1 (en) 2018-07-31 2019-07-29 Honeycomb injector with dielectric window for substrate processing systems

Country Status (3)

Country Link
KR (1) KR20210028275A (en)
CN (1) CN112514044A (en)
WO (1) WO2020028256A1 (en)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US7685965B1 (en) * 2006-01-26 2010-03-30 Lam Research Corporation Apparatus for shielding process chamber port
JP2011054781A (en) * 2009-09-02 2011-03-17 Tokyo Electron Ltd Plasma processing apparatus
JP2013016443A (en) * 2011-07-06 2013-01-24 Tokyo Electron Ltd Antenna, dielectric window, plasma processing unit and plasma processing method
US20130098554A1 (en) * 2011-10-25 2013-04-25 Lam Research Corporation Window and mounting arrangement for twist-and-lock gas injector assembly of inductively coupled plasma chamber

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US7685965B1 (en) * 2006-01-26 2010-03-30 Lam Research Corporation Apparatus for shielding process chamber port
JP2011054781A (en) * 2009-09-02 2011-03-17 Tokyo Electron Ltd Plasma processing apparatus
JP2013016443A (en) * 2011-07-06 2013-01-24 Tokyo Electron Ltd Antenna, dielectric window, plasma processing unit and plasma processing method
US20130098554A1 (en) * 2011-10-25 2013-04-25 Lam Research Corporation Window and mounting arrangement for twist-and-lock gas injector assembly of inductively coupled plasma chamber

Also Published As

Publication number Publication date
KR20210028275A (en) 2021-03-11
CN112514044A (en) 2021-03-16
TW202036751A (en) 2020-10-01

Similar Documents

Publication Publication Date Title
KR102333806B1 (en) Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US11069553B2 (en) Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
US11008655B2 (en) Components such as edge rings including chemical vapor deposition (CVD) diamond coating with high purity SP3 bonds for plasma processing systems
US10741425B2 (en) Helium plug design to reduce arcing
US10161034B2 (en) Rapid chamber clean using concurrent in-situ and remote plasma sources
WO2018075750A1 (en) Pin lifter assembly with small gap
KR20160121429A (en) Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems
US10460977B2 (en) Lift pin holder with spring retention for substrate processing systems
US20230020387A1 (en) Low temperature sintered coatings for plasma chambers
US11515128B2 (en) Confinement ring with extended life
US10096471B2 (en) Partial net shape and partial near net shape silicon carbide chemical vapor deposition
WO2020028256A1 (en) Honeycomb injector with dielectric window for substrate processing systems
TWI838390B (en) Honeycomb injector with dielectric window for substrate processing systems
US10460978B2 (en) Boltless substrate support assembly
US20180005867A1 (en) Esc ceramic sidewall modification for particle and metals performance enhancements
US20230402264A1 (en) Carrier ring for floating tcp chamber gas plate
US20230245854A1 (en) Hybrid liquid/air cooling system for tcp windows
US20220375725A1 (en) Segmented gas distribution plate for high-power, high-pressure processes
US20220235459A1 (en) Reduced diameter carrier ring hardware for substrate processing systems
WO2023239531A1 (en) Liquid cooling plate for cooling of dielectric window of a substrate processing system
WO2023043768A1 (en) Enclosure for mitigating rf power ramp up in icp source
WO2024072668A1 (en) Dome shaped chamber for generating in-situ cleaning plasma
WO2023077002A1 (en) Showerhead with hole sizes for radical species delivery

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 19844143

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

ENP Entry into the national phase

Ref document number: 20217006204

Country of ref document: KR

Kind code of ref document: A

122 Ep: pct application non-entry in european phase

Ref document number: 19844143

Country of ref document: EP

Kind code of ref document: A1