TW201706449A - 在高密度電漿化學氣相沉積系統中第一片晶圓的金屬污染影響之消除 - Google Patents
在高密度電漿化學氣相沉積系統中第一片晶圓的金屬污染影響之消除 Download PDFInfo
- Publication number
- TW201706449A TW201706449A TW105110849A TW105110849A TW201706449A TW 201706449 A TW201706449 A TW 201706449A TW 105110849 A TW105110849 A TW 105110849A TW 105110849 A TW105110849 A TW 105110849A TW 201706449 A TW201706449 A TW 201706449A
- Authority
- TW
- Taiwan
- Prior art keywords
- chamber
- wafer
- plasma
- bias power
- gas
- Prior art date
Links
- 238000005229 chemical vapour deposition Methods 0.000 title claims description 27
- 238000011109 contamination Methods 0.000 title description 24
- 239000002184 metal Substances 0.000 title description 24
- 229910052751 metal Inorganic materials 0.000 title description 24
- 230000000694 effects Effects 0.000 title description 6
- 238000000034 method Methods 0.000 claims abstract description 121
- 230000001681 protective effect Effects 0.000 claims abstract description 39
- 238000001816 cooling Methods 0.000 claims abstract description 7
- 238000012545 processing Methods 0.000 claims description 75
- 238000000151 deposition Methods 0.000 claims description 42
- 239000010408 film Substances 0.000 claims description 25
- 238000012546 transfer Methods 0.000 claims description 23
- 239000010409 thin film Substances 0.000 claims description 8
- 229910010293 ceramic material Inorganic materials 0.000 claims description 5
- 239000011248 coating agent Substances 0.000 claims description 3
- 238000000576 coating method Methods 0.000 claims description 3
- 230000008569 process Effects 0.000 abstract description 59
- 230000003750 conditioning effect Effects 0.000 abstract description 37
- 239000001307 helium Substances 0.000 abstract description 9
- 229910052734 helium Inorganic materials 0.000 abstract description 9
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 abstract description 9
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 abstract description 2
- 235000012431 wafers Nutrition 0.000 description 152
- 239000007789 gas Substances 0.000 description 69
- 230000008021 deposition Effects 0.000 description 33
- 238000005137 deposition process Methods 0.000 description 14
- 239000004065 semiconductor Substances 0.000 description 14
- 238000006243 chemical reaction Methods 0.000 description 9
- 239000000463 material Substances 0.000 description 9
- 238000004519 manufacturing process Methods 0.000 description 8
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 8
- 238000010586 diagram Methods 0.000 description 6
- 238000010438 heat treatment Methods 0.000 description 6
- 238000011160 research Methods 0.000 description 6
- 235000019687 Lamb Nutrition 0.000 description 5
- 239000000203 mixture Substances 0.000 description 5
- 239000000758 substrate Substances 0.000 description 5
- 238000000231 atomic layer deposition Methods 0.000 description 4
- 238000007796 conventional method Methods 0.000 description 4
- 238000005530 etching Methods 0.000 description 4
- 238000002474 experimental method Methods 0.000 description 4
- 230000007246 mechanism Effects 0.000 description 4
- 238000003860 storage Methods 0.000 description 4
- 238000005336 cracking Methods 0.000 description 3
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 3
- 239000000126 substance Substances 0.000 description 3
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 2
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- DIOQZVSQGTUSAI-UHFFFAOYSA-N decane Chemical compound CCCCCCCCCC DIOQZVSQGTUSAI-UHFFFAOYSA-N 0.000 description 2
- 239000012530 fluid Substances 0.000 description 2
- 239000012535 impurity Substances 0.000 description 2
- 238000011065 in-situ storage Methods 0.000 description 2
- 150000002500 ions Chemical class 0.000 description 2
- 230000033001 locomotion Effects 0.000 description 2
- 238000005259 measurement Methods 0.000 description 2
- 239000002923 metal particle Substances 0.000 description 2
- 150000002739 metals Chemical class 0.000 description 2
- 239000001301 oxygen Substances 0.000 description 2
- 229910052760 oxygen Inorganic materials 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- 239000002243 precursor Substances 0.000 description 2
- 238000005086 pumping Methods 0.000 description 2
- WOCIAKWEIIZHES-UHFFFAOYSA-N ruthenium(iv) oxide Chemical compound O=[Ru]=O WOCIAKWEIIZHES-UHFFFAOYSA-N 0.000 description 2
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 description 1
- 230000001154 acute effect Effects 0.000 description 1
- 230000002411 adverse Effects 0.000 description 1
- 238000013019 agitation Methods 0.000 description 1
- 238000013459 approach Methods 0.000 description 1
- 238000003491 array Methods 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 230000005540 biological transmission Effects 0.000 description 1
- 239000012159 carrier gas Substances 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 230000007547 defect Effects 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 238000006073 displacement reaction Methods 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 230000005684 electric field Effects 0.000 description 1
- 230000005611 electricity Effects 0.000 description 1
- 230000008030 elimination Effects 0.000 description 1
- 238000003379 elimination reaction Methods 0.000 description 1
- 238000011010 flushing procedure Methods 0.000 description 1
- 238000009472 formulation Methods 0.000 description 1
- 238000010574 gas phase reaction Methods 0.000 description 1
- 229910052732 germanium Inorganic materials 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 239000013529 heat transfer fluid Substances 0.000 description 1
- 238000010348 incorporation Methods 0.000 description 1
- 238000009616 inductively coupled plasma Methods 0.000 description 1
- 238000002347 injection Methods 0.000 description 1
- 239000007924 injection Substances 0.000 description 1
- 238000005468 ion implantation Methods 0.000 description 1
- 239000010410 layer Substances 0.000 description 1
- 238000013507 mapping Methods 0.000 description 1
- 239000007769 metal material Substances 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 238000012544 monitoring process Methods 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- 239000002985 plastic film Substances 0.000 description 1
- 238000007747 plating Methods 0.000 description 1
- 238000002203 pretreatment Methods 0.000 description 1
- 238000011112 process operation Methods 0.000 description 1
- 229910052707 ruthenium Inorganic materials 0.000 description 1
- 238000012163 sequencing technique Methods 0.000 description 1
- 239000002002 slurry Substances 0.000 description 1
- 238000004901 spalling Methods 0.000 description 1
- 238000010561 standard procedure Methods 0.000 description 1
- 230000003746 surface roughness Effects 0.000 description 1
- 230000001360 synchronised effect Effects 0.000 description 1
- 238000000427 thin-film deposition Methods 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/0262—Reduction or decomposition of gaseous compounds, e.g. CVD
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/4401—Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
- C23C16/4404—Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/458—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
- C23C16/4582—Rigid and flat substrates, e.g. plates or discs
- C23C16/4583—Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/46—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
- C23C16/463—Cooling of the substrate
- C23C16/466—Cooling of the substrate using thermal contact gas
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/52—Controlling or regulating the coating process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/6831—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68728—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of separate clamping members, e.g. clamping fingers
Abstract
提供使用二階段式處理來調節腔室的方法與設備,其中二階段式處理涉及低偏壓與高偏壓階段。方法亦涉及在高偏壓階段期間透過施加偏壓到靜電卡盤,而將保護性靜電卡盤外罩夾持至支座,同時冷卻保護性靜電卡盤外罩,例如透過使氦流動到外罩之背側。
Description
本發明係關於在高密度電漿化學氣相沉積系統中第一片晶圓的金屬污染影響之消除。
使用以電漿為基礎的化學物來沉積薄膜的系統,被使用於多種應用中,例如製造積體電路。裝置尺寸隨著半導體與其他產業的發展而日漸微型化。這些積極微型化的特徵部需要高度均勻的沉積方法,而薄膜雜質或其他非均勻性之存在通常會導致裝置失敗。在晶圓處理前,可執行多種技術以減少晶圓處理期間的缺陷及汙染。然而,儘管使用這些習知技術,金屬微粒仍會併入晶圓中。
本文中提供將待處理晶圓批次的第一片晶圓上的汙染去除的方法與設備。一態樣涉及一方法,包括下列步驟: (a)執行第一階段,包含在無晶圓存在於腔室中的情況下,在第一偏壓功率下將該腔室暴露到電漿,以在該腔室的表面上沉積薄膜,該偏壓功率被施加到該腔室中的靜電卡盤;並且(b)在執行該第一階段之後,執行第二階段,包含在無晶圓存在於該腔室中的情況下,在第二偏壓功率下將該腔室暴露到電漿,以在該腔室的表面上沉積第二薄膜;其中該第二偏壓功率高於該第一偏壓功率。
在一些實施例中,步驟(b)更包含將保護性外罩夾持至該靜電卡盤,並使氣體流動到該保護性外罩之背側。流動到該保護性外罩之背側的氣體的壓力可小於約 3 Torr。在一些實施例中,該保護性外罩包含陶瓷材料。該保護性外罩可被夾持至少約10秒。該保護性外罩可被以至少約2000V的夾持電壓夾持。
在許多實施例中,步驟(b)更包含冷卻該靜電卡盤上的保護性外罩。在一些實施例中,步驟(b)更包含執行高密度電漿化學氣相沉積。
該第一偏壓功率可低於約1500W。該第二偏壓功率可至少約2000W。
在一些實施例中,該方法更包含步驟(c),在執行該第二階段之後,將一晶圓暴露到該腔室中的電漿,以透過高密度電漿化學氣相沉積來沉積薄膜。
另一態樣涉及一方法,包含下列步驟:將卡盤上具有保護性外罩的電漿腔室暴露到電漿,藉此將腔室的表面塗覆薄膜,其中在電漿暴露期間主動地冷卻該保護性外罩。
透過將該保護性外罩夾持至該卡盤,並使氣體流動到該保護性外罩之背側,來主動地冷卻該保護性外罩。流動到該保護性外罩之背側的氣體的壓力可小於約 3 Torr。該保護性外罩可被以至少約2000V的夾持電壓夾持。該保護性外罩可被夾持至少約10秒。
在一些實施例中,該保護性外罩包含陶瓷材料。在許多實施例中,該方法更包含在該電漿暴露期間施加偏壓到該卡盤。
另一態樣涉及用以處理晶圓的設備,該設備包含: (a)一電漿產生器;(b)一腔室,包含有著靜電卡盤的一支座;(c)一熱傳子系統,包含配置以供給氣體到該支座的氣體管線;(d)一或多個氣體入口;以及(e)一控制器,包含用以進行下列操作的機械可讀指令: (i)在第一偏壓功率下於該腔室中產生電漿,歷時足以塗覆該腔室之內側表面的持續期間;(ii)在操作(i)之後,在第二偏壓功率下於該腔室中產生第二電漿,以在第二偏壓功率下於該腔室之內側表面上沉積薄膜;其中該第二偏壓功率高於該第一偏壓功率。該控制器更包含用以進行下列操作的機械可讀指令:在操作(ii)期間使氦流經該氣體管線以供給氦到該支座。
該等與其他態樣參考圖式進一步描述如下。
為提供本文之實施例的全面性的理解,在接下來的實施方式中闡述許多具體的細節。所揭露之實施例毋須一些或全部該等具體細節而可被實施。在一些例子中,為了避免不必要地混淆所揭露之實施例,熟知的製程操作未詳細描述。雖然所揭露之實施例結合具體的實施例來描述,但應知悉的係,吾人不欲限制該等所揭露之實施例。
在此應用中,「晶圓」、「基板」等用語可互換地使用。本技術領域中具有通常知識者應理解,在許多實施例中,可在處理矽晶圓之前或期間使用本文中描述的方法與設備,其中該矽晶圓在其上的許多積體電路製程階段中之任一期間。使用於半導體裝置產業的晶圓或基板一般具有200mm、300mm、或450mm的直徑。可受益於所揭露實施例的其他類型的反應器包括用於製造多樣物件的反應器,物件例如印刷電路板、顯示器以及其他。除了半導體晶圓,本文中描述的方法與設備可與配置用於其他類型之基板(包括玻璃與塑料板)的沉積腔室一起使用。此外,若在如下之敘述中使用「晶圓」這個用語,吾人應理解該敘述亦適用於板或其他基板。
隨著半導體裝置尺寸微型化,製造半導體裝置的方法對汙染日漸敏感。例如,半導體裝置通常係在腔室元件及腔室腔壁係由金屬材料(例如鋁)所製成的腔室或反應器中製造。雖然一些半導體裝置製程未涉及電漿,但在若干實施電漿的處理中,在沉積期間暴露到原位電漿的腔室元件可能成片剝落並脫離,因此提高金屬微粒併入薄膜(其沉積在晶圓上)中的風險。例如,「高密度電漿化學氣相沉積」(HDP CVD)系統產生用於積體電路應用(例如介電性薄膜沉積及間隙填充)的高密度電漿。一些系統實施感應耦合型電漿(由位於上方圓頂的低頻射頻(LFRF)功率供電)與電容耦合型電漿(由位於靜電卡盤(ESC)的高頻(HF)功率供電)。在處理期間,氣體被游離化並朝向位於ESC上的晶圓加速流動。HDP CVD處理可在沉積期間實施同步濺射蝕刻,以允許高深寬比溝槽之間隙填充。當暴露到處理期間所使用的電漿時,HDP CVD系統之元件易受影響而成片剝落並脫離。
應注意雖然本文中描述的方法與設備涉及HDP CVD反應器,但已知某些所揭露實施例亦可與其他的電漿反應器一起使用。例如,所揭露實施例可與「電漿增強化學氣相沉積」(PECVD)反應器或電漿蝕刻反應器一起使用,其中PECVD反應器例如可得自美國加州佛蒙特(Fremont, CA)的蘭姆研究公司(Lam Research Corporation) 的Vector 工具,而電漿蝕刻反應器例如可得自美國加州佛蒙特的蘭姆研究公司的Kiyo工具。如本文中所使用的HDP CVD與PECVD不同。HDP CVD的製程條件及所產生的薄膜,與電容耦合型PECVD製程的不同。例如,如本文中描述的許多HDP反應器係在小於約100 mTorr之壓力下操作,其中電漿密度高於1011
離子/cm3
。 HDP 反應器可針對線圈引燃電漿頻率為400 kHz 的電漿,並針對支座(放置晶圓之處)引燃頻率為 13.56 MHz的電漿。在電容耦合型電漿反應器中,使用 13.56 MHz的電漿頻率來產生施加到噴淋頭或支座的電漿,並施加 400 kHz到該噴淋頭或支座。HDP反應器中的離子能量可大於在PECVD 反應器中者。因此,HDP CVD反應器中沉積之薄膜的薄膜組成與特性,和在PECVD 反應器中沉積者不同。
業已使用一些習知方法來減少在電漿反應器(例如HDP CVD反應器與PECVD 反應器)中沉積之晶圓上的汙染。例如,預處理方法包括在處理晶圓之前以預塗層或調節層來調節腔室。調節層被定義為,通常在無晶圓存在反應腔室中之情況下,在該反應腔室之內側表面上形成的薄膜層。調節可包括在反應腔室的表面上沉積一或多個調節層(例如底塗層及/或沉積前塗層)。被塗覆之表面的範例包括腔室腔壁/天花板/地板、支座、晶圓墊圈、噴淋頭、排氣系統、流體管線、泵浦、心軸、晶圓傳送機器手臂、填隙板、二次沖洗軸環等。
在缺乏調節層的反應腔室中沉積的薄膜常具有嚴重的金屬汙染問題。當未受塗覆的金屬腔室暴露到電漿時,少量的金屬可能從腔室表面離開並最終落在薄膜(其沉積於晶圓上)上。這些金屬雜質可能不利地影響形成於晶圓上之裝置的效能,且在一些情況下可能導致裝置失敗。沉積調節層以保護腔室元件不在暴露到電漿時成片剝落。就平衡阻抗而言,當沉積以CVD為基礎的薄膜(透過氣相反應而沉積)時,腔室的調節層尤其有用。因為反應腔室係由金屬製成且沉積於晶圓上的薄膜一般為介電性材料,所以反應腔室的阻抗隨著介電質的沉積而變化。因此,透過提供調節層,可使腔室的阻抗在處理任何晶圓之前變得相對穩定化,藉此最佳化製程均勻性。
然而,習知的調節技術仍產生金屬汙染,尤其係在執行調節後受到處理的第一片晶圓上。此影響可能係由於調節期間與沉積期間之間的腔室條件差異所致。調節期間與沉積期間的薄膜性質,因各處理期間所使用之不同的偏壓功率而有差異。由於調節期間存在腔室中的其他元件,若干調節處理係在和沉積期間之條件不同的條件下執行。例如,電漿反應器可包括支座,晶圓在沉積期間放置於該支座上以進行處理。該支座可包括ESC。在調節處理期間,ESC受到保護,以避免對表面造成破壞,例如透過在ESC上放置保護性靜電卡盤外罩(PEC),以保護該ESC不受到電漿暴露。然而,當暴露到以高功率產生的電漿時,PEC易受影響而破裂。因此,習知的調節係在低高頻射頻(HF RF)功率下執行,以減少對PEC的破壞,但功率係低的,以避免PEC變得過熱而破裂。然而,在調節之後,薄膜可能係在較高的功率下進行沉積,且此類薄膜對調節層具有差的吸附性,而因此造成調節層從腔室元件上成片剝落,使腔室元件暴露到電漿,而對後續的晶圓造成金屬汙染。
因此,習知的方法亦涉及在調節處理與沉積處理之間使用虛擬晶圓,使得任何金屬汙染皆係發生在虛擬晶圓上,而非發生在待處理的第一片晶圓上。在一範例中,可將虛擬晶圓放置在儲存卡匣(其位於處理晶圓用之工具的前端箱裝載器)中,例如前開式晶圓傳送盒(FOUP)。自動程式化機器手臂系統可為晶圓繪製地圖(map)並通過負載匣與晶圓傳送系統(WTS)將晶圓傳送到HDP CVD處理腔室中。亦可在前端附加含有裸露矽晶圓的虛擬FOUP以容置虛擬晶圓。可在執行調節處理之後將該虛擬晶圓立即地傳送到處理腔室中。然後在該虛擬晶圓上執行沉積處理,接下來依序地處理從主FOUP而來的裝置晶圓。該虛擬晶圓可經沉積複數次並且再利用。然而,此種方法既浪費虛擬晶圓又降低晶圓處理的產出量。此外,要實施涉及虛擬晶圓的處理,需使用額外的硬體元件(例如另外的箱裝載器)及軟體(其用以達成自動化繪製地圖、傳送、及處理另外的虛擬FOUP)。虛擬晶圓不能用於裝置,而這提高總處理的矽材料成本。
用以減少污染的另一習知技術亦涉及在調節處理期間沉積更多的材料,以及引入高壓的傳送電漿。然而,這些方法既降低產出量又消耗較多前驅物氣體,且可能仍會因為成片剝落或傳送電漿的非均勻性而產生汙染。
本文中提供的係透過執行二階段式調節處理來減少或去除第一片晶圓之金屬汙染的方法。在一些實施例中,所揭露實施例包括在第二階段期間施加比在第一階段期間者更高的偏壓功率。在各個階段中,可將腔室暴露到相同或不同的氣體。
在一些實施例中,該方法涉及在腔室中的ESC上放置PEC,並將該PEC暴露到和在該第二階段期間用於晶圓的沉積條件的相同的沉積條件。例如,在腔室中沉積調節層之後,可將PEC留在ESC上,同時將待使用於晶圓的前驅物氣體引入腔室中,並在沉積條件下在PEC上進行沉積。接下來,將第一片晶圓傳送到腔室中,以在相同的條件下受處理。本文中描述的方法可涉及最小化的處理流程變動,以及透過捨棄虛擬晶圓之使用並維持產出量來降低成本。
本文中描述的方法係在處理晶圓用之設備中執行。此類設備可採取許多不同的形式。一般而言,該設備包括容置一或多個晶圓且適用於晶圓處理的一或多個腔室或反應器(有時包括複數個站)。該一或多個腔室將晶圓維持在所界定的位置或複數位置上(在該位置中有動作或無動作,例如旋轉、振動、或其他攪動)。在處理時,各晶圓被支座(其可包括ESC)固持在位置上。針對要加熱晶圓的某些操作,該設備可包括加熱器,例如熱板。適當反應器的範例為可得自美國加州佛蒙特的蘭姆研究公司的SPEEDTM
反應器。
圖1為適用於執行所揭露實施例的HDP CVD反應器之範例的示意圖。反應器101包括處理腔室103,其包圍該反應器的其他元件並用於容納電漿。在一些實施中,該處理腔室之腔壁係由鋁、鋁氧化物、及/或其他適當的材料所製成。圖1所示之實施例具有兩個電漿來源:頂部RF線圈105與側部RF線圈107。頂部RF線圈105為中頻或MFRF線圈,而側部RF線圈107為低頻或 LFRF線圈。在圖1所示之實施例中,MFRF頻率可為430 – 470 kHz,而LFRF頻率為340 – 370 kHz。然而,所揭露實施例不限於在具有雙來源之反應腔室中的操作,亦不限於在具有RF電漿來源之反應腔室中的操作。可使用任何適當的電漿來源或複數電漿來源。
在該反應器中,晶圓支座109支撐工作件111。工作件111可為PEC或晶圓。晶圓支座109包括卡盤(有時稱為夾具),以將晶圓固持(或夾持)在位置上。卡盤可用於在各種處理期間將物件夾持在支座上。該卡盤可為ESC、機械卡盤、或可使用的各種其他類型的卡盤。一氣體輸送子系統控制工作件111的溫度,該氣體輸送子系統包括用以供給氣體或熱傳流體到晶圓支座109的管線113。晶圓支座109及該氣體輸送子系統可促進維持適當的晶圓溫度。
高頻RF(HFRF)來源115用以在各種處理期間對工作件111施加電性偏壓並且將帶電的氣體物種吸引到工作件111上。例如,電能經由電極或電容耦合,而從來源115耦合到工作件111。應注意,施加到工作件111上的偏壓可不為RF偏壓。亦可使用其他頻率及DC偏壓。
經由一或多個入口117引入處理氣體。氣體可預先混合或未預先混合。可透過包括孔口的氣體供給入口機制來引入處理氣體。在一些實施例中,該等孔口的至少若干者將處理氣體沿著一注入軸定向,而該注入軸呈銳角與工作件111的暴露表面相交。再者,可從主要氣體環121引入處理氣體,主要氣體環121可將氣體朝支座引導,或未將氣體朝支座引導。在一些實施例中,除了主要氣體環121以外,可從一或多個氣體環(未圖示)引入處理氣體。注入器可與主要氣體環121連接,以將至少若干的氣體或氣體混合物引進該腔室中,並朝支座引導。應注意在一些實施例中,可不使用注入器、氣體環、或將處理氣體朝晶圓引導的其他機制。因處理氣體進入腔室所產生的聲波前端本身會使氣體快速擴散在所有方向,包括朝向工作件111的方向。處理氣體經由出口122離開腔室103。真空泵浦(例如渦輪分子泵浦)通常將處理氣體抽出並維持該反應器內適當地低壓。可使用控制器190來控制反應器101。控制器190可包括用以執行本文中揭露之各種操作的機械可讀指令。關於控制器190的更多描述提供如下。
圖2提供製程流程圖,圖解用以根據所揭露實施例執行方法的操作。在操作201中,將PEC設置在處理腔室(例如前文中參考圖1描述者)中的支座的ESC上。在許多實施例中,在操作201期間,PEC係放置在支座上,但並非被夾持在該支座上。
適合在各種實施例中使用的PEC具有許多特性。在許多實施例中,選擇PEC的尺寸與材料,以允許PEC被夾持在支座上並耐受如本文中描述的處理。在一些實施例中,PEC可具有與待處理晶圓相同的尺寸。例如,PEC可具有約250 mm的直徑、或300 mm 的直徑、或450 mm的直徑。在許多實施例中,PEC具有300 mm的直徑。PEC可由陶瓷材料製成,例如鋁氮化物。
在操作203中,在第一階段期間將調節層沉積在腔室的表面上。亦可將調節層沉積在PEC上。在操作203期間,將一或多個氣體引入腔室中,同時施加第一偏壓功率。根據PEC之材料來選擇第一偏壓功率,以緩和PEC的破裂。例如,在一些實施例中,PEC具有可施加的閾值偏壓功率,超過閾值偏壓功率的高偏壓功率會提高PEC的熱非均勻性而導致PEC破裂。在許多實施例中,最大第一偏壓功率可介於約1500W與3000W之間。在一些實施例中,最大第一偏壓功率約1500W。可使用任何適當的調節層。範例包括矽烷、氧、氦、及其中之組合。在操作203期間,可將調節層沉積到介於約2與約3µm之間的厚度。可執行操作203歷時介於約5與約7分鐘的持續期間。(以這些範圍舉例說明,根據所應用之特定設備與處理,尚有適當之其他厚度與持續期間。)
在操作205中,將腔室暴露到模擬將工作件傳送離開腔室以及將晶圓傳送進入腔室之過程的電漿條件。然而應注意的係,PEC未在此操作期間傳送離開腔室,並且可留在腔室內。在許多實施例中,操作205包括使用LFRF電漿將PEC暴露到氧與氦電漿。在許多實施例中,可執行操作205歷時介於約10秒與約40秒的持續期間,例如約20秒。
在操作207中,於第二階段中,在第二偏壓功率下將薄膜沉積在腔室元件上。在許多實施例中,操作207中的第二偏壓功率高於操作203中的第一偏壓功率。例如,可在介於約2000W與約9500W的功率下施加偏壓。然而,因為在PEC破裂之前,所施加之偏壓的偏壓功率可能高於PEC之閾值功率,所以受HFRF電漿加熱的影響,PEC可能在許多沉積處理後因高的熱非均勻性而破裂,其中HFRF電漿加熱影響PEC的熱性質(熱傳導性、比熱、及膨脹係數)、機械性質(密度、楊氏模數、及波森比)、厚度、及表面粗糙度。
因此,在操作207期間,透過冷卻PEC來維持PEC的溫度。例如,可透過使氣體(例如氦)經由ESC上的通道流到PEC的背側來冷卻PEC。在許多實施例中,因為PEC係由可能無法耐受較高之第二偏壓功率(在此操作期間使用)的材料所製成,所以冷卻PEC以降低處理期間的熱非均勻性並避免破裂。
PEC在操作207期間留在支座上以避免對ESC造成破壞。為避免PEC在氣體流到背側時位移,使用夾持機制將PEC夾持在支座上,透過靜電夾持或機械夾持PEC。在許多實施例中,PEC受靜電夾持。用於將PEC夾持在支座上的ESC電壓視所使用的ESC類型而定。例如,在一些實施例中,夾持電壓可至少約2000V。在一些實施例中,在避免對HFRF電場及PEC表面上的薄膜成長造成任何干擾之情況下,可使用機械夾持機制。
在操作209中,可使用任何適當的氣體來沉積薄膜。在許多實施例中,在操作209中使用的一或多個氣體,在操作203中也被使用。在許多實施例中,操作209可包括預熱PEC、將氣體轉向、沉積薄膜、蝕刻薄膜以鈍化表面、及後冷卻。
在許多實施例中,可重複執行操作205與207一或更多次,以減少金屬汙染。例如,在操作207後,將腔室暴露到模擬將晶圓傳送離開腔室並回到腔室之過程的電漿條件,以再次模擬另一晶圓之傳送進入。然後可再次執行操作207,以模擬晶圓的沉積處理,同時夾持PEC並將氣體引導到PEC的背側以維持PEC的溫度。可重複執行這些操作以將操作207之後受處理之晶圓中的金屬汙染進一步減少。
在一些實施例中,在操作207之後,將PEC移開,將第一片晶圓傳送到腔室中並放置在支座上以進行處理。在一些實施中,將PEC去夾持,關閉用於冷卻PEC的背側氣流,並且將支座移動到適合進行晶圓處理的位置。
在一些實施例中,在操作201-207之後執行操作209。在操作209中,透過在沉積偏壓功率下將薄膜沉積在晶圓上,而選擇性地處理晶圓。在一些實施例中,透過HDP CVD來沉積薄膜。在許多實施例中,該沉積偏壓功率與操作207中使用的第二偏壓功率幾乎相等或相等。該沉積偏壓功率可高於操作203中的第一偏壓功率。例如,該沉積偏壓功率可介於約2000W與約9500W之間,例如約2000W。在操作209期間使用的一或多個氣體可與在操作207中用以沉積薄膜的一或多個氣體相同。在一些實施例中,在操作209期間使用的一或多個氣體可與在操作203中用以沉積調節層的一或多個氣體相同。在一些實施例中,用於操作207的製程條件,在操作209中亦使用,例如腔室壓力、支座溫度、偏壓功率、氣體化學物及流率等。使用所揭露實施例處理的晶圓表現出較少的金屬汙染,且PEC可經清潔並再使用於多於一個待處理晶圓批次。 設備
所揭露實施例可在腔室中執行,例如上述圖1所示之腔室。雖然圖1中描繪高密度電漿化學氣相沉積(HDP CVD)腔室,但在本文描述的許多實施例中可使用其他用以沉積薄膜的反應器或工具。
如前述,反應器101包括用以根據所揭露實施例控制操作的控制器190。可使用控制器190來控制各種參數,例如氣體經由入口113流到支座109的流率及壓力、支座109的移動、靜電卡盤(ESC)的偏壓功率、MFRF線圈105及 LFRF線圈107的電漿功率、支座溫度、腔室壓力、到處理腔室103的氣流、進出腔室103的工作件111傳送、及其他操作。
在許多實施例中,圖1所示之反應器101為用以處理一或多個晶圓的工具的一部份。工具之範例包括圖3中提供之一或多個反應器。圖3為適合用於根據所揭露實施例進行多步驟沉積處理的電漿處理系統之方塊圖。系統300包括傳送模組303,例如使用在SPEEDTM
平台(可得自美國加州佛蒙特的蘭姆研究公司)上的晶圓傳送系統(WTS)。傳送模組303提供乾淨、加壓的環境,以將處理中(當工作件在各種處理階段之間移動時)之工作件(例如晶圓)的汙染風險降低。一或多個HDP CVD模組或處理腔室305(例如可得自美國加州佛蒙特的蘭姆研究公司的蘭姆SPEEDTM
反應器)架設在傳送模組303上。蝕刻模組307可為蘭姆原子層移除(ALR)反應器、或KiyoTM反應器。這些蝕刻反應器可被架設在與沉積反應器同一個平台上、或架設在不同的平台上。
該系統可選擇性地包括能夠執行PECVD 或原子層沉積 (ALD)處理的腔室309。腔室309可包括複數個站311、 313、 315、及 317,其可依序地執行沉積或移除操作、或保護性靜電卡盤外罩(PEC)清潔操作。系統300亦包括一或多個(在此例子中為兩個)晶圓來源模組301,為處理之前與之後儲存晶圓之處。傳送模組303中的裝置(通常為機械手臂元件)使晶圓在架設於傳送模組303上的模組中移動。
機器手臂在HDP CVD反應器305及/或電漿蝕刻反應器307之間傳送晶圓,以分別進行沉積及回蝕處理。機器手臂亦可在調節層沉積模組309與其他腔室之間傳送晶圓。在一實施例中,單一個蝕刻反應器可支持此應用中的兩個SPEED沉積模組305,有著約每小時15-16個晶圓(wph)的高產出量。在其他實施例中,兩個蝕刻反應器307可支持一或更多個SPEED沉積模組305。
亦可不使用電漿蝕刻腔室而實施所揭露實施例。例如,單一個腔室可經配置以進行HDP CVD沉積及反應性電漿蝕刻兩者。例如,蘭姆 SPEED HDP-CVD反應器能夠進行調節、沉積、及電漿蝕刻,且有著與使用個別的反應器相似的產出量。給定本文中提供之細節與參數,單一腔室(例如電漿反應器)可配置成具有設備(例如本文中描述的各種電漿來源),其用以進行沉積(HDP CVD)與反應性電漿蝕刻(例如原位或下游電漿來源)。
圖3亦描繪系統控制器350之實施例,其用以控制處理工具300的硬體狀態與製程條件。系統控制器350可提供實施上述處理的程式指令。該等程式指令可控制各樣的製程參數,例如DC功率位準、 RF偏壓功率位準、壓力、溫度等。該等指令可控制該等參數,以根據本文中描述的許多實施例在處理一批次中的晶圓之前調節腔室並於PEC上執行沉積操作。
在一些實施中,控制器350可為系統之一部分,系統可為上述範例之一部分。此類系統可包含半導體處理設備,其包括一或複數之處理工具、一或複數之腔室、用於處理的一或複數之工作台、及/或特定處理元件(晶圓基座、氣流系統等)。該等系統可與電子設備結合,該電子設備係用於在半導體晶圓或基板之處理期間或在該處理前後控制其操作。可將該電子設備稱為「控制器」,其可控制一或複數系統的各種元件或子部件。依據處理需求及/或系統之類型,可對控制器350編寫程式以控制本文中揭露的製程之任一者,包含處理氣體之輸送、溫度設定(例如加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、進出工具及連接至特定系統或與特定系統透過介面接合的其他傳送工具及/或負載鎖室之晶圓傳送。
廣泛而言,可將控制器350定義為具有接收指令、發送指令、控制操作、允許清潔操作、允許端點量測等之各種積體電路、邏輯、記憶體、及/或軟體的電子設備。該積體電路可包含儲存程式指令的韌體形式之晶片、數位信號處理器(DSPs)、定義為特殊應用積體電路(ASICs)之晶片、及/或執行程式指令(例如軟體)之一或更多的微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)之形式傳送到控制器的指令,其定義用以在半導體晶圓上、或針對半導體晶圓、或對系統執行特定製程的操作參數。在一些實施例中,該操作參數可為由製程工程師所定義之配方的部分,該配方係用以在一或更多的層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓之晶粒的製造期間,完成一或更多的處理步驟。
在一些實施例中,系統控制器350處理工具300的所有活動。系統控制器350可包括一或多個記憶體裝置356、一或多個大量儲存裝置354、及一或多個處理器352。處理器352可包括CPU或電腦、類比及/或數位輸入/輸出連接件、步進馬達控制面板等。系統控制器350執行系統控制軟體358,該控制軟體儲存於大量儲存裝置354中、載入至記憶體裝置356中、並於處理器352上執行。替代地,可將控制邏輯硬編碼於控制器350中。特殊應用積體電路、可程式化邏輯裝置(例如場可程式化閘陣列或FPGAs)等,可用於該等用途。在下列討論中,無論「軟體」或「編碼」用於何種情況,功能上相當之硬碼化邏輯皆可用於該狀況。系統控制軟體358可包含用以控制下列各項的指令:PEC傳送進出處理腔室、晶圓傳送進出處理腔室、氣體之時序、氣體之混合、氣體流量、腔室及/或站的壓力、背側氣流壓力、腔室及/或反應器的溫度、晶圓溫度、偏壓頻率、目標功率位準、RF功率位準、支座、卡盤及/或載具位置、及由處理工具300所執行的特定製程之其他參數。系統控制軟體358可以任何合適的方式來配置。例如,可寫入各種處理工具元件子程式或控制目標,以控制用以執行各種處理工具製程之該等處理工具元件的操作。系統控制軟體358可以任何合適的電腦可讀取程式語言來進行編碼。
在一些實施中,控制器350可為電腦的部分或連接至電腦,該電腦係與系統整合、連接至系統、或透過網路連接至系統、或上述之組合。舉例而言,控制器350係可位於「雲端」(in the “cloud”)、或為晶圓廠主機電腦系統的全部或部分,其可允許晶圓處理之遠端存取。該電腦能達成對該系統之遠端存取,以監視製造操作之目前製程、查看過去製造操作之歷史、查看來自多個製造操作之趨勢或性能指標,來改變目前處理之參數,以設定處理步驟來接續目前的處理、或開始新的製程。在某些範例中,遠端電腦(例如伺服器)可透過網路提供製程配方至系統,該網路可包含區域網路或網際網路。該遠端電腦可包含可達成參數及/或設定之輸入或編程的使用者介面,該等參數或設定接著自該遠端電腦傳送至該系統。在一些範例中,控制器350接收資料形式之指令,在一或更多的操作期間,其針對該待執行的處理步驟之每一者而指定參數。應瞭解,該等參數可特定於待執行之製程的類型、及工具(系統控制器係配置成透過介面與該工具接合或控制該工具)的類型。因此,如上所述,控制器350可分散,例如藉由包含一或更多的分離的控制器,其透過網路連接在一起並朝共同的目標而作業,例如本文所述之製程及控制。用於此類用途的分開之控制器的範例可為腔室上之一或更多的積體電路,其與位於遠端(例如為平台等級、或為遠端電腦的部分)之一或更多的積體電路連通,其結合以控制該腔室上的製程。
在一些實施例中,系統控制軟體358可包含用以控制上述各種參數之輸入/輸出控制(IOC)定序指令。在一些實施例中,可使用儲存於與系統控制器350相關聯之大量儲存裝置354及/或記憶體裝置356上的其他電腦軟體及/或程式。用於此用途之程式或程式片段的範例包括晶圓定位程式、處理氣體控制程式、壓力控制程式、加熱器控制程式、及電漿控制程式。
晶圓定位程式可包括處理工具元件的程式碼,用以將晶圓或PEC裝載在支座上並且控制晶圓或PEC與處理工具300的其他部分之間的間距。處理氣體控制程式可包含編碼,該編碼係用以控制氣體組成(例如本文所述之調節處理氣體、沉積氣體、用於背側流動的氦氣或其他氣體、載氣等)、及流率;及選擇性地用以在沉積前使氣體流入一或更多處理站中以將處理站中之壓力穩定化。壓力控制程式可包含編碼,該編碼係藉由調節例如處理站之排氣系統中的節流閥、進入處理站之氣流、在調節操作期間被引到PEC之背側的氣體之壓力等,以控制處理站中之壓力。
加熱器控制程式可包含編碼,該編碼係用以控制到加熱元件的電流,而該加熱元件係用以加熱工作件。替代地,該加熱器控制程式可控制熱傳氣體(例如氦)到晶圓的輸送。電漿控制程式可包含編碼,該編碼係用以根據所揭露實施例設定施加到處理電極的RF功率位準及一或多個處理站中的偏壓。壓力控制程式可包含編碼,該編碼係用以根據所揭露實施例維持反應腔室中的壓力。
在某些實施例中,可存在與系統控制器350相關聯之使用者介面。該使用者介面可包含顯示螢幕、設備及/或製程條件之圖形化軟體顯示器、及使用者輸入裝置(例如指向裝置、鍵盤、觸控螢幕、麥克風等)。
在某些實施例中,由系統控制器350調整之參數可與製程條件有關。非限制性之範例包含處理氣體組成及流率、溫度、壓力、電漿條件(例如RF偏壓功率位準)等。可以配方的形式將該等參數提供給使用者,並可利用使用者介面來輸入該等參數。
可藉由系統控制器350之類比及/或數位輸入連接件,而自各種處理工具感測器提供用以監測製程的信號。可於處理工具300之類比及數位輸出連接件上,輸出用以控制製程之信號。可受到監測之感測器的非限制性範例包含質流控制器、壓力感測器(如壓力計)、熱電偶等。適當程式化之反饋及控制演算法可與來自該等感測器的資料一起使用,以維持製程條件。
例示性系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉沖洗腔室或模組、金屬電鍍腔室或模組、潔淨腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、徑跡腔室或模組、及可與半導體晶圓之製造及/或生產有關或用於其中的任何其他半導體處理系統,但不限於此。
如上所述,依據待由工具執行之製程步驟(或複數製程步驟),系統控制器可與下列一或多者通訊:其他工具電路或模組、其他工具元件、叢集工具、其他工具介面、牽引工具、鄰近工具、遍及工廠的工具、主要電腦、另一控制器、或將晶圓之容器帶往或帶離半導體製造廠中的工具位置及/或載入埠的用於材料傳送之工具。 實驗 實驗1
進行實驗,對以各種方法處理之晶圓的最初幾片晶圓中的金屬汙染進行比較。第一個方法呈現標準晶圓處理,其涉及將腔室暴露到調節處理,以對該腔室進行預塗佈,同時在靜電卡盤(ESC)上放置保護性靜電卡盤外罩 (PEC),以避免ESC暴露到調節化學物。預塗佈腔室之後,將PEC從該腔室傳送離開,並將第一片晶圓引入該腔室中,在該第一片晶圓上執行第一沉積處理。一批次中其餘的晶圓亦接續地受處理。
第二個方法涉及使用所揭露實施例,其使用先前未暴露到複數沉積操作的PEC。在此方法中,將PEC放置在ESC上,同時將腔室暴露到調節化學物,以對該腔室預塗佈一調節層。將該PEC從該腔室傳送離開又送回該腔室,以模擬晶圓的傳送進入。在該PEC上執行第一沉積處理,同時將該PEC靜電地夾持在支座上,並使氦流動作為到該PEC的背側氣體,以維持該PEC的溫度。執行沉積處理以將PEC維持在低於410℃之溫度下,其中背側的氦壓力小於3 Torr。在執行第一沉積處理之後,將該PEC傳送離開並將第一片晶圓傳送進入該腔室。在該第一片晶圓上執行第二沉積處理,然後處理該批次中其餘的晶圓。
第三個方法涉及使用所揭露實施例,其使用先前已暴露到複數沉積操作的PEC。在此方法中,在此方法中,將先前已使用過並清潔過的PEC放置在ESC上,同時將腔室暴露到調節化學物,以對該腔室預塗佈一調節層。將該PEC從該腔室傳送離開又送回該腔室,以模擬晶圓的傳送進入。在該PEC上執行第一沉積處理,同時將該PEC靜電地夾持在支座上,並使氦流動作為到該PEC的背側氣體,以維持該PEC的溫度。執行沉積處理以將PEC維持在低於410℃之溫度下,其中背側的氦壓力小於3 Torr。在執行第一沉積處理之後,將該PEC傳送離開並將第一片晶圓傳送進入該腔室。在該第一片晶圓上執行第二沉積處理,然後處理批次中其餘的晶圓。
對各個這些方法中的第一片、第二片、第四片、及第七片晶圓進行各種金屬的金屬汙染測定。結果繪製於圖4中。以第一個方法沉積的第一片晶圓以411呈現;以第一個方法沉積的第二片晶圓以412呈現;以第一個方法沉積的第四片晶圓以414呈現;而以第一個方法沉積的第七片晶圓以417呈現。以第二個方法沉積的第一片晶圓以421呈現;以第二個方法沉積的第二片晶圓以422呈現;以第二個方法沉積的第四片晶圓以424呈現;而以第二個方法沉積的第七片晶圓以427呈現。以第三個方法沉積的第一片晶圓以431呈現;以第三個方法沉積的第二片晶圓以432呈現;以第三個方法沉積的第四片晶圓以434呈現;而以第三個方法沉積的第七片晶圓以437呈現。
如圖所示,得自標準方法的第一片晶圓411表現出比後續處理的晶圓412、414、及417更多的金屬汙染。然而,第二與第三方法的第一片晶圓(分別為421、431)表現出與第一個方法中的第二片晶圓412相似的金屬汙染,使得第一片晶圓的金屬汙染影響被減緩且可能去除,因為針對第二個與第三個方法所評估的第二片、第四片、及第七片晶圓(分別為422、424、427及 432、434、437)表現出與這些個別方法的每一第一片晶圓(421、431)相似的少的金屬汙染。此種結果證實,所揭露實施例減少第一片晶圓上的金屬汙染,且減少第一片晶圓的金屬汙染影響。 結論
雖然為了理解之明確性的緣故,已稍微詳細地描述上述之實施例,但顯然在隨附申請專利範圍之範疇內可實行某些改變與修改。應注意的係,有許多實施本文之實施例的處理、系統、及設備的替代方式。因此,應將本文之實施例視為例示性而非限制性,且該等實施例不限於本文中提出的細節。
101‧‧‧反應器
103‧‧‧腔室
105‧‧‧線圈
107‧‧‧線圈
109‧‧‧支座
111‧‧‧工作件
113‧‧‧管線/入口
115‧‧‧來源
117‧‧‧入口
121‧‧‧主要氣體環
122‧‧‧出口
190‧‧‧控制器
201‧‧‧操作
203‧‧‧操作
205‧‧‧操作
207‧‧‧操作
209‧‧‧操作
300‧‧‧系統/工具
301‧‧‧晶圓來源模組
303‧‧‧傳送模組
305‧‧‧模組/反應器/腔室
307‧‧‧模組/反應器
309‧‧‧模組/腔室
311‧‧‧站
313‧‧‧站
315‧‧‧站
317‧‧‧站
350‧‧‧控制器
352‧‧‧處理器
354‧‧‧大量儲存裝置
356‧‧‧記憶體裝置
358‧‧‧系統控制軟體
411‧‧‧晶圓
412‧‧‧晶圓
414‧‧‧晶圓
417‧‧‧晶圓
421‧‧‧晶圓
422‧‧‧晶圓
424‧‧‧晶圓
427‧‧‧晶圓
431‧‧‧晶圓
432‧‧‧晶圓
434‧‧‧晶圓
437‧‧‧晶圓
103‧‧‧腔室
105‧‧‧線圈
107‧‧‧線圈
109‧‧‧支座
111‧‧‧工作件
113‧‧‧管線/入口
115‧‧‧來源
117‧‧‧入口
121‧‧‧主要氣體環
122‧‧‧出口
190‧‧‧控制器
201‧‧‧操作
203‧‧‧操作
205‧‧‧操作
207‧‧‧操作
209‧‧‧操作
300‧‧‧系統/工具
301‧‧‧晶圓來源模組
303‧‧‧傳送模組
305‧‧‧模組/反應器/腔室
307‧‧‧模組/反應器
309‧‧‧模組/腔室
311‧‧‧站
313‧‧‧站
315‧‧‧站
317‧‧‧站
350‧‧‧控制器
352‧‧‧處理器
354‧‧‧大量儲存裝置
356‧‧‧記憶體裝置
358‧‧‧系統控制軟體
411‧‧‧晶圓
412‧‧‧晶圓
414‧‧‧晶圓
417‧‧‧晶圓
421‧‧‧晶圓
422‧‧‧晶圓
424‧‧‧晶圓
427‧‧‧晶圓
431‧‧‧晶圓
432‧‧‧晶圓
434‧‧‧晶圓
437‧‧‧晶圓
圖1為適用於執行所揭露實施例的反應器之範例的示意圖。
圖2為製程流程圖,圖解根據所揭露實施例執行的操作。
圖3為適合用於執行所揭露實施例的工具之範例的示意圖。
圖4為從根據所揭露實施例進行的實驗中得到之結果的長條圖。
201‧‧‧操作
203‧‧‧操作
205‧‧‧操作
207‧‧‧操作
209‧‧‧操作
Claims (25)
- 一種方法,包含下列步驟: (a) 執行第一階段,包含在無晶圓存在於腔室中的情況下,在第一偏壓功率下將該腔室暴露到電漿,以在該腔室的表面上沉積薄膜,該偏壓功率被施加到該腔室中的一靜電卡盤;並且 (b) 在執行該第一階段之後,執行第二階段,包含在無晶圓存在於該腔室中的情況下,在第二偏壓功率下將該腔室暴露到電漿,以在該腔室的表面上沉積第二薄膜; 其中該第二偏壓功率高於該第一偏壓功率。
- 如申請專利範圍第1項之方法,其中步驟(b)更包含將一保護性外罩夾持至該靜電卡盤,並使氣體流動到該保護性外罩之背側。
- 如申請專利範圍第2項之方法,其中流動到該保護性外罩之該背側的該氣體的壓力小於約 3 Torr。
- 如申請專利範圍第2項之方法,其中該保護性外罩包含陶瓷材料。
- 如申請專利範圍第2項之方法,其中該保護性外罩被夾持至少約10秒。
- 如申請專利範圍第2項之方法,其中該保護性外罩被以至少約2000V的夾持電壓夾持。
- 如申請專利範圍第1項之方法,其中步驟(b)更包含冷卻該靜電卡盤上的一保護性外罩。
- 如申請專利範圍第1項之方法,其中步驟(b)更包含執行高密度電漿化學氣相沉積。
- 如申請專利範圍第1-8項中之任一者的方法,其中該第一偏壓功率小於約1500W。
- 如申請專利範圍第1-8項中之任一者的方法,其中該第二偏壓功率至少約2000W。
- 如申請專利範圍第1-8項中之任一者的方法,更包含步驟(c),在執行該第二階段之後,將一晶圓暴露到該腔室中的電漿,以透過高密度電漿化學氣相沉積來沉積薄膜。
- 一種方法,包含下列步驟:將卡盤上具有保護性外罩的一電漿腔室暴露到電漿,藉此將該腔室的表面塗覆薄膜,其中在電漿暴露期間主動地冷卻該保護性外罩。
- 如申請專利範圍第12項之方法,其中透過將該保護性外罩夾持至該卡盤,並使氣體流動到該保護性外罩之背側,來主動地冷卻該保護性外罩。
- 如申請專利範圍第13項之方法,其中流動到該保護性外罩之背側的氣體的壓力小於約 3 Torr。
- 如申請專利範圍第13項之方法,其中該保護性外罩被以至少約2000V的夾持電壓夾持。
- 如申請專利範圍第13項之方法,其中該保護性外罩被夾持至少約10秒。
- 如申請專利範圍第12-16項中之任一者的方法,其中該保護性外罩包含陶瓷材料。
- 如申請專利範圍第12-16項中之任一者的方法,更包含在該電漿暴露期間施加偏壓到該卡盤。
- 一種用以處理晶圓的設備,該設備包含: (a) 一電漿產生器; (b) 一腔室,包含有著靜電卡盤的一支座; (c) 一熱傳子系統,包含配置以供給氣體到該支座的氣體管線; (d) 一或多個氣體入口;以及 (e) 一控制器,包含用以進行下列操作的機械可讀指令: (i) 在第一偏壓功率下於該腔室中產生電漿,歷時足以塗覆該腔室之內側表面的持續期間;並且 (ii) 在操作(i)之後,在第二偏壓功率下於該腔室中產生第二電漿,以在該第二偏壓功率下於該腔室之該內側表面上沉積薄膜; 其中該第二偏壓功率高於該第一偏壓功率。
- 如申請專利範圍第19項之用以處理晶圓的設備,其中該控制器更包含用以進行下列操作的機械可讀指令:在操作(ii)期間使氦流經該氣體管線以供給氦到該支座。
- 如申請專利範圍第19項之用以處理晶圓的設備,其中操作(ii)的機械可讀指令包含如下指令: 將一保護性外罩夾持至該靜電卡盤,並使氣體流動到該保護性外罩之背側。
- 如申請專利範圍第21項之用以處理晶圓的設備,其中該機械可讀指令更包含將流動到該保護性外罩之該背側的該氣體的壓力設定在小於約 3 Torr的壓力。
- 如申請專利範圍第21項之用以處理晶圓的設備,其中該機械可讀指令更包含將夾持電壓設定在至少約2000V。
- 如申請專利範圍第19項之用以處理晶圓的設備,其中該機械可讀指令更包含將該第一偏壓功率設定成小於約1500W。
- 如申請專利範圍第19項之用以處理晶圓的設備,其中該機械可讀指令更包含將該第二偏壓功率設定在至少約2000W。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US14/683,022 US10023956B2 (en) | 2015-04-09 | 2015-04-09 | Eliminating first wafer metal contamination effect in high density plasma chemical vapor deposition systems |
Publications (1)
Publication Number | Publication Date |
---|---|
TW201706449A true TW201706449A (zh) | 2017-02-16 |
Family
ID=57112814
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW105110849A TW201706449A (zh) | 2015-04-09 | 2016-04-07 | 在高密度電漿化學氣相沉積系統中第一片晶圓的金屬污染影響之消除 |
Country Status (3)
Country | Link |
---|---|
US (1) | US10023956B2 (zh) |
KR (1) | KR102654243B1 (zh) |
TW (1) | TW201706449A (zh) |
Families Citing this family (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US9745658B2 (en) | 2013-11-25 | 2017-08-29 | Lam Research Corporation | Chamber undercoat preparation method for low temperature ALD films |
US9828672B2 (en) | 2015-03-26 | 2017-11-28 | Lam Research Corporation | Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma |
US10211099B2 (en) | 2016-12-19 | 2019-02-19 | Lam Research Corporation | Chamber conditioning for remote plasma process |
US20190080949A1 (en) * | 2017-09-08 | 2019-03-14 | Applied Materials, Inc. | Soft chucking and dechucking for electrostatic chucking substrate supports |
US11114326B2 (en) | 2017-09-08 | 2021-09-07 | Applied Materials, Inc. | Substrate chucking and dechucking methods |
WO2019113351A1 (en) | 2017-12-07 | 2019-06-13 | Lam Research Corporation | Oxidation resistant protective layer in chamber conditioning |
US10760158B2 (en) | 2017-12-15 | 2020-09-01 | Lam Research Corporation | Ex situ coating of chamber components for semiconductor processing |
JP2020077750A (ja) * | 2018-11-07 | 2020-05-21 | 東京エレクトロン株式会社 | クリーニング方法及び成膜方法 |
CN114032523A (zh) * | 2021-10-22 | 2022-02-11 | 华虹半导体(无锡)有限公司 | 金属层的制备方法 |
Family Cites Families (74)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4892753A (en) | 1986-12-19 | 1990-01-09 | Applied Materials, Inc. | Process for PECVD of silicon oxide using TEOS decomposition |
US5680013A (en) * | 1994-03-15 | 1997-10-21 | Applied Materials, Inc. | Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces |
US5654475A (en) | 1996-03-25 | 1997-08-05 | Twenty-First Century Research Corporation | Methods of making intermediate oxidation products by controlling oxidation rates in an atomized liquid |
US5605859A (en) | 1995-07-05 | 1997-02-25 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of making insulator structure for polysilicon resistors |
US5647953A (en) | 1995-12-22 | 1997-07-15 | Lam Research Corporation | Plasma cleaning method for removing residues in a plasma process chamber |
US5811356A (en) * | 1996-08-19 | 1998-09-22 | Applied Materials, Inc. | Reduction in mobile ion and metal contamination by varying season time and bias RF power during chamber cleaning |
US5824375A (en) | 1996-10-24 | 1998-10-20 | Applied Materials, Inc. | Decontamination of a plasma reactor using a plasma after a chamber clean |
US6444037B1 (en) | 1996-11-13 | 2002-09-03 | Applied Materials, Inc. | Chamber liner for high temperature processing chamber |
TW460943B (en) | 1997-06-11 | 2001-10-21 | Applied Materials Inc | Reduction of mobile ion and metal contamination in HDP-CVD chambers using chamber seasoning film depositions |
US6121164A (en) | 1997-10-24 | 2000-09-19 | Applied Materials, Inc. | Method for forming low compressive stress fluorinated ozone/TEOS oxide film |
FI104383B (fi) | 1997-12-09 | 2000-01-14 | Fortum Oil & Gas Oy | Menetelmä laitteistojen sisäpintojen päällystämiseksi |
US5970383A (en) | 1997-12-17 | 1999-10-19 | Advanced Micro Devices | Method of manufacturing a semiconductor device with improved control of deposition layer thickness |
US6071573A (en) | 1997-12-30 | 2000-06-06 | Lam Research Corporation | Process for precoating plasma CVD reactors |
JP2001123271A (ja) | 1999-10-25 | 2001-05-08 | Hitachi Ltd | プラズマcvd装置のプリコート方法 |
KR100323874B1 (ko) | 1999-12-22 | 2002-02-16 | 박종섭 | 반도체 소자의 알루미늄 산화막 형성 방법 |
US6475336B1 (en) * | 2000-10-06 | 2002-11-05 | Lam Research Corporation | Electrostatically clamped edge ring for plasma processing |
KR100375102B1 (ko) | 2000-10-18 | 2003-03-08 | 삼성전자주식회사 | 반도체 장치의 제조에서 화학 기상 증착 방법 및 이를수행하기 위한 장치 |
DE10130340A1 (de) | 2001-06-26 | 2003-01-02 | Bhs Corr Masch & Anlagenbau | Bahnspannungs-Regelungs-Vorrichtung für Wellpappeanlage |
US6626188B2 (en) | 2001-06-28 | 2003-09-30 | International Business Machines Corporation | Method for cleaning and preconditioning a chemical vapor deposition chamber dome |
US20030013314A1 (en) | 2001-07-06 | 2003-01-16 | Chentsau Ying | Method of reducing particulates in a plasma etch chamber during a metal etch process |
US6720259B2 (en) | 2001-10-02 | 2004-04-13 | Genus, Inc. | Passivation method for improved uniformity and repeatability for atomic layer deposition and chemical vapor deposition |
US6815007B1 (en) | 2002-03-04 | 2004-11-09 | Taiwan Semiconductor Manufacturing Company | Method to solve IMD-FSG particle and increase Cp yield by using a new tougher UFUN season film |
JP2003297817A (ja) | 2002-04-03 | 2003-10-17 | Matsushita Electric Ind Co Ltd | 半導体装置の製造方法、半導体装置、そのためのプラズマcvd装置 |
US7204913B1 (en) | 2002-06-28 | 2007-04-17 | Lam Research Corporation | In-situ pre-coating of plasma etch chamber for improved productivity and chamber condition control |
US20040134427A1 (en) | 2003-01-09 | 2004-07-15 | Derderian Garo J. | Deposition chamber surface enhancement and resulting deposition chambers |
US7914847B2 (en) | 2003-05-09 | 2011-03-29 | Asm America, Inc. | Reactor surface passivation through chemical deactivation |
WO2004102648A2 (en) | 2003-05-09 | 2004-11-25 | Asm America, Inc. | Reactor surface passivation through chemical deactivation |
KR100519798B1 (ko) | 2003-12-11 | 2005-10-10 | 삼성전자주식회사 | 향상된 생산성을 갖는 박막 형성 방법 |
KR100557673B1 (ko) | 2003-12-22 | 2006-03-06 | 어댑티브프라즈마테크놀로지 주식회사 | 플라즈마 장비를 시즌닝하는 방법 |
US7288284B2 (en) | 2004-03-26 | 2007-10-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Post-cleaning chamber seasoning method |
US20050221020A1 (en) | 2004-03-30 | 2005-10-06 | Tokyo Electron Limited | Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film |
CN101088131A (zh) | 2004-07-23 | 2007-12-12 | 桑德夫技术有限公司 | 具有高蓄能密度和低等效串联电阻的电容器 |
US7449416B2 (en) | 2004-09-01 | 2008-11-11 | Axcelis Technologies, Inc. | Apparatus and plasma ashing process for increasing photoresist removal rate |
JP4558431B2 (ja) | 2004-09-30 | 2010-10-06 | 株式会社日立ハイテクノロジーズ | 半導体製造装置のクリーニング方法 |
US20060093756A1 (en) | 2004-11-03 | 2006-05-04 | Nagarajan Rajagopalan | High-power dielectric seasoning for stable wafer-to-wafer thickness uniformity of dielectric CVD films |
US20060189171A1 (en) | 2005-02-23 | 2006-08-24 | Chua Choon A | Seasoning process for a deposition chamber |
US8163087B2 (en) | 2005-03-31 | 2012-04-24 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system and method |
US7241690B2 (en) | 2005-04-12 | 2007-07-10 | Texas Instruments Incorporated | Method for conditioning a microelectronics device deposition chamber |
JP4492963B2 (ja) | 2005-06-14 | 2010-06-30 | ルネサスエレクトロニクス株式会社 | 薄膜の成膜方法、気相成長装置、プログラム |
KR100745987B1 (ko) * | 2005-08-09 | 2007-08-06 | 삼성전자주식회사 | 반도체 소자의 트렌치 소자 분리 영역 제조 방법 |
WO2007026778A1 (ja) | 2005-08-31 | 2007-03-08 | Sumitomo Chemical Company, Limited | トランジスタ、有機半導体素子及びこれらの製造方法 |
US20070056843A1 (en) | 2005-09-13 | 2007-03-15 | Applied Materials, Inc. | Method of processing a substrate using a large-area magnetron sputtering chamber with individually controlled sputtering zones |
EP2541179A3 (en) | 2005-11-23 | 2014-09-24 | Surface Combustion, Inc. | Gas generator for an atmospheric furnace for treating one or more articles |
JP4476232B2 (ja) | 2006-03-10 | 2010-06-09 | 三菱重工業株式会社 | 成膜装置のシーズニング方法 |
US7923376B1 (en) | 2006-03-30 | 2011-04-12 | Novellus Systems, Inc. | Method of reducing defects in PECVD TEOS films |
US20080118663A1 (en) | 2006-10-12 | 2008-05-22 | Applied Materials, Inc. | Contamination reducing liner for inductively coupled chamber |
US7704894B1 (en) | 2006-11-20 | 2010-04-27 | Novellus Systems, Inc. | Method of eliminating small bin defects in high throughput TEOS films |
US7691755B2 (en) * | 2007-05-15 | 2010-04-06 | Applied Materials, Inc. | Plasma immersion ion implantation with highly uniform chamber seasoning process for a toroidal source reactor |
US7968439B2 (en) * | 2008-02-06 | 2011-06-28 | Applied Materials, Inc. | Plasma immersion ion implantation method using a pure or nearly pure silicon seasoning layer on the chamber interior surfaces |
US20090325391A1 (en) | 2008-06-30 | 2009-12-31 | Asm International Nv | Ozone and teos process for silicon oxide deposition |
US9222172B2 (en) * | 2008-08-20 | 2015-12-29 | Applied Materials, Inc. | Surface treated aluminum nitride baffle |
JP5123820B2 (ja) * | 2008-10-27 | 2013-01-23 | 東京エレクトロン株式会社 | 基板処理装置の真空排気方法及び基板処理装置 |
US8017527B1 (en) | 2008-12-16 | 2011-09-13 | Novellus Systems, Inc. | Method and apparatus to reduce defects in liquid based PECVD films |
JP5295748B2 (ja) | 2008-12-18 | 2013-09-18 | 東京エレクトロン株式会社 | 構成部品の洗浄方法及び記憶媒体 |
US7964517B2 (en) * | 2009-01-29 | 2011-06-21 | Texas Instruments Incorporated | Use of a biased precoat for reduced first wafer defects in high-density plasma process |
US8883270B2 (en) | 2009-08-14 | 2014-11-11 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species |
JP5479061B2 (ja) | 2009-12-08 | 2014-04-23 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置 |
US20110151142A1 (en) | 2009-12-22 | 2011-06-23 | Applied Materials, Inc. | Pecvd multi-step processing with continuous plasma |
US8293658B2 (en) | 2010-02-17 | 2012-10-23 | Asm America, Inc. | Reactive site deactivation against vapor deposition |
US20110256734A1 (en) | 2010-04-15 | 2011-10-20 | Hausmann Dennis M | Silicon nitride films and methods |
TW201210058A (en) | 2010-05-12 | 2012-03-01 | Applied Materials Inc | Method of manufacturing crystalline silicon solar cells using epitaxial deposition |
US8101531B1 (en) | 2010-09-23 | 2012-01-24 | Novellus Systems, Inc. | Plasma-activated deposition of conformal films |
US20120097330A1 (en) * | 2010-10-20 | 2012-04-26 | Applied Materials, Inc. | Dual delivery chamber design |
US20120181166A1 (en) | 2011-01-14 | 2012-07-19 | Applied Materials, Inc. | Pvd process with synchronized process parameters and magnet position |
US20130064973A1 (en) | 2011-09-09 | 2013-03-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Chamber Conditioning Method |
US8808563B2 (en) | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
CN103243310B (zh) | 2012-02-14 | 2017-04-12 | 诺发系统公司 | 在衬底表面上的等离子体激活的保形膜沉积的方法 |
US9330899B2 (en) | 2012-11-01 | 2016-05-03 | Asm Ip Holding B.V. | Method of depositing thin film |
US8894870B2 (en) | 2013-02-01 | 2014-11-25 | Asm Ip Holding B.V. | Multi-step method and apparatus for etching compounds containing a metal |
US9123651B2 (en) | 2013-03-27 | 2015-09-01 | Lam Research Corporation | Dense oxide coated component of a plasma processing chamber and method of manufacture thereof |
US9745658B2 (en) * | 2013-11-25 | 2017-08-29 | Lam Research Corporation | Chamber undercoat preparation method for low temperature ALD films |
US9328416B2 (en) * | 2014-01-17 | 2016-05-03 | Lam Research Corporation | Method for the reduction of defectivity in vapor deposited films |
US9236284B2 (en) * | 2014-01-31 | 2016-01-12 | Applied Materials, Inc. | Cooled tape frame lift and low contact shadow ring for plasma heat isolation |
US9828672B2 (en) | 2015-03-26 | 2017-11-28 | Lam Research Corporation | Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma |
-
2015
- 2015-04-09 US US14/683,022 patent/US10023956B2/en active Active
-
2016
- 2016-04-07 TW TW105110849A patent/TW201706449A/zh unknown
- 2016-04-07 KR KR1020160042618A patent/KR102654243B1/ko active IP Right Grant
Also Published As
Publication number | Publication date |
---|---|
KR102654243B1 (ko) | 2024-04-04 |
US20160300713A1 (en) | 2016-10-13 |
KR20160121429A (ko) | 2016-10-19 |
US10023956B2 (en) | 2018-07-17 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR102654243B1 (ko) | 고밀도 플라즈마 cvd 시스템들에서 제 1 웨이퍼 금속 오염 효과 제거 | |
TWI687539B (zh) | 用於減少非晶碳硬遮罩膜之碳-氫含量的系統及方法 | |
KR102598660B1 (ko) | 기판 에지들에서 이면 증착을 감소시키고 두께 변화들을 완화하기 위한 시스템들 및 방법들 | |
WO2019028136A1 (en) | SELECTIVE DEPOSITION OF SILICON NITRIDE ON HORIZONTAL SURFACES | |
US8821987B2 (en) | Combinatorial processing using a remote plasma source | |
TWI693989B (zh) | 用於乾淨/髒污基板處理之末端執行器組件及方法 | |
KR102630920B1 (ko) | 구리를 포함하는 합금으로 이루어진 컴포넌트들을 갖는 기판 프로세싱 챔버들로 인한 구리 오염물을 감소시키기 위한 시스템들 및 방법들 | |
US20160013020A1 (en) | Systems and methods for producing energetic neutrals | |
US20210340670A1 (en) | In situ protective coating of chamber components for semiconductor processing | |
US20240038539A1 (en) | Selective processing with etch residue-based inhibitors | |
KR20210011501A (ko) | 금속 옥사이드 막들을 제거하기 위한 온도 제어 시스템들 및 방법들 | |
US20230005740A1 (en) | Modulation of oxidation profile for substrate processing | |
US20220136104A1 (en) | Multi-station semiconductor processing with independently adjustable pedestals | |
KR20220104779A (ko) | 플라즈마 챔버들을 위한 저온 소결된 (sintered) 코팅들 | |
JP2022510862A (ja) | インターバル調整パージによるスループットの向上 | |
US20220336191A1 (en) | Low temperature plasma enhanced chemical vapor deposition process including preheated showerhead | |
TWI794318B (zh) | 增加反應器處理批量大小的方法和設備 | |
JP2018014491A (ja) | 粒子性能および金属性能の改善のためのescセラミック側壁の加工 | |
US20230343593A1 (en) | Multi-layer hardmask for defect reduction in euv patterning | |
US20220199379A1 (en) | High temperature heating of a substrate in a processing chamber | |
US20200098562A1 (en) | Dual frequency silane-based silicon dioxide deposition to minimize film instability | |
WO2023069924A1 (en) | Valve manifold for semiconductor processing | |
US20190249295A1 (en) | Ammonia pre-treatment to promote amorphous silicon adhesion to aluminum nitride | |
TW202114051A (zh) | 基板處理系統用的縮小直徑承載環硬件 | |
WO2023107376A1 (en) | Soaking and esc clamping sequence for high bow substrates |