KR20220104779A - 플라즈마 챔버들을 위한 저온 소결된 (sintered) 코팅들 - Google Patents

플라즈마 챔버들을 위한 저온 소결된 (sintered) 코팅들 Download PDF

Info

Publication number
KR20220104779A
KR20220104779A KR1020227021033A KR20227021033A KR20220104779A KR 20220104779 A KR20220104779 A KR 20220104779A KR 1020227021033 A KR1020227021033 A KR 1020227021033A KR 20227021033 A KR20227021033 A KR 20227021033A KR 20220104779 A KR20220104779 A KR 20220104779A
Authority
KR
South Korea
Prior art keywords
temperature
coating
less
thermal treatment
component
Prior art date
Application number
KR1020227021033A
Other languages
English (en)
Inventor
데이비드 조셉 웨첼
린 쉬
존 도허티
존 마이클 컨즈
사티시 스리니바산
로빈 코시
마이클 로페즈
더글라스 디터트
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20220104779A publication Critical patent/KR20220104779A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/08Oxides
    • C23C14/083Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5806Thermal treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C24/00Coating starting from inorganic powder
    • C23C24/02Coating starting from inorganic powder by application of pressure only
    • C23C24/04Impact or kinetic deposition of particles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C24/00Coating starting from inorganic powder
    • C23C24/08Coating starting from inorganic powder by application of heat or pressure and heat
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • C23C4/11Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/18After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/32119Windows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Thermal Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)

Abstract

기판 프로세싱 시스템의 컴포넌트 상에 코팅을 형성하기 위한 방법은 프로세싱 챔버에 컴포넌트를 배치하는 단계 및 컴포넌트의 하나 이상의 표면들 상에 코팅을 형성하도록 세라믹 재료를 도포하는 단계를 포함한다. 세라믹 재료는 희토류 옥사이드를 포함하고 150 ㎚ 미만의 입자 크기를 갖는 혼합물로 구성되고 프로세싱 챔버 내의 온도가 400 ℃ 미만인 동안 도포된다. 코팅은 30 ㎛ 미만의 두께를 갖는다. 열 처리 프로세스는 열 처리 챔버에서 코팅된 컴포넌트 상에서 수행된다. 열 처리 프로세스는 제 1 기간에 걸쳐 열 처리 챔버의 온도를 제 1 온도로부터 혼합물의 용융 온도를 초과하지 않는 제 2 온도로 상승시키는 단계 및 제 2 기간 동안 제 2 온도를 유지하는 단계를 포함한다.

Description

플라즈마 챔버들을 위한 저온 소결된 (sintered) 코팅들
본 개시는 플라즈마 기판 프로세싱 챔버들의 컴포넌트들을 위한 보호 코팅들에 관한 것이다.
본 명세서에 제공된 배경기술 기술 (description) 은 본 개시의 맥락을 일반적으로 제시할 목적이다. 이 배경기술 섹션에 기술된 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원 시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
기판 프로세싱 시스템들은 반도체 웨이퍼들과 같은 기판들을 처리하기 위해 사용될 수도 있다. 기판 상에서 수행될 수도 있는 예시적인 프로세스들은 이로 제한되는 것은 아니지만, 화학적 기상 증착 (Chemical Vapor Deposition; CVD), 원자 층 증착 (Atomic Layer Deposition; ALD), 전도체 에칭, 및/또는 다른 에칭, 증착, 또는 세정 프로세스들을 포함한다. 기판은 기판 프로세싱 시스템의 프로세싱 챔버의 페데스탈 (pedestal), 정전 척 (electrostatic chuck; ESC), 등과 같은 기판 지지부 상에 배치될 수도 있다. 에칭 동안, 하나 이상의 전구체들을 포함하는 가스 혼합물들이 프로세싱 챔버 내로 도입될 수도 있고 플라즈마가 화학 반응들을 개시하기 위해 사용될 수도 있다.
관련 출원들에 대한 교차 참조
본 출원은 2019년 11월 22일에 출원된, 미국 특허 가출원 번호 제 62/939,353 호의 이익을 주장한다. 상기 참조된 출원의 전체 개시는 참조로서 본 명세서에 인용된다.
기판 프로세싱 시스템의 컴포넌트 상에 코팅을 형성하기 위한 방법은 프로세싱 챔버에 컴포넌트를 배치하는 단계 및 컴포넌트의 하나 이상의 표면들 상에 코팅을 형성하도록 세라믹 재료를 도포하는 단계를 포함한다. 세라믹 재료는 희토류 옥사이드를 포함하는 혼합물로 구성되고 혼합물의 입자 크기는 150 ㎚ 미만이고 프로세싱 챔버 내의 온도가 400 ℃ 미만인 동안 도포된다. 코팅은 30 ㎛ 미만의 두께를 갖는다. 방법은 열 처리 챔버에 컴포넌트를 배치하는 단계 및 코팅을 포함하는 컴포넌트 상에서 열 처리 프로세스를 수행하는 단계를 더 포함한다. 열 처리 프로세스는 제 1 기간에 걸쳐 열 처리 챔버의 온도를 제 1 온도로부터 제 2 온도로 상승시키는 단계 및 제 2 기간 동안 열 처리 챔버를 제 2 온도로 유지하는 것을 포함한다. 제 2 온도는 혼합물의 용융 온도를 초과하지 않는다.
다른 특징들에서, 프로세싱 챔버는 플라즈마 에칭을 수행하도록 구성된다. 컴포넌트는 유전체 윈도우 (dielectric window) 이다. 세라믹 재료를 도포하는 단계는 에어로졸 증착을 사용하여 세라믹 재료를 도포하는 단계를 포함한다. 세라믹 재료를 도포하는 단계는 물리적 기상 증착, 화학적 기상 증착, 및 열적 스프레이 중 적어도 하나를 사용하여 세라믹 재료를 도포하는 단계를 포함한다. 혼합물은 이트륨 옥사이드 (yttrium oxide) 를 포함한다. 제 2 온도는 1400 ℃ 미만이다. 제 2 온도는 1300 ℃ 미만이다.
다른 특징들에서, 혼합물은 이테르븀 (ytterbium), 에르븀 (erbium), 디스프로슘 (dysprosium), 가돌리늄 (gadolinium), 툴륨 (thulium), 및 알루미늄 중 적어도 하나를 포함한다. 입자 크기는 100 ㎚ 미만이다. 코팅의 두께는 3 내지 20 ㎛이다. 제 1 기간은 5 내지 30 시간이고 제 2 기간은 8 내지 144 시간이다. 열 처리 챔버의 온도는 미리 결정된 램프 레이트 (ramp rate) 로 제 1 기간 동안 상승된다. 램프 레이트는 시간당 30 내지 100 ℃이다.
다른 특징들에서, 방법은 제 3 기간에 걸쳐 제 2 온도를 제 3 온도로 상승시키는 단계 및 제 4 기간 동안 열 처리 챔버를 제 3 온도로 유지하는 단계를 포함한다. 제 3 온도는 혼합물의 용융 온도를 초과하지 않는다. 열 처리 프로세스에 후속하여, 코팅은 20 % 미만의 다공성 (porosity) 을 갖는다. 열 처리 프로세스에 후속하여, 코팅은 200 내지 700 ㎚의 평균 입자 크기를 갖는다. 열 처리 프로세스에 후속하여, 코팅은 0.1 Sa 미만의 표면 거칠기를 갖는다. 열 처리 프로세스에 후속하여, 코팅은 5 % 염화 수소 용액에서 1 시간 산 소킹 테스트 (acid soak test) 에 의해 유발된 30 ㎚ 미만의 부식을 경험한다.
본 개시의 추가 적용 가능 영역들은 상세한 기술 (description), 청구항들 및 도면들로부터 자명해질 것이다. 상세한 기술 및 구체적인 예들은 단지 예시의 목적들을 위해 의도되고, 본 개시의 범위를 제한하도록 의도되지 않는다.
본 개시는 상세한 기술 및 첨부된 도면들로부터 보다 완전히 이해될 것이다.
도 1은 본 개시에 따른 예시적인 기판 프로세싱 시스템의 기능적 블록도이다.
도 2a 내지 도 2e는 본 개시에 따른 코팅 및 소결 (sintering) 프로세스를 도시한다.
도 3은 본 개시에 따른 기판 프로세싱 챔버의 컴포넌트의 코팅 상에 열 처리를 적용하고 수행하기 위한 예시적인 방법의 단계들을 예시한다.
도면들에서, 참조 번호들은 유사한 그리고/또는 동일한 엘리먼트들을 식별하기 위해 재사용될 수도 있다.
기판 프로세싱 시스템의 프로세싱 챔버의 컴포넌트들 (예를 들어, 유전체 윈도우 (dielectric window) 또는 상단 플레이트/리드 (lid), 에지 링, 등과 같은 플라즈마-대면 컴포넌트들) 은 프로세싱 챔버의 라디칼들, 이온들, 반응성 종, 등에 노출될 수도 있다. 플라즈마에 대한 노출은 컴포넌트들의 세라믹 층들과 같은 컴포넌트들의 부분들로 하여금 이로 제한되는 것은 아니지만, 플루오르화 (fluoridation), 이온 충격 (ion bombardment), 등을 포함하는 프로세스 메커니즘들로 인해 시간이 흐름에 따라 부식 (즉, 마모) 되게 할 수도 있다. 이러한 마모는 컴포넌트들의 재료들로 하여금 프로세싱 챔버의 반응 볼륨 내로 마이그레이팅하게 (migrate) 할 수도 있고, 이는 기판 프로세싱에 부정적으로 영향을 줄 수도 있고, 이는 입자 생성으로 지칭될 수도 있다. 예를 들어, 컴포넌트들로부터 제거된 직접적인 분자 및/또는 입자 재료는 플라즈마 내에 부유될 수도 있고, 그리고 에지 링 또는 다른 프로세스 챔버 컴포넌트들 상에 증착될 수도 있다. 이어서 이 재료는 후속 프로세싱 동안 기판의 표면 상에 재증착될 수 있다. 즉, 플라즈마에 대한 노출로 인한 컴포넌트들의 마모는 입자 생성 및 프로세스 챔버의 오염을 유발할 수도 있고, 이는 기판 결함들을 발생시킨다. 컴포넌트들의 마모는 또한 컴포넌트들의 유효 수명을 감소시킨다.
일부 예들에서, 코팅들은 마모를 감소시키고, 안정성 및 수명을 향상시키고, 그리고 컴포넌트들의 구조적 특성 및/또는 전기적 특성을 유지하도록 컴포넌트들에 도포된다. 그러나, 많은 코팅들은 보다 큰 전력 및/또는 보다 높은 온도들 및 다양한 부식성 재료들을 사용하는 프로세스들에서 마모 및 입자 생성을 충분히 감소시키는 데 실패한다. 일부 코팅들은 재료 및/또는 코팅 프로세스 고유의 구조적 취약성을 가질 수도 있다. 예를 들어, 플라즈마 스프레이 프로세스들은 코팅이 부식될 때 프로세싱 챔버 내로 후속하여 방출되는 코팅 내로 용융되지 않은 입자들을 임베딩할 (embed) 수도 있다. 이로 제한되는 것은 아니지만, 물리적 기상 증착 (physical vapor deposition; PVD), 원자 층 증착 (atomic layer deposition; ALD), 화학적 기상 증착 (chemical vapor deposition; CVD), 플라즈마 강화 CVD (plasma enhanced CVD; PECVD), 등을 포함하는 다양한 다른 프로세스들이 또한 코팅의 부식 및 입자 생성을 유발할 수도 있다.
본 개시의 원리들에 따른 코팅 시스템들 및 방법들은 기판 프로세싱 시스템 내의 프로세싱 챔버의 컴포넌트들에 향상된 코팅을 도포한다. 예를 들어, 세라믹 코팅은 컴포넌트의 기판 표면에 도포된다. 컴포넌트의 기판은 이로 제한되는 것은 아니지만, 알루미늄, 실리콘, 알루미나, 등을 포함하는 코팅 프로세스와 연관된 온도들을 견딜 수 있는 임의의 적합한 재료를 포함할 수도 있다. 코팅은 저온 (예를 들어, 400 ℃ 미만 또는 일부 예들에서 300 ℃ 미만) 에서 저 다공성 (low porosity) 에어로졸 증착, PVD, CVD, 열적 스프레이, 등을 사용하여 도포될 수도 있다.
코팅은 희토류 옥사이드 (예를 들어, 이트륨 옥사이드, 또는 Y2O3) 과 같은 플라즈마-내성 세라믹 재료를 포함한다. 이트륨 옥사이드가 본 명세서에서 논의되지만, 코팅 재료는 다른 희토류 옥사이드들을 포함할 수도 있고 그리고/또는 이테르븀 (ytterbium) 옥사이드, 에르븀 (erbium) 옥사이드, 디스프로슘 (dysprosium) 옥사이드, 가돌리늄 (gadolinium) 옥사이드, 툴륨 (thulium) 옥사이드, 및 알루미늄 옥사이드들을 포함하지만 이로 제한되지 않는, 혼합물들 (예를 들어, 알루미늄과의 혼합물들) 이 사용될 수도 있다. 또 다른 예시적인 재료는 이트륨 알루미늄 모노클리닉 옥사이드 (Y4Al2O9) 이다. 증착된 코팅 내 재료의 입자 크기는 150 ㎚ 미만이고, 일부 예들에서, 100 ㎚ 미만이다. 코팅은 30 ㎛ 미만의 두께, 그리고 바람직하게 3 내지 20 ㎛의 두께를 갖는다.
세라믹 코팅을 포함하는 컴포넌트는 이어서 고온 오븐 (예를 들어, 퍼니스 (furnace) 또는 킬른 (kiln)) 과 같은 열 처리 챔버 내로 삽입된다. 오븐 온도는 이하에 보다 상세히 기술된 파라미터들에 따라 코팅을 소결하기에 (sinter) 충분한 온도로 상승되고 이어서 냉각되게 된다. 일부 세라믹 재료들 (예를 들어, 이트륨 옥사이드와 같은 희토류 옥사이드들) 의 소결은 통상적으로 1400 ℃ 초과의 온도들을 필요로 할 수도 있다. 예를 들어, 소결 온도들은 각각의 재료의 입자들을 용융시키기 위해 필요한 온도들에 대응할 수도 있다. 그러나, 본 개시에 따른 재료의 소결은 통상적인 소결 온도들 미만의 온도들에서 수행될 수도 있다. 즉, 본 개시의 소결은 코팅의 재료의 용융 온도 미만의 온도에서 수행된다.
예를 들어, 본 개시에 따른 소결은 1300 ℃ 미만, 그리고 일부 예들에서 1200 ℃ 미만의 온도들에서 이트륨 옥사이드에 대해서 수행될 수도 있다. 이러한 방식으로, 재료의 입자들은 용융에 의해 유발된 재료의 다공성 및 응력을 증가시키지 않고 벌크 세라믹들과 유사한 구조적 특성들을 발생시키는 확산 및 입자 성장을 경험한다. 예를 들어, 본 개시의 원리들에 따른 코팅 재료는 5 % 염화 수소 (HCl) 용액에서 1 시간 산 소킹 테스트 (acid soak test) 에 의해 유발된 300 ㎚ 미만의 부식에 의해 입증된 화학적 에칭에 대한 증가된 내성을 가질 수도 있다.
이제 도 1을 참조하면, 프로세싱 챔버 (102) 를 포함하는 기판 프로세싱 시스템 (100) 의 일 예가 도시된다. 특정한 기판 프로세싱 시스템 (100) 이 프로세싱 챔버 (102) 의 예시적인 컴포넌트들을 단순히 예시하기 위해 도시되지만, 본 개시의 원리들은 다른 타입들의 기판 프로세싱 시스템들 및 프로세싱 챔버들에 적용될 수도 있다. 기판 프로세싱 시스템 (100) 또는 또 다른 타입의 기판 프로세싱 시스템은 본 개시의 원리들에 따른 코팅을 도포하기 위해 증착 프로세스 (예를 들어, 에어로졸 증착 프로세스) 를 수행하도록 사용될 수도 있다.
기판 프로세싱 시스템 (100) 은 코일 구동 회로 (104) 를 포함한다. 펄싱 회로 (pulsing circuit) (108) 가 RF 전력 온 (on) 및 오프 (off) 를 펄싱 또는 RF 전력의 진폭 또는 레벨을 가변시키기 위해 사용될 수도 있다. 튜닝 회로 (112) 가 하나 이상의 유도 코일들 (116) 에 직접 연결될 수도 있다. 튜닝 회로 (112) 는 RF 소스 (120) 의 출력을 목표된 주파수 및/또는 목표된 위상으로 튜닝하고, 코일들 (116) 의 임피던스를 매칭시키고 코일들 (116) 사이에 전력을 분할한다 (split). 일부 예들에서, 코일 구동 회로 (104) 는 RF 바이어스 제어와 함께 이하에 더 기술된 구동 회로로 대체될 수도 있다.
일부 예들에서, 플레넘 (plenum) (122) 이 고온 및/또는 저온 공기 플로우로 유전체 윈도우 (124) 의 온도를 제어하도록 코일들 (116) 과 유전체 윈도우 (124) 사이에 배치될 수도 있다. 유전체 윈도우 (124) 은 프로세싱 챔버 (102) 의 일 측면을 따라 배치된다. 프로세싱 챔버 (102) 는 기판 지지부 (또는 페데스탈) (132) 를 더 포함한다. 기판 지지부 (132) 는 정전 척 (ESC), 또는 기계적 척 또는 다른 타입의 척을 포함할 수도 있다. 프로세스 가스가 프로세싱 챔버 (102) 로 공급되고, 플라즈마 (140) 가 프로세싱 챔버 (102) 의 내부에서 생성된다. 플라즈마 (140) 는 기판 (144) 의 노출된 표면을 에칭한다. 구동 회로 (152) (예컨대 이하에 기술된 것들 중 하나) 가 동작 동안 기판 지지부 (132) 내의 전극에 RF 바이어스 (bias) 를 제공하도록 사용될 수도 있다.
가스 전달 시스템 (156) 이 프로세싱 챔버 (102) 로 프로세스 가스 혼합물을 공급하도록 사용될 수도 있다. 가스 전달 시스템 (156) 은 프로세스 및 불활성 가스 소스들 (160), 밸브들 및 질량 유량 (mass flow) 제어기들과 같은 가스 계량 시스템 (162), 및 매니폴드 (164) 를 포함할 수도 있다. 가스 전달 시스템 (168) 이 밸브 (172) 를 통해 플레넘 (122) 으로 가스 (170) 를 전달하도록 사용될 수도 있다. 가스는 코일들 (116) 및 유전체 윈도우 (124) 를 냉각하도록 사용되는 냉각 가스 (공기) 를 포함할 수도 있다. 히터/냉각기 (176) 가 기판 지지부 (132) 를 미리 결정된 온도로 가열/냉각하도록 사용될 수도 있다. 배기 시스템 (180) 은 퍼지 또는 배기에 의해 프로세싱 챔버 (128) 로부터 반응 물질들을 제거하기 위한 밸브 (182) 및 펌프 (184) 를 포함한다.
제어기 (188) 는 에칭 프로세스를 제어하도록 사용될 수도 있다. 제어기 (188) 는 시스템 파라미터들을 모니터링하고, 가스 혼합물의 전달, 플라즈마의 스트라이킹 (strike), 유지 (maintain) 및 소화 (extinguish), 반응 물질들의 제거, 냉각 가스의 공급, 등을 제어한다. 부가적으로, 이하에 상세히 기술된 바와 같이, 제어기 (188) 는 코일 구동 회로 (104) 및 구동 회로 (152) 의 다양한 양태들을 제어할 수도 있다. 에지 링 (192) 이 플라즈마 프로세싱 동안 기판 (134) 의 방사상으로 외부에 위치될 수도 있다.
이제 도 2a 내지 도 2e를 참조하면, 본 개시에 따른 코팅 및 소결 프로세스가 도시된다. 도 2a에 도시된 바와 같이, 컴포넌트 (200) 는 프로세싱 챔버 (204) 내에 배치된다. 예를 들어, 컴포넌트 (200) 는 유전체 윈도우에 대응하고 프로세싱 챔버 (204) 는 플라즈마 에칭 챔버에 대응한다. 컴포넌트 (200) 는 이로 제한되는 것은 아니지만, 알루미늄, 실리콘, 알루미나, 등을 포함하는 코팅 프로세스와 연관된 온도들을 견딜 수 있는 재료를 포함할 수도 있다. 단지 예를 들면, 유전체 윈도우는 세라믹 재료를 포함할 수도 있다. 프로세싱 챔버 (204) 는 샤워헤드, 노즐, 등과 같은 가스 분배 디바이스 (208) 를 포함할 수도 있다. 단지 예를 들면, 가스 분배 디바이스 (208) 는 노즐로서 도시된다.
도 2b 및 도 2c에 도시된 바와 같이, 에어로졸 증착 프로세스는 컴포넌트 (200) 상에 코팅 (212) 을 도포하도록 프로세싱 챔버 (204) 내에서 수행된다. 예를 들어, 가스 분배 디바이스 (208) 는 에어로졸 증착 프로세스를 구현하기 위해 프로세싱 챔버 (204) 내로 에어로졸화된 재료 (216) 를 공급하도록 구성된다. 코팅 (212) 은 프로세싱 챔버 (204) 내의 온도가 400 ℃ 미만 (예를 들어, 0 내지 400 ℃) 으로 유지되는 동안 도포된다. 에어로졸화된 재료 (216) 는 희토류 옥사이드 (예를 들어, 이트륨 옥사이드, 또는 Y2O3) 과 같은 플라즈마-내성 세라믹 재료를 포함한다. 재료의 입자 크기는 150 ㎚ 미만이고, 일부 예들에서, 100 ㎚ 미만이다. 도포된 코팅 (212) 은 30 ㎛ 미만의 두께 (예를 들어, 3 내지 20 ㎛의 두께) 를 갖는다.
도 2d에 도시된 바와 같이, 코팅 (212) 을 포함하는 컴포넌트 (200) 는 열 처리 프로세스를 위해 오븐 또는 킬른 (220) 으로 이송된다. 열 처리 프로세스 동안, 오븐 (220) 내의 온도는 코팅 (212) 의 재료의 확산 및 입자 성장을 유발하기에 충분한 온도로 상승되어 용융에 의해 유발된 코팅 (212) 의 재료의 다공성 및 응력을 증가시키지 않고 벌크 세라믹들과 유사한 구조적 특성들을 발생시킨다. 예를 들어, 이트륨 옥사이드의 소결은 통상적으로 1400 ℃ 초과의 온도를 필요로 할 수도 있다. 반대로, 본 개시에 따른 열 처리 프로세스에서, 오븐 (220) 의 온도는 코팅 (212) 의 다공성을 최소화하면서 입자 성장을 최대화하도록 1400 ℃ 미만의 온도까지만 상승된다.
예를 들어, 오븐 (220) 의 온도는 미리 결정된 기간에 걸쳐 초기 온도로부터 1400 ℃ 미만인 최대 온도로 상승 (예를 들어, 상향으로 램핑 (ramped upward)) 될 수도 있다. 일 예에서, 온도는 500 ℃의 초기 온도로부터 1300 ℃의 최대 온도로 상승된다. 단지 예를 들면, 온도는 제 1 기간 (예를 들어, 5 내지 30 시간) 에 걸쳐 초기 온도로부터 최대 온도로 상승될 수도 있고 제 2 기간 (예를 들어, 8 시간 내지 144 시간) 동안 최대 온도로 유지될 ("소킹될 (soaked)") 수도 있다. 온도는 컴포넌트 (200) 및/또는 코팅 (212) 의 재료들의 특성들에 따라 미리 결정된 램프 레이트 (ramp rate) 로 상승될 수도 있다. 일부 예들에서, 램프 레이트는 시간당 30 ℃이다. 다른 예들에서, 램프 레이트는 시간당 100 ℃이다. 온도는 제 2 기간에 후속하여 컴포넌트 (200) 가 냉각되게 하기 위해 제 3 기간에서 감소될 수도 있다 (즉, 하향으로 램핑될 (ramped downward) 수도 있다).
또 다른 예에서, 열 처리 프로세스는 복수의 램프 기간들 및/또는 소킹 기간들을 포함할 수도 있다. 예를 들어, 열 처리 프로세스는 제 1 기간에 걸쳐 초기 온도 (예를 들어, 500 ℃) 로부터 중간 온도 (예를 들어, 900 ℃) 로 온도를 상승시키는 단계 및 제 2 기간 동안 중간 온도를 유지하는 단계를 포함할 수도 있다. 제 2 기간에 후속하여, 온도는 제 3 기간에 걸쳐 중간 온도로부터 최대 온도 (예를 들어, 1300 ℃) 로 상승될 수도 있고 제 4 기간 동안 최대 온도로 유지될 수도 있다. 온도는 제 4 기간에 후속하여 컴포넌트 (200) 가 냉각되게 하기 위해 제 5 기간에 감소될 수도 있다.
도 2e는 열 처리 프로세스에 후속하는 코팅 (212) 을 도시한다. 도 2a 내지 도 2c에서 상기 기술된 특성들을 갖는 재료에 대해 수행된 열 처리 프로세스의 결과로서, 코팅 (212) 은 20 % 미만의 다공성을 갖고, 200 내지 700 ㎚의 평균 입자 크기를 갖고, 그리고 0.1 Sa 미만의 표면 거칠기를 갖는다. 또한, 본 개시의 원리들에 따른 열 처리 프로세스에 후속하는 코팅 (212) 은 5 % 염화 수소 (HCl) 용액에서 1 시간 산 소킹 테스트에 의해 유발된 300 ㎚ 미만의 부식에 의해 입증된 화학적 에칭에 대한 증가된 내성을 갖는다.
이제 도 3을 참조하면, 본 개시에 따른 기판 프로세싱 챔버의 컴포넌트의 코팅 상에 열 처리를 적용하고 수행하기 위한 예시적인 방법 (300) 이 (304) 에서 시작된다. (308) 에서, 방법 (300) (예를 들어, 사용자) 은 코팅 단계에서 프로세싱 챔버의 컴포넌트에 도포될 재료에 대한 하나 이상의 파라미터들을 규정한다. 예를 들어, 재료의 입자 크기가 규정될 수도 있다. 입자 크기는 150 ㎚ 미만, 일부 예들에서, 100 ㎚ 미만으로 규정될 수도 있다. 또 다른 예시적인 파라미터는 프로세싱 챔버 내의 다른 화학적 혼합물들에 의해 유발된 플라즈마 에칭 및/또는 부식에 대한 내성이다.
(312) 에서, 방법 (300) (예를 들어, 사용자) 은 규정된 파라미터들을 만족시키는 이용 가능 재료들로부터 재료를 선택한다. 예시적인 재료들은 이테르븀 옥사이드, 에르븀 옥사이드, 디스프로슘 옥사이드, 가돌리늄 옥사이드, 툴륨 옥사이드 및 알루미늄 옥사이드 혼합물들을 포함하지만, 이로 제한되지 않는, 희토류 옥사이드 혼합물들을 포함할 수도 있다. 일 예에서, 재료는 150 ㎚ 미만의 입자 크기 및 3 내지 20 ㎛의 두께를 갖는 코팅에 도포되는 능력을 갖는 이트륨 옥사이드 혼합물에 대응한다.
(316) 에서, 컴포넌트는 플라즈마 에칭 챔버와 같은 적합한 프로세싱 챔버에 배치된다. (320) 에서, 에어로졸 증착 프로세스가 선택된 재료의 코팅을 도포하기 위해 프로세싱 챔버 내에서 수행된다. 예를 들어, 프로세싱 챔버 내의 온도가 400 ℃ 미만 (예를 들어, 0 내지 400 ℃) 으로 유지되는 동안 선택된 재료는 상기 기술된 바와 같이 에어로졸화된 형태로 프로세싱 챔버에 공급된다. 코팅은 3 내지 20 ㎛의 두께를 갖는다.
(324) 에서, 코팅을 포함하는 컴포넌트는 열 처리 프로세스를 위해 오븐 또는 킬른으로 이송된다. (328) 에서, 열 처리 프로세스는 도 2d 및 도 2e에서 상기 기술된 바와 같이 컴포넌트 상에서 수행된다. 예를 들어, 오븐 내 온도는 코팅의 재료의 확산 및 입자 성장을 유발하기에 충분한 온도로 상승되어 용융에 의해 유발된 코팅의 재료의 다공성 및 응력을 증가시키지 않고 벌크 세라믹들과 유사한 구조적 특성을 발생시킨다. 예를 들어, 이트륨 옥사이드 혼합물에 대해, 오븐의 온도는 500 ℃의 초기 온도로부터 1300 ℃의 최대 온도로 상승된다. 열 처리 프로세스는 상기 기술된 바와 같이 복수의 램프 기간들 및/또는 소킹 기간들 및 냉각 기간을 포함할 수도 있다. 방법 (300) 은 (332) 에서 종료된다. 상기 기술된 바와 같이, 열 처리 프로세스는 에어로졸 증착 프로세스와 상이한 챔버에서 수행되지만, 일부 예들에서 코팅의 도포 및 열 처리 프로세스 모두는 동일한 챔버에서 수행될 수도 있다.
전술한 기술은 본질적으로 단지 예시이고, 어떠한 방식으로도 본 개시, 이의 적용 예, 또는 사용들을 제한하도록 의도되지 않는다. 본 개시의 광범위한 교시들은 다양한 형태들로 구현될 수 있다. 따라서, 본 개시가 특정한 예들을 포함하지만, 본 개시의 진정한 범위는 다른 수정들이 도면들, 명세서, 및 이하의 청구항들의 연구 시 자명해질 것이기 때문에 이렇게 제한되지 않아야 한다. 방법의 하나 이상의 단계들은 본 개시의 원리들을 변경하지 않고 상이한 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다. 또한, 실시 예들 각각이 특정한 피처들을 갖는 것으로 상기 기술되었지만, 본 개시의 임의의 실시 예에 대해 기술된 이들 피처들 중 임의의 하나 이상의 피처들은, 조합이 명시적으로 기술되지 않아도, 임의의 다른 실시 예들의 피처들로 및/또는 임의의 다른 실시 예들의 피처들과 조합하여 구현될 수 있다. 즉, 기술된 실시 예들은 상호 배타적이지 않고, 하나 이상의 실시 예들의 또 다른 실시 예들과의 치환들이 본 개시의 범위 내에 남는다.
엘리먼트들 간 (예를 들어, 모듈들, 회로 엘리먼트들, 반도체 층들, 등 간) 의 공간적 및 기능적 관계들은, "연결된 (connected)", "인게이지된 (engaged)", "커플링된 (coupled)", "인접한 (adjacent)", "옆에 (next to)", "~의 상단에 (on top of)", "위에 (above)", "아래에 (below)", 및 "배치된 (disposed)"을 포함하는, 다양한 용어들을 사용하여 기술된다. "직접적 (direct)"인 것으로 명시적으로 기술되지 않는 한, 제 1 엘리먼트와 제 2 엘리먼트 간의 관계가 상기 개시에서 기술될 때, 이 관계는 제 1 엘리먼트와 제 2 엘리먼트 사이에 다른 중개하는 엘리먼트들이 존재하지 않는 직접적인 관계일 수 있지만, 또한 제 1 엘리먼트와 제 2 엘리먼트 사이에 (공간적으로 또는 기능적으로) 하나 이상의 중개하는 엘리먼트들이 존재하는 간접적인 관계일 수 있다. 본 명세서에 사용된 바와 같이, 구 A, B, 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C"를 의미하는 것으로 해석되지 않아야 한다.
일부 구현 예들에서, 제어기는 상기 기술된 예들의 일부일 수도 있는 시스템의 일부이다. 이러한 시스템들은 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱을 위한 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에, 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치들 (electronics) 과 통합될 수도 있다. 전자장치는 시스템들 또는 시스템의 서브 파트들 또는 다양한 컴포넌트들을 제어할 수도 있는 "제어기 (controller)"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드 록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그래밍될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 가능하게 하고, 엔드포인트 측정들을 불가능하게 하는, 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSPs), ASICs (Application Specific Integrated Circuits) 로서 규정되는 칩들, 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시 예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 옥사이드들, 실리콘, 실리콘 다이옥사이드, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현 예들에서, 시스템과 통합되거나, 시스템에 커플링되거나, 그렇지 않으면 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하거나, 과거 제조 동작들의 이력을 조사하거나, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하거나, 현 프로세싱의 파라미터들을 변경하거나, 현 프로세싱을 따르는 프로세싱 단계들을 설정하거나, 새로운 프로세스를 시작하기 위해서, 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 가 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 가능하게 하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안 수행될 프로세싱 단계들 각각에 대한 파라미터들을 특정하는, 데이터의 형태의 인스트럭션들을 수신한다. 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성되는 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서 상기 기술된 바와 같이, 제어기는 예컨대 본 명세서에 기술된 프로세스들 및 제어들과 같은, 공통 목적을 향해 함께 네트워킹되고 작동하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는 원격으로 (예컨대 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 것이다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (Physical Vapor Deposition) 챔버 또는 모듈, CVD (Chemical Vapor Deposition) 챔버 또는 모듈, ALD (Atomic Layer Deposition) 챔버 또는 모듈, ALE (Atomic Layer Etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기, 또는 툴들 중 하나 이상과 통신할 수도 있다.

Claims (19)

  1. 기판 프로세싱 시스템의 컴포넌트 상에 코팅을 형성하는 방법에 있어서,
    프로세싱 챔버에 컴포넌트를 배치하는 단계;
    상기 컴포넌트의 하나 이상의 표면들 상에 코팅을 형성하도록 세라믹 재료를 도포하는 단계로서, 상기 세라믹 재료는 희토류 옥사이드를 포함하는 혼합물로 구성되고 상기 혼합물의 입자 크기는 150 ㎚ 미만이고, 상기 세라믹 재료는 상기 프로세싱 챔버 내의 온도가 400 ℃ 미만인 동안 도포되고, 그리고 상기 코팅은 30 ㎛ 미만의 두께를 갖는, 상기 세라믹 재료 도포 단계;
    열 처리 챔버에 상기 컴포넌트를 배치하는 단계; 및
    상기 코팅을 포함하는 상기 컴포넌트 상에서 열 처리 프로세스를 수행하는 단계로서, 상기 열 처리 프로세스는 제 1 기간에 걸쳐 상기 열 처리 챔버의 온도를 제 1 온도로부터 제 2 온도로 상승시키는 단계 및 제 2 기간 동안 상기 열 처리 챔버를 상기 제 2 온도로 유지하는 단계를 포함하고, 상기 제 2 온도는 상기 혼합물의 용융 온도를 초과하지 않는, 상기 열 처리 프로세스 수행 단계를 포함하는, 코팅 형성 방법.
  2. 제 1 항에 있어서,
    상기 프로세싱 챔버는 플라즈마 에칭을 수행하도록 구성되는, 코팅 형성 방법.
  3. 제 1 항에 있어서,
    상기 컴포넌트는 유전체 윈도우 (dielectric window) 인, 코팅 형성 방법.
  4. 제 1 항에 있어서,
    상기 세라믹 재료를 도포하는 단계는 에어로졸 증착을 사용하여 상기 세라믹 재료를 도포하는 단계를 포함하는, 코팅 형성 방법.
  5. 제 1 항에 있어서,
    상기 세라믹 재료를 도포하는 단계는 물리적 기상 증착, 화학적 기상 증착, 및 열적 스프레이 중 적어도 하나를 사용하여 상기 세라믹 재료를 도포하는 단계를 포함하는, 코팅 형성 방법.
  6. 제 1 항에 있어서,
    상기 혼합물은 이트륨 옥사이드 (yttrium oxide) 를 포함하는, 코팅 형성 방법.
  7. 제 6 항에 있어서,
    상기 제 2 온도는 1400 ℃ 미만인, 코팅 형성 방법.
  8. 제 6 항에 있어서,
    상기 제 2 온도는 1300 ℃ 미만인, 코팅 형성 방법.
  9. 제 1 항에 있어서,
    상기 혼합물은 이테르븀 (ytterbium), 에르븀 (erbium), 디스프로슘 (dysprosium), 가돌리늄 (gadolinium), 툴륨 (thulium) 및 알루미늄 중 적어도 하나를 포함하는, 코팅 형성 방법.
  10. 제 1 항에 있어서,
    상기 입자 크기는 100 ㎚ 미만인, 코팅 형성 방법.
  11. 제 1 항에 있어서,
    상기 코팅의 상기 두께는 3 내지 20 ㎛ 인, 코팅 형성 방법.
  12. 제 1 항에 있어서,
    상기 제 1 기간은 5 내지 30 시간이고 상기 제 2 기간은 8 내지 144 시간인, 코팅 형성 방법.
  13. 제 1 항에 있어서,
    상기 열 처리 챔버의 상기 온도는 미리 결정된 램프 레이트 (ramp rate) 로 상기 제 1 기간 동안 상승되는, 코팅 형성 방법.
  14. 제 13 항에 있어서,
    상기 램프 레이트는 시간당 30 내지 100 ℃인, 코팅 형성 방법.
  15. 제 1 항에 있어서,
    제 3 기간에 걸쳐 상기 제 2 온도를 제 3 온도로 상승시키는 단계 및 제 4 기간 동안 상기 열 처리 챔버를 상기 제 3 온도로 유지하는 단계를 더 포함하고, 상기 제 3 온도는 상기 혼합물의 상기 용융 온도를 초과하지 않는, 코팅 형성 방법.
  16. 제 1 항에 있어서,
    상기 열 처리 프로세스에 후속하여, 상기 코팅은 20 % 미만의 다공성 (porosity) 을 갖는, 코팅 형성 방법.
  17. 제 1 항에 있어서,
    상기 열 처리 프로세스에 후속하여, 상기 코팅은 200 내지 700 ㎚의 평균 입자 크기를 갖는, 코팅 형성 방법.
  18. 제 1 항에 있어서,
    상기 열 처리 프로세스에 후속하여, 상기 코팅은 0.1 Sa 미만의 표면 거칠기를 갖는, 코팅 형성 방법.
  19. 제 1 항에 있어서,
    상기 열 처리 프로세스에 후속하여, 상기 코팅은 5 % 염화 수소 용액에서 1 시간 산 소킹 테스트 (acid soak test) 에 의해 유발된 30 ㎚ 미만의 부식을 경험하는, 코팅 형성 방법.
KR1020227021033A 2019-11-22 2020-11-19 플라즈마 챔버들을 위한 저온 소결된 (sintered) 코팅들 KR20220104779A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201962939353P 2019-11-22 2019-11-22
US62/939,353 2019-11-22
PCT/US2020/061168 WO2021102075A1 (en) 2019-11-22 2020-11-19 Low temperature sintered coatings for plasma chambers

Publications (1)

Publication Number Publication Date
KR20220104779A true KR20220104779A (ko) 2022-07-26

Family

ID=75980107

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227021033A KR20220104779A (ko) 2019-11-22 2020-11-19 플라즈마 챔버들을 위한 저온 소결된 (sintered) 코팅들

Country Status (6)

Country Link
US (1) US20230020387A1 (ko)
JP (1) JP2023502137A (ko)
KR (1) KR20220104779A (ko)
CN (1) CN114730692A (ko)
TW (1) TW202136543A (ko)
WO (1) WO2021102075A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11661650B2 (en) 2020-04-10 2023-05-30 Applied Materials, Inc. Yttrium oxide based coating composition
KR20240052809A (ko) * 2021-09-02 2024-04-23 램 리써치 코포레이션 탄소 함유 층을 에칭하기 위한 방법 및 장치

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101293766B1 (ko) * 2011-02-11 2013-08-05 충남대학교산학협력단 열용사 코팅재 및 그 제조방법과 코팅방법
US9212099B2 (en) * 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US9708713B2 (en) * 2013-05-24 2017-07-18 Applied Materials, Inc. Aerosol deposition coating for semiconductor chamber components
US9976211B2 (en) * 2014-04-25 2018-05-22 Applied Materials, Inc. Plasma erosion resistant thin film coating for high temperature application
US20170233300A1 (en) * 2016-02-12 2017-08-17 American Manufacturing, Inc. Additive Manufacturing of Polymer Derived Ceramics
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing

Also Published As

Publication number Publication date
US20230020387A1 (en) 2023-01-19
WO2021102075A1 (en) 2021-05-27
JP2023502137A (ja) 2023-01-20
TW202136543A (zh) 2021-10-01
CN114730692A (zh) 2022-07-08

Similar Documents

Publication Publication Date Title
KR102590149B1 (ko) 반도체 프로세싱을 위한 챔버 컴포넌트들의 엑스시츄 코팅
US11008655B2 (en) Components such as edge rings including chemical vapor deposition (CVD) diamond coating with high purity SP3 bonds for plasma processing systems
US10161034B2 (en) Rapid chamber clean using concurrent in-situ and remote plasma sources
KR20160121429A (ko) 고밀도 플라즈마 cvd 시스템들에서 제 1 웨이퍼 금속 오염 효과 제거
US20180311707A1 (en) In situ clean using high vapor pressure aerosols
US20230020387A1 (en) Low temperature sintered coatings for plasma chambers
US10400323B2 (en) Ultra-low defect part process
WO2017180511A1 (en) Plasma enhanced anneal chamber for wafer outgassing
US11515128B2 (en) Confinement ring with extended life
US10096471B2 (en) Partial net shape and partial near net shape silicon carbide chemical vapor deposition
US20220336191A1 (en) Low temperature plasma enhanced chemical vapor deposition process including preheated showerhead
US20180005867A1 (en) Esc ceramic sidewall modification for particle and metals performance enhancements
US20190341275A1 (en) Edge ring focused deposition during a cleaning process of a processing chamber
TWI838390B (zh) 用於基板處理系統的利用介電窗之蜂巢型注射器
US20230282450A1 (en) Remote plasma source showerhead assembly with aluminum fluoride plasma exposed surface
CN113506719B (zh) 包括具有高纯sp3键的cvd金刚石涂层的部件
WO2024072668A1 (en) Dome shaped chamber for generating in-situ cleaning plasma
WO2020028256A1 (en) Honeycomb injector with dielectric window for substrate processing systems
CN117981042A (zh) 去除衬底残留物的原位背面等离子体处理