CN112877675B - 具有漏斗状气体分散通道及气体分配板的原子层沉积腔室 - Google Patents

具有漏斗状气体分散通道及气体分配板的原子层沉积腔室 Download PDF

Info

Publication number
CN112877675B
CN112877675B CN202110047033.1A CN202110047033A CN112877675B CN 112877675 B CN112877675 B CN 112877675B CN 202110047033 A CN202110047033 A CN 202110047033A CN 112877675 B CN112877675 B CN 112877675B
Authority
CN
China
Prior art keywords
gas
cover plate
gas distribution
lid assembly
distribution plate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202110047033.1A
Other languages
English (en)
Other versions
CN112877675A (zh
Inventor
穆罕默德·M·拉希德
斯里尼瓦斯·甘迪科塔
马里奥·丹·桑切斯
简国强
杨义雄
迪帕克·贾达夫
阿什托西·阿咖瓦
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN202110047033.1A priority Critical patent/CN112877675B/zh
Publication of CN112877675A publication Critical patent/CN112877675A/zh
Application granted granted Critical
Publication of CN112877675B publication Critical patent/CN112877675B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting

Abstract

本文提供用于处理基板的方法与设备。一些实施方式中,基板处理腔室包含:腔室主体;腔室盖组件,所述腔室盖组件具有外壳(housing),所述外壳包围中央通道,所述中央通道沿着中央轴延伸并具有上部与下部;盖板,所述盖板耦接于所述外壳并具有含轮廓(contoured)的底表面,所述含轮廓的底表面从中央开口向下且向外延伸至所述盖板的周边部,所述中央开口耦接于所述中央通道的下部;以及气体分配板,所述气体分配板配置于所述盖板之下并具有多个缝隙(aperture),这些缝隙穿过所述气体分配板而配置。

Description

具有漏斗状气体分散通道及气体分配板的原子层沉积腔室
本申请是申请日为2016年4月19日、申请号为201680022766.7、发明名称为“具有漏斗状气体分散通道及气体分配板的原子层沉积腔室”的发明专利申请的分案申请。
技术领域
本公开内容的实施方式一般涉及原子层沉积的设备与方法。
背景技术
可靠地制造亚微米级(submicron)与更小的特征,对下一世代的半导体器件的超大规模集成电路(VLSI)与特大规模集成电路(ULSI)而言,是一项关键科技。然而,随着逼近电路科技的边缘,VLSI与ULSI技术中的互连件(interconnect)的尺寸的缩小对工艺能力有额外要求。处于VLSI与ULSI技术的心脏地位的多层互连件使用高纵横比特征的精确工艺,比如过孔(via)与其他互连件。可靠形成这些互连件对于VLSI与ULSI的成功与增加个别基板的电路密度与品质的持续努力而言是非常重要的。
随电路密度增加,互连件(比如过孔、沟槽、接触件,与其他特征)的宽度以及之间的介电材料的宽度随之减少,但同时介电层的厚度保持大致恒定,造成了增加的所述特征的高度对宽度的纵横比。许多传统的沉积工艺难以填充纵横比超过4:1的亚微米级结构,特别是难以填充纵横比超过10:1的亚微米级结构。因此,当前已极为致力于形成具有高纵横比的实质地无孔隙与无接缝的亚微米级特征。
原子层沉积(ALD)是在具有高纵横比的特征上沉积材料层所开发的沉积工艺。ALD工艺的一个范例包含气体脉冲的依序导入。例如,气体脉冲的依序导入的一个循环可含有第一反应物气体的脉冲,接着是净化(purge)气体与/或泵抽空(pump evacuation)的脉冲,接着是第二反应物气体的脉冲,再接着是净化气体与/或泵抽空的脉冲。本文所用的用语“气体”被定义为包含单一气体或多种的气体。所述第一反应物与所述第二反应物的单独脉冲的依序导入可造成所述基板的表面上的反应物的单层的交替式自限制(self-limiting)吸附,并因此为每一循环形成材料的单层。所述循环可重复直至沉积材料的所期望的厚度。介于第一反应物气体的脉冲与第二反应物气体的脉冲之间的净化气体与/或泵抽空的脉冲用于降低反应物的气相反应的可能性,所述反应物的气相反应是由于反应物过量残存于所述腔室而造成的。
在一些用于ALD工艺的腔室设计中,前驱物与气体是使用漏斗盖输送的,前驱物经过多个在漏斗形的盖之上的注射器穿过所述漏斗盖而被分配。这些注射器产生注射气体的环形运动,所述注射气体经在所述盖的中心的漏斗剖面被分配。所述气体/ALD前驱物分子的转动惯量将这些分子从中心分配至边缘,而导致改善的均匀沉积。然而,在一些应用中,发明人已观察到,在正被处理的基板的中心附近有圆环形(donut-shaped)的沉积分布曲线(deposition profile)。相信所述圆环形的沉积分布曲线是由所述盖的漏斗形状所导致的,并且能引起客户的集成问题。
因此,发明人已提供用于基板的ALD工艺的改良设备与方法。
发明内容
本文提供用于处理基板的方法与设备。一些实施方式中,基板处理腔室包含:腔室主体;腔室盖组件,所述腔室盖组件具有外壳(housing),所述外壳包围中央通道,所述中央通道沿着中央轴延伸并具有上部与下部;盖板,所述盖板耦接于所述外壳并具有含轮廓(contoured)的底表面,所述含轮廓的底表面从中央开口向下且向外延伸至所述盖板的周边部,所述中央开口耦接于所述中央通道的下部;以及气体分配板,配置于所述盖板之下并具有多个缝隙(aperture),这些缝隙穿过所述气体分配板而被配置。
一些实施方式中,基板处理腔室包含:腔室主体;具有外壳的腔室盖组件,所述外壳包围中央通道,所述中央通道沿着中央轴延伸并具有上部与下部;盖板,耦接于所述外壳并具有含轮廓的底表面,所述含轮廓的底表面从中央开口向下且向外延伸至所述盖板的周边部延伸,所述中央开口耦接于所述中央通道的下部;气体分配板,配置于所述盖板之下并具有多个缝隙,这些缝隙穿过所述气体分配板而被配置;流体(fluidly)耦接于所述中央通道的远程等离子体源(RPS);隔离套环,耦接在所述远程等离子体源与所述外壳之间,其中所述隔离套环具有内通道,所述内通道延伸通过所述隔离套环以流体耦接所述远程等离子体源与所述中央通道;排气导管,所述排气导管在第一端处耦接所述隔离套环,并于第二端处耦接主要泵送通道;以及阀,耦接于所述排气导管以选择性地开启或关闭所述排气导管。
一些实施方式中,处理基板的方法包含:使第一处理气体流入气体分散通道与处理腔室的反应区;使所述第一处理气体流动穿过配置于所述反应区中的气体分配板中的多个缝隙,且流至所述基板上;使清洁气体流入所述气体分散通道与所述反应区;经排气系统排出所述清洁气体;使第二处理气体流入所述气体分散通道与所述反应区;使所述第二处理气体流动穿过所述气体分配板中的所述多个缝隙,且流至所述基板上;将所述清洁气体流入所述气体分散通道与所述反应区;以及经所述排气系统排出所述清洁气体。
本公开内容的其他与进一步实施方式将描述于下。
附图说明
通过参考所附附图所示的本公开内容的说明性实施方式,能理解上文简要概述且在下文将更详细讨论的本公开内容的实施方式。然而,所述附图仅说明本案揭露的典型实施方式,因此不被认为是限制保护范围,因为本案揭露内容可容认其他等效实施方式。
图1描述根据本公开内容的一些实施方式的处理腔室示意视图。
图2描述根据本公开内容的一些实施方式的处理腔室的示意剖面视图。
图3描述根据本公开内容的一些实施方式的盖组件的示意剖面视图。
图4A至图4C描述根据本公开内容的一些实施方式的穿过气体分配板而被配置的缝隙的示意视图图。
图5描述说明根据本公开内容的实施方式的处理基板方法的流程图。
为帮助理解,已尽可能使用相同的元件符号指定这些附图共通的相同元件。这些附图未按比例绘制并且为了清楚起见可被简化。一个实施方式的元件与特征可被有益地并入其他实施方式而无需另外记载。
具体实施方式
本公开内容的实施方式提供设备与方法,所述设备与方法可用于清洁基板处理腔室(比如原子层沉积(ALD)腔室),并用于在例如ALD工艺的期间沉积材料。实施方式包含基板处理腔室与气体输送系统,包含远程等离子体源与气体分配板。其他实施方式提供用于在ALD工艺期间使用这些气体输送系统来沉积材料的方法。用于并入本文所述设备的处理腔室的范例包含高介电常数(即,高k)与金属ALD沉积腔室,这些腔室可由加州圣塔克拉拉的应用材料公司获得。下文针对处理腔室的叙述为上下文意与范例目的而被提供,并且,不应被解读或认定为限制本公开内容的保护范围。
图1是根据本公开内容的一些实施方式的基板处理腔室(处理腔室100)的示意视图,处理腔室100包含适于ALD工艺的气体输送系统130。图2是处理腔室100的示意剖面视图。处理腔室100包含腔室主体102,腔室主体102具有在腔室主体102内且在腔室盖组件132下方的处理空间。处理腔室100的狭缝阀108提供用于机器人(图中未显示)的进出途径(access),以将基板110(例如为200mm或300mm的半导体晶圆或玻璃基板)传送到处理腔室100以及从处理腔室100收回基板110。腔室衬垫177沿着所述处理腔室100的壁而被配置,以保护所述腔室免于处理/清洁期间使用的腐蚀性气体的影响。
基板支撑件112在处理腔室100中将基板110支撑在基板接收表面111上。基板支撑件112安装至升举马达114以升起与下降基板支撑件112与设置在基板支撑件上的基板110。连接至升举马达118的升举板116(如图2所示)安装在处理腔室100中以升起与下降升举销120,这些升举销120能够移动地穿过基板支撑件112而被配置。这些升举销120在基板支撑件112表面之上方升起与下降基板110。基板支撑件112可包含真空卡盘(图中未显示)、静电卡盘(图中未显示)、或夹环(图中未显示)用于在沉积工艺中将基板110固定至基板支撑件112。
基板支撑件112的温度可被调整以控制基板110的温度。例如,基板支撑件112可用内嵌的加热元件(例如电阻加热器(图中未显示))来加热,或者可使用辐射热(例如配置于基板支撑件112之上的加热灯(图中未显示))来加热。净化环122可配置于基板支撑件112上以界定净化通道124,净化通道124提供净化气体至基板110的周边部,以防止基板110的周边部上的沉积。
气体输送系统130配置于腔室主体102的上部以提供气体(例如处理气体与/或净化气体)至处理腔室100。真空系统(图中未显示)与泵送通道179连通以从处理腔室100排空任何所期望的气体并且帮助维持处理腔室100内的所期望的气压或气压范围。
在一些实施方式中,腔室盖组件132包含气体分散通道134,气体分散通道134延伸通过腔室盖组件132的中央部。如图1与图2所示,气体分散通道134朝向基板接收表面111垂直延伸并且也沿着气体分散通道134的中央轴133延伸,通过盖板170、并延伸至下表面160。在一些实施方式中,气体分散通道134的上部大致沿着中央轴133呈圆柱形,并且气体分散通道134的下部远离中央轴133而呈锥体(taper)。下表面160的经调整尺寸并塑形以大致覆盖设置在基板支撑件112的基板接收表面111上的基板110。下表面160从盖板170的外边缘朝向气体分散通道134渐缩。气体输送系统130可提供一个或多种气体至气体分散通道134以处理所述基板110。在一些实施方式中,气体输送系统130可以经由一个气体入口耦接于气体分散通道134。在一些实施方式中,例如如图3所示的那样,气体输送系统可以经由多个入口耦接于气体分散通道134。
如图3所绘示,说明通过气体分散通道134的处理气体的流动的环形气流174可含有各种类型的流动模式(flow pattern)。在一些实施方式中,当处理气体通过分散通道时,可以迫使处理气体绕着气体分散通道134的中央轴133周围回转(revolution)。在此类实施方式中,环形气流174可含有各种环形流动模式,比如涡流模式、螺旋(helix)模式、绕轴(spiral)模式、或上述模式的衍生模式。
虽然提供环形气流174对于众多应用为有利的,发明人已发现在一些应用中,环形气流能引起非均匀的处理结果。发明人已观察到,所述气流在靠近正被处理的基板110的中心可引起圆环形的沉积分布曲线。所述圆环形分布曲线可能是由气体分散通道134的漏斗状所导致的。因此,在一些实施方式中,处理腔室100进一步包含气体分配板125,气体分配板具有多个缝隙126,这些缝隙126穿过气体分配板125而被配置。气体分配板125延伸至气体分散通道134的表面,使得从气体分散通道134至基板的唯一路径穿过气体分配板125的多个缝隙126。气体分配板125有利地创造通过气体分配板125的气体的扼流,导致基板110上有更均匀的沉积,并且因此大致消除由气体的转动流所导致的圆环形沉积。
在一些实施方式中,气体分配板125由非腐蚀性陶瓷材料形成,比如,例如铝氧化物或铝氮化物。在一些实施方式中,多个缝隙126的每一个可具有相等的流体传导率。在一些实施方式中,多个缝隙126的密度(比如,每单位面积的缝隙数量或缝隙开口的尺寸)可跨越气体分配板125变化,以在基板110上实现所期望的沉积分布。例如,可在气体分配板125的中心配置较高密度的缝隙126,以相较于基板边缘增加在基板中心的沉积速率,而进一步改善沉积均匀度。
虽然多个缝隙126被描述为圆柱状通孔,但多个缝隙126可具有不同的轮廓。图4A至图4C描述了多个缝隙126的轮廓的不同、非限制性的实施方式。于图4A描述的实施方式中,所述缝隙126是圆柱状通孔,所述圆柱状通孔具有环绕所述缝隙的弯曲边缘402。于图4B描述的所述实施方式中,所述缝隙126是通孔,所述通孔具有朝向所述缝隙中心向内渐缩的上部404、垂直延伸至气体分配板125上表面127的圆柱中央部405、以及从所述缝隙中心向外渐缩的下部406。于图4C描述的实施方式中,所述缝隙126是通孔,所述通孔具有上部408,所述上述具有锥坑孔(countersunk hole),垂直延伸至气体分配板125上表面127的圆柱中央部409、以及从所述缝隙中心向外渐缩的下部410。可取而代之使用多个缝隙126的其他轮廓,以在基板110处理期间达成最佳沉积均匀度。
不希望被理论所束缚,发明人相信气体分散通道134的直径(所述直径从气体分散通道134的上部到沿着中央轴133的第一点的定值,并且所述直径从所述第一点到气体分散通道134的下部135增加)允许通过气体分散通道134的气体的更少的绝热膨胀,这有助于控制所述环形气流174中所含的处理气体的温度。例如,输送进入气体分散通道134的气体的突然绝热膨胀可能造成所述气体温度的下坠,这可能导致气体冷凝并形成液滴。另一方面,相信逐渐渐缩的气体分散通道134提供更少的气体绝热膨胀。因此,更多的热可传递至所述气体或从所述气体被传递,并且因此所述气体的温度可以更简单地通过控制腔室盖组件132的温度而被控制。气体分散通道134可逐渐地缩并且含有一个或多个渐缩的内表面,比如锥形直表面、内凹表面、外凸表面或上述表面的组合,或可含有一个或多个渐缩的内表面的分段(即,部分渐缩与部分未渐缩)。
如图3所示,气体分散通道134的上部被配置于外壳375的内区域中的插入件300界定。插入件300包含位在插入件300上部的帽302与至少部分界定气体分散通道134的中央通路。帽302延伸超过外壳375以将插入件300保持到位。插入件300与帽302包含多个O形环385,这些O形环385配置于插入件300与外壳375之间,以确保适当密封。插入件300包含多个周边缝隙,当插入件300插入外壳375时,这些周边缝隙形成相对应的多个周边通道360、365、370。多个周边通道360、365、370经由相对应的多个孔340、345、350流体耦接于气体分散通道134。在图3所示的实施方式中,气体输送系统130经由多个气体馈送线路310、315、320耦接于气体分散通道134。气体馈送线路310、315、320流体耦接于多个周边通道360、365、370以将一个或多种气体提供至气体分散通道134。
回到图1与图2,处理腔室100进一步包含腔室清洁系统,所述腔室清洁系统包含远程等离子体源(RPS)190、在一端耦接RPS 190并在相对一端耦接帽302的隔离套环192、耦接于盖板170上表面的加热板198、以及流体耦接于RPS 190的清洁气体(即,净化气体)源197。清洁气体源197可包含任合适合形成等离子体以清洁处理腔室100的气体。在一些实施方式中,例如,清洁气体可以为三氟化氮(NF3)。隔离套环192包含内通道193,内通道193通过配置于帽302中央部的多个孔285流体耦接于气体分散通道134,以使等离子体从RPS 190流动通过气体分散通道134并流入反应区164。加热板198可以由不锈钢形成并且包含多个耐热元件,这些耐热元件分散在所述板的各处。
典型地,在气体输送系统130提供第一气体至气体分散通道134后,清洁气体流动通过气体分散通道134与反应区164,以快速地从气体分散通道134与反应区164净化第一气体。之后,第二气体由气体输送系统130提供至气体分散通道134,并且清洁气体再一次地流动通过气体分散通道134并流至反应区164,以快速地从气体分散通道134与反应区164净化第二气体。然而,添加气体分配板125会堵塞(choke)清洁气体至泵送通道179的流动,并且延长了清洁工艺。就此而言,发明人已并入排气系统180,排气系统180具有排气导管184,排气导管184在第一端186耦接隔离套环192,并且在第二端188耦接泵送通道179。阀182配置于排气导管184中,以选择性地将排气导管184流体耦接至内通道193。在一些实施方式中,例如,阀182可以为具有柱塞(plunger)202的柱塞式阀,所述柱塞202可在第一位置(如图2所示)与第二位置之间移动,柱塞202在所述第一位置将排气导管184流体耦接至内通道193,且柱塞202在所述第二位置将排气导管184与内通道193密封隔绝。每一次当清洁气流动通过气体分散通道134与反应区164,阀182开启并且所述清洁气体快速地排出至泵送通道179。
当处理腔室100的内侧气压超过于RPS 190内侧的气压时,处理气体可向上流至RPS 190并损坏RPS 190。多个孔285用作扼流点以防止处理气体的回流通过内通道193向上流动并进入RPS 190中。隔离套环192可由任何与所用的清洁气体不发生反应的材料形成。在一些实施方式中,当所述清洁气体是NF3时,隔离套环192可由铝形成。在一些实施方式中,隔离套环192与插入件300可由铝形成并且涂覆有涂层以防止隔离套环192与插入件300在使用时被腐蚀性气体腐蚀。例如,所述涂层可由镍或铝氧化物形成。
参照图3,RPS 190在小于或等于约40于或的温度下运作。为求有利地将RPS 190与处理腔室100中所产生的热隔绝,绝热环394配置于隔离套环192与帽302之间。绝热环394由具低热导率的金属形成(例如,低于隔离套环192与帽302的热导率)。另外,O形环385也可配置于隔离套环192与帽302之间,以进一步减低隔离套环192与帽302之间的接触面积。绝热环394与O形环385的组合用作热扼流(thermal choke),以确保在处理腔室100中产生的热不会负面地影响RPS 190。
在一些实施方式中,当盖板170被加热超过100盖板时,处理腔室100可包含差动泵送线路250以确保任何困在(trapped)O形环385之间的处理气体或副产物被排出至泵送通道179。差动泵送线路250在第一端耦接盖板170且在于所述第一端相对的第二端耦接外壳375。差动泵送线路流体耦接于气体分散通道134与一个或多个通道260,这些通道260在二个或更多个O形环385之间的区域形成。当阀182开启以使气体分散通道134排气时,差动泵送线路排出被困在O形环385之间的气体。
回到图3,腔室盖组件132的下表面160的一部分可从耦接至气体分散通道134的中央开口到腔室盖组件132的周边部向下并向外呈一定轮廓或呈一定角度,以帮助提供来自气体分散通道134的横跨基板110的表面(即,从基板的中心至基板的边缘)的气流的改善的速率分布曲线(velocity profile)。下表面160可含有一个或多个表面,比如直表面、外凸表面、内凹表面或上述表面的组合。在一个范例中,下表面160外凸呈漏斗状。
在一个范例中,下表面160朝基板接收表面111的边缘向下并向外倾斜,以帮助减少在腔室盖组件132的下表面160与基板110之间行进的处理气体的速率变化,同时协助使基板110的表面均匀地暴露在反应物气体中。腔室盖组件132的部件与零件可含有诸如下列的材料,不锈钢、铝、镀镍铝、镍、上述材料的合金、或其他合适的材料。在一个实施方式中,盖板170可从金属被独立地制造、加工(machine)、锻造、或以其他方式制作,所述金属诸如铝、铝合金、钢、不锈钢、上述金属的合金、或上述金属的组合。
在一些实施方式中,气体分散通道134的内表面131与腔室盖组件132之下表面160可含有镜面抛光面以帮助气体沿着气体分散通道134与腔室盖组件132的下表面160流动。
参照图1至图3,在工艺操作中,基板110通过机器人(图中未显示)经由狭缝阀108输送至处理腔室100。基板110通过升举销120与机器人的合作而定位在基板支撑件112上。基板支撑件112升起基板110至与气体分配板125的下表面相对且接近的位置(closeopposition)。第一气流可与第二气流一起或分开(即,脉冲)通过气体输送系统130注入处理腔室100的气体分散通道134。所述第一气流可含有来自净化气源的连续的净化气体的连续流以及来自反应物气源的反应物气体的脉冲,或可含有来自反应物气源的反应物气体的脉冲以及来自净化气源的净化气体的脉冲。所述第二气流可含有来自净化气源的净化气流的连续流以及来自反应物气源的反应物气体的脉冲,或可含有来自反应物气源的反应物气体的脉冲以及来自净化气源的净化气体的脉冲。
所述环形气流174行进通过气体分散通道134,并接着通过气体分配板125中的多个缝隙126。然后所述气体沉积在基板110的表面上。腔室盖组件132的向下倾斜的下表面160帮助减低跨越气体分配板125的表面上的气流的速率变化。过量气体、副产物等流入泵送通道179,然后从处理腔室100排出。在整个工艺操作中,加热板198可加热所述腔室盖组件132至预定温度,来加热已经累积在处理腔室100的壁(或配置在腔室中的处理套件)上的任何固体副产物。其结果为,任何累积的固体副产物皆被汽化。所述汽化的副产物被真空系统(未显示)与泵送通道179抽空。在一些实施方式中,预定温度大于或等于150于度。
图5说明根据本公开内容的一些实施方式的处理基板的方法500。于步骤505,使第一处理气体从气体输送系统130流入气体分散通道134与反应区164中。于步骤510,所述第一处理气体流动通过气体分配板125中的多个缝隙126,并且流至基板110上。于步骤515,清洁气体流入所述气体分散通道134与所述反应区164,以净化所述第一处理气体。于步骤520,所述清洁气体经由所述排气系统180排出。于步骤525,第二处理气体流入气体分散通道134与反应区164。于步骤530,所述第二处理气体流动通过气体分配板125中的多个缝隙126,并且流至基板110上。于步骤535,所述清洁气体流入所述气体分散通道134与所述反应区164,以净化所述第二处理气体。于步骤540,所述清洁气体经由排气系统180排出。
其他适用于原子层沉积的腔室的实施方式并入有一个或多个这些特征。
虽然上述内容述针对本公开内容的一些实施方式,但可在不脱离本公开内容的实施方式的基本范围的情况下,设计其他与进一步的实施方式。

Claims (13)

1.一种用于基板处理腔室的盖组件套件,包括:
盖板,所述盖板包括上表面和含轮廓的底表面,所述上表面具有中央开口并且所述含轮廓的底表面具有第一部分和第二部分,所述第一部分从所述中央开口向下且向外延伸至所述盖板的周边部,并且所述第二部分沿着所述盖板的所述周边部径向向外延伸,所述盖板具有上凸缘和一个或多个通道,所述上凸缘从所述盖板径向向外延伸,所述一个或多个通道形成为从所述盖板的顶表面穿过所述盖板至所述含轮廓的底表面的所述第二部分;
气体分配板,所述气体分配板被构造为耦接至所述盖板,使得所述盖板的所述含轮廓的底表面的所述第二部分延伸至所述气体分配板并与所述气体分配板接触;
气体分散通道,所述气体分散通道耦接至所述中央开口并且延伸至所述含轮廓的底表面;
隔离套环,所述隔离套环包含内通道,所述内通道流体耦接于所述气体分散通道;
排气导管,所述排气导管的一端耦接至所述隔离套环,另一端耦接至泵送通道,在所述排气导管中设置有阀;和
差动泵送线路,所述差动泵送线路的第一端流体地耦接至所述一个或多个通道,并且所述差动泵送线路的第二端流体地耦接至所述气体分散通道,
其中在所述含轮廓的底表面的所述第二部分和所述气体分配板之间形成有两个或更多个O形环并且所述一个或多个通道设置在所述盖板的位于所述两个或更多个O形环之间的区域中,所述盖组件套件被配置为当所述阀打开时经由所述一个或多个通道、所述差动泵送线路、所述气体分散通道、所述内通道、所述排气导管、和所述泵送通道排出困在所述两个或更多个O形环之间的处理气体或副产物。
2.根据权利要求1所述的盖组件套件,其中所述盖板的所述上表面是平坦的。
3.根据权利要求1所述的盖组件套件,其中所述盖板的所述上表面和所述含轮廓的底表面的所述第二部分是平行的。
4.根据权利要求1所述的盖组件套件,其中所述含轮廓的底表面具有镜面抛光面。
5.根据权利要求1所述的盖组件套件,其中所述盖板由金属制成。
6.根据权利要求1所述的盖组件套件,其中所述盖板由以下材料制成:铝、铝合金、钢或上述的组合。
7.根据权利要求1-6任一项所述的盖组件套件,其中所述气体分配板具有穿过所述气体分配板设置的多个缝隙,使得当所述气体分配板耦接至所述盖板时,从所述中央开口到所述气体分配板下方的区域的唯一路径通过所述多个缝隙。
8.根据权利要求7所述的盖组件套件,其中所述气体分配板由非腐蚀性陶瓷材料形成。
9.根据权利要求7所述的盖组件套件,其中所述气体分配板由铝氧化物或铝氮化物形成。
10.根据权利要求7所述的盖组件套件,其中所述多个缝隙的每一者具有相等的流体传导率。
11.根据权利要求7所述的盖组件套件,其中所述多个缝隙的每个缝隙是具有上部、圆柱中央部和下部的通孔,所述上部具有锥坑孔,所述圆柱中央部垂直延伸至所述气体分配板的所述上表面,所述下部从所述缝隙的中心向外渐扩。
12.根据权利要求7所述的盖组件套件,其中所述气体分配板包括中央部和第一阶梯部,所述中央部含有所述多个缝隙,所述第一阶梯部围绕所述多个缝隙并被构造为与所述盖板的所述含轮廓底表面的所述第二部分接合。
13.根据权利要求12所述的盖组件套件,其中所述气体分配板进一步包括第二阶梯部,所述第二阶梯部围绕所述第一阶梯部并被构造为与所述盖板的所述上凸缘接合。
CN202110047033.1A 2015-04-22 2016-04-19 具有漏斗状气体分散通道及气体分配板的原子层沉积腔室 Active CN112877675B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202110047033.1A CN112877675B (zh) 2015-04-22 2016-04-19 具有漏斗状气体分散通道及气体分配板的原子层沉积腔室

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201562151180P 2015-04-22 2015-04-22
US62/151,180 2015-04-22
US14/734,838 US11384432B2 (en) 2015-04-22 2015-06-09 Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US14/734,838 2015-06-09
CN201680022766.7A CN107532297B (zh) 2015-04-22 2016-04-19 具有漏斗状气体分散通道及气体分配板的原子层沉积腔室
PCT/US2016/028253 WO2016172085A1 (en) 2015-04-22 2016-04-19 Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
CN202110047033.1A CN112877675B (zh) 2015-04-22 2016-04-19 具有漏斗状气体分散通道及气体分配板的原子层沉积腔室

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201680022766.7A Division CN107532297B (zh) 2015-04-22 2016-04-19 具有漏斗状气体分散通道及气体分配板的原子层沉积腔室

Publications (2)

Publication Number Publication Date
CN112877675A CN112877675A (zh) 2021-06-01
CN112877675B true CN112877675B (zh) 2024-03-08

Family

ID=57144181

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202110047033.1A Active CN112877675B (zh) 2015-04-22 2016-04-19 具有漏斗状气体分散通道及气体分配板的原子层沉积腔室
CN201680022766.7A Active CN107532297B (zh) 2015-04-22 2016-04-19 具有漏斗状气体分散通道及气体分配板的原子层沉积腔室

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201680022766.7A Active CN107532297B (zh) 2015-04-22 2016-04-19 具有漏斗状气体分散通道及气体分配板的原子层沉积腔室

Country Status (8)

Country Link
US (2) US11384432B2 (zh)
EP (1) EP3286352A4 (zh)
KR (2) KR102640272B1 (zh)
CN (2) CN112877675B (zh)
IL (2) IL284142B2 (zh)
SG (2) SG11201707640WA (zh)
TW (2) TWI722871B (zh)
WO (1) WO2016172085A1 (zh)

Families Citing this family (310)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
KR101792941B1 (ko) * 2015-04-30 2017-11-02 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드, 상하이 화학기상증착장치 및 그 세정방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR102102320B1 (ko) * 2016-06-28 2020-04-22 주식회사 원익아이피에스 기판 처리 장치 및 그것을 이용한 박막 증착 방법
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
WO2018132788A1 (en) * 2017-01-16 2018-07-19 Larry Baxter Method and apparatus for desublimation prevention in a direct contact heat exchanger
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US9972501B1 (en) * 2017-03-14 2018-05-15 Nano-Master, Inc. Techniques and systems for continuous-flow plasma enhanced atomic layer deposition (PEALD)
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11164737B2 (en) * 2017-08-30 2021-11-02 Applied Materials, Inc. Integrated epitaxy and preclean system
US10147597B1 (en) 2017-09-14 2018-12-04 Lam Research Corporation Turbulent flow spiral multi-zone precursor vaporizer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11014853B2 (en) * 2018-03-07 2021-05-25 Applied Materials, Inc. Y2O3—ZrO2 erosion resistant material for chamber components in plasma environments
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
SG11202010405RA (en) * 2018-07-31 2021-02-25 Applied Materials Inc Gas box for cvd chamber
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
WO2020243288A1 (en) 2019-05-28 2020-12-03 Applied Materials, Inc. Thermal process chamber lid with backside pumping
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US20230357927A1 (en) * 2019-09-22 2023-11-09 Applied Materials, Inc. Ald cycle time reduction using process chamber lid with tunable pumping
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
JP2023507111A (ja) * 2019-12-17 2023-02-21 アプライド マテリアルズ インコーポレイテッド 高密度プラズマ化学気相堆積チャンバ
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11087959B2 (en) 2020-01-09 2021-08-10 Nano-Master, Inc. Techniques for a hybrid design for efficient and economical plasma enhanced atomic layer deposition (PEALD) and plasma enhanced chemical vapor deposition (PECVD)
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11640900B2 (en) 2020-02-12 2023-05-02 Nano-Master, Inc. Electron cyclotron rotation (ECR)-enhanced hollow cathode plasma source (HCPS)
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
WO2021257773A1 (en) * 2020-06-17 2021-12-23 Applied Materials, Inc. High temperature chemical vapor deposition lid
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
WO2022051079A1 (en) * 2020-09-02 2022-03-10 Applied Materials, Inc. Showerhead design to control stray deposition
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11605544B2 (en) 2020-09-18 2023-03-14 Applied Materials, Inc. Methods and systems for cleaning high aspect ratio structures
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
TW202403086A (zh) * 2021-07-12 2024-01-16 美商應用材料股份有限公司 用於前驅物遏制的改進的噴頭泵送幾何形狀
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115572938B (zh) * 2022-07-18 2024-03-22 江西弘耀光学水晶有限公司 一种高精密光学镜片镀膜方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040144311A1 (en) * 2002-11-14 2004-07-29 Ling Chen Apparatus and method for hybrid chemical processing
CN102762767A (zh) * 2010-03-12 2012-10-31 应用材料公司 具有多重注射道的原子层沉积腔室
US20130196507A1 (en) * 2012-01-31 2013-08-01 Paul F. Ma Method Of Depositing Metals Using High Frequency Plasma
US20140097270A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Chemical control features in wafer process equipment
WO2014178160A1 (ja) * 2013-04-30 2014-11-06 東京エレクトロン株式会社 成膜装置

Family Cites Families (144)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3144035A (en) * 1963-02-01 1964-08-11 Nat Res Corp High vacuum system
US4229655A (en) * 1979-05-23 1980-10-21 Nova Associates, Inc. Vacuum chamber for treating workpieces with beams
JPS5764228A (en) * 1980-10-08 1982-04-19 Fuji Photo Film Co Ltd Silver halide photographic material
CA1272661A (en) * 1985-05-11 1990-08-14 Yuji Chiba Reaction apparatus
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US5359254A (en) * 1990-06-26 1994-10-25 Research Institute Of Applied Mechanics And Electrodynamics Plasma compensation cathode
GB9202434D0 (en) * 1992-02-05 1992-03-18 Xaar Ltd Method of and apparatus for forming nozzles
US5578132A (en) * 1993-07-07 1996-11-26 Tokyo Electron Kabushiki Kaisha Apparatus for heat treating semiconductors at normal pressure and low pressure
US5512078A (en) * 1994-03-24 1996-04-30 Griffin; Stephen E. Apparatus for making linearly tapered bores in quartz tubing with a controlled laser
EP0738788B1 (en) * 1995-04-20 2003-08-13 Ebara Corporation Thin-Film vapor deposition apparatus
JP3380091B2 (ja) * 1995-06-09 2003-02-24 株式会社荏原製作所 反応ガス噴射ヘッド及び薄膜気相成長装置
KR100492258B1 (ko) * 1996-10-11 2005-09-02 가부시키가이샤 에바라 세이사꾸쇼 반응가스분출헤드
EP1008674B1 (en) * 1997-04-11 2013-05-29 Tokyo Electron Limited Elecrode unit and processor
US6024799A (en) * 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
JP3314151B2 (ja) * 1998-01-05 2002-08-12 株式会社日立国際電気 プラズマcvd装置及び半導体装置の製造方法
US6635578B1 (en) * 1998-02-09 2003-10-21 Applied Materials, Inc Method of operating a dual chamber reactor with neutral density decoupled from ion density
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6450116B1 (en) * 1999-04-22 2002-09-17 Applied Materials, Inc. Apparatus for exposing a substrate to plasma radicals
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
ATE249532T1 (de) * 2000-02-04 2003-09-15 Aixtron Ag Vorrichtung und verfahren zum abscheiden einer oder mehrerer schichten auf ein substrat
TW580735B (en) * 2000-02-21 2004-03-21 Hitachi Ltd Plasma treatment apparatus and treating method of sample material
US6302965B1 (en) * 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20030019428A1 (en) * 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
US7103443B2 (en) * 2001-06-29 2006-09-05 Tokyo Electron Limited Directed gas injection apparatus for semiconductor processing
US7780789B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Vortex chamber lids for atomic layer deposition
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US20080102208A1 (en) * 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US20080102203A1 (en) * 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US20030116087A1 (en) * 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US7175713B2 (en) * 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US20030140857A1 (en) * 2002-01-28 2003-07-31 Applied Materials, Inc. Apparatus and method for low pressure CVD deposition of tungsten and tungsten nitride
JP4090347B2 (ja) 2002-03-18 2008-05-28 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US20030213560A1 (en) * 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
US20030224217A1 (en) * 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US7217336B2 (en) * 2002-06-20 2007-05-15 Tokyo Electron Limited Directed gas injection apparatus for semiconductor processing
US6962348B2 (en) * 2002-07-29 2005-11-08 Tokyo Electron Limited Sealing apparatus having a single groove
US7032352B2 (en) 2002-07-31 2006-04-25 Zebuhr William H Structure to limit damage due to failure
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6784096B2 (en) * 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US7097886B2 (en) * 2002-12-13 2006-08-29 Applied Materials, Inc. Deposition process for high aspect ratio trenches
WO2004061888A2 (en) * 2002-12-20 2004-07-22 Tokyo Electron Limited Method and apparatus for determining consumable lifetime
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
JP2004239251A (ja) * 2003-02-06 2004-08-26 Aisan Ind Co Ltd 燃料噴射弁
US20040173313A1 (en) * 2003-03-03 2004-09-09 Bradley Beach Fire polished showerhead electrode
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
EP1629522A4 (en) * 2003-05-30 2008-07-23 Aviza Tech Inc GAS DISTRIBUTION SYSTEM
US6852139B2 (en) * 2003-07-11 2005-02-08 Excellatron Solid State, Llc System and method of producing thin-film electrolyte
US6886240B2 (en) * 2003-07-11 2005-05-03 Excellatron Solid State, Llc Apparatus for producing thin-film electrolyte
JP2005109194A (ja) 2003-09-30 2005-04-21 Japan Steel Works Ltd:The Cvd反応室のクリーニング装置
US7408225B2 (en) * 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
CN100466162C (zh) * 2003-12-15 2009-03-04 应用材料有限公司 用于改进cvd膜性能的边流面板
US7892357B2 (en) 2004-01-12 2011-02-22 Axcelis Technologies, Inc. Gas distribution plate assembly for plasma reactors
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US20050218115A1 (en) * 2004-02-06 2005-10-06 Applied Materials, Inc. Anti-clogging nozzle for semiconductor processing
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
KR20060060731A (ko) * 2004-03-31 2006-06-05 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8119210B2 (en) * 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US20060137608A1 (en) 2004-12-28 2006-06-29 Choi Seung W Atomic layer deposition apparatus
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7651568B2 (en) * 2005-03-28 2010-01-26 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
JP4943669B2 (ja) * 2005-06-08 2012-05-30 東京エレクトロン株式会社 真空装置のシール構造
US7601652B2 (en) * 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
JP4997842B2 (ja) * 2005-10-18 2012-08-08 東京エレクトロン株式会社 処理装置
TWI332532B (en) * 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
WO2007084493A2 (en) * 2006-01-19 2007-07-26 Asm America, Inc. High temperature ald inlet manifold
US20070163716A1 (en) 2006-01-19 2007-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution apparatuses and methods for controlling gas distribution apparatuses
US7494545B2 (en) * 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
KR20070093197A (ko) * 2006-03-13 2007-09-18 삼성전자주식회사 샤워헤드 및 이를 포함하는 박막 증착 장치
US8268078B2 (en) * 2006-03-16 2012-09-18 Tokyo Electron Limited Method and apparatus for reducing particle contamination in a deposition system
US8034176B2 (en) * 2006-03-28 2011-10-11 Tokyo Electron Limited Gas distribution system for a post-etch treatment system
US20070289534A1 (en) * 2006-05-30 2007-12-20 Applied Materials, Inc. Process chamber for dielectric gapfill
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7476291B2 (en) * 2006-09-28 2009-01-13 Lam Research Corporation High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
KR101064354B1 (ko) * 2006-11-09 2011-09-14 가부시키가이샤 알박 장벽막 형성 방법
US8821637B2 (en) * 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US8235001B2 (en) * 2007-04-02 2012-08-07 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
KR101125086B1 (ko) * 2007-04-17 2012-03-21 가부시키가이샤 알박 성막장치
US8021514B2 (en) * 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
US20090084317A1 (en) * 2007-09-28 2009-04-02 Applied Materials, Inc. Atomic layer deposition chamber and components
US7541297B2 (en) * 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US8092606B2 (en) * 2007-12-18 2012-01-10 Asm Genitech Korea Ltd. Deposition apparatus
JP5308679B2 (ja) * 2008-01-22 2013-10-09 東京エレクトロン株式会社 シール機構、シール溝、シール部材及び基板処理装置
TWI498988B (zh) * 2008-02-20 2015-09-01 Tokyo Electron Ltd A gas supply device, a film forming apparatus, and a film forming method
JP5223377B2 (ja) * 2008-02-29 2013-06-26 東京エレクトロン株式会社 プラズマ処理装置用の電極、プラズマ処理装置及びプラズマ処理方法
JP2009239082A (ja) 2008-03-27 2009-10-15 Tokyo Electron Ltd ガス供給装置、処理装置及び処理方法
JP5243089B2 (ja) * 2008-04-09 2013-07-24 東京エレクトロン株式会社 プラズマ処理装置のシール構造、シール方法およびプラズマ処理装置
JP5396745B2 (ja) * 2008-05-23 2014-01-22 東京エレクトロン株式会社 プラズマ処理装置
KR20110022036A (ko) * 2008-06-02 2011-03-04 맷슨 테크놀로지, 인크. 기판 처리방법
US7699935B2 (en) 2008-06-19 2010-04-20 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
JP5231117B2 (ja) * 2008-07-24 2013-07-10 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
US8187381B2 (en) 2008-08-22 2012-05-29 Applied Materials, Inc. Process gas delivery for semiconductor process chamber
US20100183825A1 (en) * 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
US20100317198A1 (en) * 2009-06-12 2010-12-16 Novellus Systems, Inc. Remote plasma processing of interface surfaces
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
EP2292953A1 (en) * 2009-09-07 2011-03-09 Fei Company High-vacuum seal
TWI385272B (zh) 2009-09-25 2013-02-11 Ind Tech Res Inst 氣體分佈板及其裝置
US8329587B2 (en) * 2009-10-05 2012-12-11 Applied Materials, Inc. Post-planarization densification
US8742665B2 (en) * 2009-11-18 2014-06-03 Applied Materials, Inc. Plasma source design
US20110136346A1 (en) * 2009-12-04 2011-06-09 Axcelis Technologies, Inc. Substantially Non-Oxidizing Plasma Treatment Devices and Processes
US20110151677A1 (en) * 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US20110303146A1 (en) * 2009-12-28 2011-12-15 Osamu Nishijima Plasma doping apparatus
US8721791B2 (en) * 2010-07-28 2014-05-13 Applied Materials, Inc. Showerhead support structure for improved gas flow
US8771539B2 (en) * 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US20120220116A1 (en) * 2011-02-25 2012-08-30 Applied Materials, Inc. Dry Chemical Cleaning For Semiconductor Processing
US9064815B2 (en) * 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
KR101234594B1 (ko) * 2011-07-25 2013-02-19 피에스케이 주식회사 배플 및 이를 포함하는 기판 처리 장치
US8771536B2 (en) * 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US20130045605A1 (en) * 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US8679982B2 (en) * 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) * 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8808563B2 (en) * 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) * 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8586479B2 (en) * 2012-01-23 2013-11-19 Applied Materials, Inc. Methods for forming a contact metal layer in semiconductor devices
US9330939B2 (en) * 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US9976215B2 (en) * 2012-05-01 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film formation apparatus and process
US10714315B2 (en) * 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9230815B2 (en) * 2012-10-26 2016-01-05 Appled Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
US20140261802A1 (en) * 2013-03-13 2014-09-18 Becquerel & Sievert Co., Ltd. Vacuum isolation device
JP5793170B2 (ja) 2013-09-30 2015-10-14 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US8951429B1 (en) * 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
JP5764228B1 (ja) * 2014-03-18 2015-08-12 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
US11302520B2 (en) * 2014-06-28 2022-04-12 Applied Materials, Inc. Chamber apparatus for chemical etching of dielectric materials
JP5792364B1 (ja) * 2014-07-31 2015-10-07 株式会社日立国際電気 基板処理装置、チャンバリッドアセンブリ、半導体装置の製造方法、プログラム及び記録媒体
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
JP5916909B1 (ja) * 2015-02-06 2016-05-11 株式会社日立国際電気 基板処理装置、ガス整流部、半導体装置の製造方法およびプログラム
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
WO2020243288A1 (en) * 2019-05-28 2020-12-03 Applied Materials, Inc. Thermal process chamber lid with backside pumping

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040144311A1 (en) * 2002-11-14 2004-07-29 Ling Chen Apparatus and method for hybrid chemical processing
CN102762767A (zh) * 2010-03-12 2012-10-31 应用材料公司 具有多重注射道的原子层沉积腔室
US20130196507A1 (en) * 2012-01-31 2013-08-01 Paul F. Ma Method Of Depositing Metals Using High Frequency Plasma
US20140097270A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Chemical control features in wafer process equipment
WO2014178160A1 (ja) * 2013-04-30 2014-11-06 東京エレクトロン株式会社 成膜装置

Also Published As

Publication number Publication date
SG10202111772XA (en) 2021-12-30
KR20170140282A (ko) 2017-12-20
IL284142A (en) 2021-07-29
EP3286352A4 (en) 2019-01-23
IL284142B2 (en) 2024-04-01
SG11201707640WA (en) 2017-11-29
US20160312360A1 (en) 2016-10-27
CN107532297B (zh) 2021-02-02
TWI693298B (zh) 2020-05-11
TW201718927A (zh) 2017-06-01
US20210246552A1 (en) 2021-08-12
KR102640272B1 (ko) 2024-02-22
US11384432B2 (en) 2022-07-12
CN112877675A (zh) 2021-06-01
IL284142B1 (en) 2023-12-01
TWI722871B (zh) 2021-03-21
IL254759A (en) 2017-12-31
KR102631744B1 (ko) 2024-01-30
TW202028525A (zh) 2020-08-01
IL254759B2 (en) 2023-05-01
CN107532297A (zh) 2018-01-02
US11932939B2 (en) 2024-03-19
KR20210046839A (ko) 2021-04-28
EP3286352A1 (en) 2018-02-28
IL254759B1 (en) 2023-01-01
WO2016172085A1 (en) 2016-10-27

Similar Documents

Publication Publication Date Title
CN112877675B (zh) 具有漏斗状气体分散通道及气体分配板的原子层沉积腔室
KR102554825B1 (ko) 열 덮개를 구비한 원자 층 증착 챔버
KR101810532B1 (ko) 다중 인젝트를 이용하는 원자 층 증착 챔버
US7780785B2 (en) Gas delivery apparatus for atomic layer deposition
KR200495609Y1 (ko) 샤워헤드 및 이를 포함하는 프로세스 챔버
US11715667B2 (en) Thermal process chamber lid with backside pumping
EP1444380A2 (en) Gas delivery apparatus for atomic layer deposition
TWI838240B (zh) 具有背側泵送的熱處理腔室蓋

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant