KR102631744B1 - 깔때기-형상 가스 분산 채널 및 가스 분배 플레이트를 구비한 원자 층 증착 챔버 - Google Patents

깔때기-형상 가스 분산 채널 및 가스 분배 플레이트를 구비한 원자 층 증착 챔버 Download PDF

Info

Publication number
KR102631744B1
KR102631744B1 KR1020177033110A KR20177033110A KR102631744B1 KR 102631744 B1 KR102631744 B1 KR 102631744B1 KR 1020177033110 A KR1020177033110 A KR 1020177033110A KR 20177033110 A KR20177033110 A KR 20177033110A KR 102631744 B1 KR102631744 B1 KR 102631744B1
Authority
KR
South Korea
Prior art keywords
gas distribution
gas
substrate processing
processing chamber
plate
Prior art date
Application number
KR1020177033110A
Other languages
English (en)
Other versions
KR20170140282A (ko
Inventor
무함마드 엠. 라시드
스리니바스 간디코타
마리오 댄 산체스
구오퀴앙 지안
이시옹 양
디파크 자드하브
아슈토쉬 아가르왈
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020217011571A priority Critical patent/KR102640272B1/ko
Publication of KR20170140282A publication Critical patent/KR20170140282A/ko
Application granted granted Critical
Publication of KR102631744B1 publication Critical patent/KR102631744B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4408Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber by purging residual gases from the reaction chamber or gas lines
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting

Abstract

기판을 프로세싱하기 위한 방법들 및 장치가 본원에 제공된다. 몇몇 실시예들에서, 기판 프로세싱 챔버는, 챔버 본체; 상부 부분 및 하부 부분을 갖고 중심축을 따라 연장되는 중앙 채널을 에워싸는 하우징을 갖는 챔버 덮개 조립체; 하우징에 커플링된 덮개 플레이트 ― 덮개 플레이트는, 중앙 채널의 하부 부분에 커플링된 중앙 개구부로부터, 덮개 플레이트의 주변 부분으로 외측으로 그리고 하방으로 연장되는 윤곽진(contoured) 바닥부 표면을 가짐 ―; 및 덮개 플레이트 아래에 배치된 가스 분배 플레이트 ― 가스 분배 플레이트는, 가스 분배 플레이트를 통해 배치된 복수의 개구들을 가짐 ― 를 포함한다.

Description

깔때기-형상 가스 분산 채널 및 가스 분배 플레이트를 구비한 원자 층 증착 챔버
[0001] 본 개시내용의 실시예들은 일반적으로, 원자 층 증착을 위한 장치 및 방법들에 관한 것이다.
[0002] 서브미크론 및 더 작은 피처들을 신뢰성 있게 생산하는 것은, 차세대 VLSI(very large scale integration) 및 ULSI(ultra large scale integration) 반도체 디바이스들에 대한 주요 기술들 중 하나이다. 그러나, 회로 기술의 한계들이 압박당함에 따라, VLSI 및 ULSI 기술에서 인터커넥트들의 치수들을 축소시키는 것은, 프로세싱 능력들에 대한 부가적인 요구 사항들을 제기했다. VLSI 및 ULSI 기술의 핵심인 멀티레벨 인터커넥트들은 고 종횡비 피처들, 예컨대, 비아들 및 다른 인터커넥트들의 정밀한 프로세싱을 사용한다. 이러한 인터커넥트들의 신뢰성 있는 형성은 VLSI 및 ULSI 성공, 그리고 개별 기판들의 품질 및 회로 밀도를 증가시키려는 지속된 노력에 매우 중요하다.
[0003] 회로 밀도들이 증가함에 따라, 인터커넥트들, 예컨대, 비아들, 트렌치들, 컨택들, 및 다른 피처들뿐만 아니라, 사이의 유전체 재료들의 폭들이 감소하는 반면, 유전체 층들의 두께는 실질적으로 일정하게 유지되어, 피처들의 증가된 높이-대-폭 종횡비들을 초래한다. 많은 전통적인 증착 프로세스들은, 종횡비가 4:1을 초과하는, 특히, 종횡비가 10:1을 초과하는 서브미크론 구조들을 충전하는 것에 어려움을 겪는다. 그러므로, 고 종횡비들을 갖는, 실질적으로 보이드가 없고(void-free) 이음매가 없는(seam-free) 서브미크론 피처들의 형성에 계속적인 많은 노력을 쏟고 있다.
[0004] ALD(atomic layer deposition)는 고 종횡비들을 갖는 피처들 위에 재료 층들을 증착시키기 위해 탐구되는 증착 기술이다. ALD 프로세스의 일 예는 가스들의 펄스들의 순차적 유입을 포함한다. 예컨대, 가스들의 펄스들의 순차적 유입의 일 사이클은 제 1 반응물 가스의 펄스를 포함할 수 있고, 제 1 반응물 가스의 펄스 다음에 퍼지 가스의 펄스 및/또는 펌프 진공배기, 그 다음에 제 2 반응물 가스의 펄스, 그리고 그 다음에 퍼지 가스의 펄스 및/또는 펌프 진공배기가 후속된다. 본원에서 사용되는 바와 같은 "가스"라는 용어는 단일 가스 또는 복수의 가스들을 포함하도록 정의된다. 제 1 반응물 및 제 2 반응물의 개별 펄스들의 순차적 유입은, 기판의 표면 상에서 반응물들의 단분자층들(monolayers)의 교번하는 자가-제한 흡수(alternating self-limiting absorption)를 초래할 수 있고, 따라서 각각의 사이클 동안 재료의 단분자층을 형성한다. 사이클은 증착되는 재료의 원하는 두께까지 반복될 수 있다. 제 1 반응물 가스의 펄스들과 제 2 반응물 가스의 펄스들 사이의 퍼지 가스의 펄스 및/또는 펌프 진공배기는, 챔버에 남아 있는 과한 양의 반응물들로 인한 반응물들의 기상(gas phase) 반응들의 가능성을 감소시키는 역할을 한다.
[0005] ALD 프로세싱을 위한 몇몇 챔버 설계들에서, 전구체들 및 가스들은 깔때기형 덮개를 사용하여 전달되며, 깔때기형 덮개를 통해서, 전구체는 깔때기 형상 덮개 위의 다수의 주입기들을 통해 분배된다. 주입기들은, 주입된 가스의 원형 운동(circular motion)을 생성하고, 이는 덮개의 중앙에서 깔때기 프로파일을 통해 분배된다. 가스/ALD 전구체 분자들의 회전 관성은 분자들을 중앙으로부터 에지로 분배시켜서, 개선된 균일성 증착을 초래한다. 그러나, 몇몇 애플리케이션들에서, 본 발명자들은, 프로세싱되는 기판의 중앙 근처에서 도넛-형상 증착 프로파일을 관찰하였다. 도넛-형상 증착 프로파일은, 덮개의 깔때기 형상으로 인해 야기되는 것으로 생각되며, 고객들에게 통합(integration) 문제들을 일으킬 수 있다.
[0006] 그러므로, 본 발명자들은 기판의 ALD 프로세싱을 위한 개선된 장치 및 방법들을 제공하였다.
[0007] 기판을 프로세싱하기 위한 방법들 및 장치가 본원에 제공된다. 몇몇 실시예들에서, 기판 프로세싱 챔버는, 챔버 본체; 상부 부분 및 하부 부분을 갖고 중심축을 따라 연장되는 중앙 채널을 에워싸는 하우징을 갖는 챔버 덮개 조립체; 하우징에 커플링된 덮개 플레이트 ― 덮개 플레이트는, 중앙 채널의 하부 부분에 커플링된 중앙 개구부로부터, 덮개 플레이트의 주변 부분으로 외측으로 그리고 하방으로 연장되는 윤곽진(contoured) 바닥부 표면을 가짐 ―; 및 덮개 플레이트 아래에 배치된 가스 분배 플레이트 ― 가스 분배 플레이트는, 가스 분배 플레이트를 통해 배치된 복수의 개구들을 가짐 ― 를 포함한다.
[0008] 몇몇 실시예들에서, 기판 프로세싱 챔버는, 챔버 본체; 상부 부분 및 하부 부분을 갖고 중심축을 따라 연장되는 중앙 채널을 에워싸는 하우징을 갖는 챔버 덮개 조립체; 하우징에 커플링된 덮개 플레이트 ― 덮개 플레이트는, 중앙 채널의 하부 부분에 커플링된 중앙 개구부로부터, 덮개 플레이트의 주변 부분으로 외측으로 그리고 하방으로 연장되는 윤곽진 바닥부 표면을 가짐 ―; 덮개 플레이트 아래에 배치된 가스 분배 플레이트 ― 가스 분배 플레이트는, 가스 분배 플레이트를 통해 배치된 복수의 개구들을 가짐 ―; 중앙 채널에 유체적으로 커플링된(fluidly coupled) 원격 플라즈마 소스; 원격 플라즈마 소스와 하우징 사이에 커플링된 격리 칼라(isolation collar) ― 격리 칼라는, 원격 플라즈마 소스와 중앙 채널을 유체적으로 커플링하기 위해 격리 칼라를 통해 연장되는 내측 채널을 가짐 ―; 제 1 단부에서 격리 칼라에 커플링되고, 제 2 단부에서 주(main) 펌핑 채널에 커플링된 배기 도관; 및 배기 도관을 선택적으로 개방 또는 폐쇄하기 위해 배기 도관에 커플링된 밸브를 포함한다.
[0009] 몇몇 실시예들에서, 기판을 프로세싱하는 방법은, 제 1 프로세스 가스를 프로세스 챔버의 가스 분산 채널 및 반응 구역 내로 유동시키는 단계; 제 1 프로세스 가스를, 반응 구역에 배치된 가스 분배 플레이트의 복수의 개구들을 통해 기판 상으로 유동시키는 단계; 세정 가스를 가스 분산 채널 및 반응 구역 내로 유동시키는 단계; 세정 가스를 배기 시스템을 통해 배기시키는 단계; 제 2 프로세스 가스를 가스 분산 채널 및 반응 구역 내로 유동시키는 단계; 제 2 프로세스 가스를 가스 분배 플레이트의 복수의 개구들을 통해 기판 상으로 유동시키는 단계; 세정 가스를 가스 분산 채널 및 반응 구역 내로 유동시키는 단계; 및 세정 가스를 배기 시스템을 통해 배기시키는 단계를 포함한다.
[0010] 본 개시내용의 다른 그리고 추가적인 실시예들은 이하에서 설명된다.
[0011] 첨부된 도면들에 도시된 본 개시내용의 예시적 실시예들을 참조하여, 앞서 간략히 요약되고 이하에서 더 상세하게 논의되는 본 개시내용의 실시예들이 이해될 수 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 전형적인 실시예들을 예시하는 것이므로 범위를 제한하는 것으로 간주되지 않아야 하는데, 이는 본 개시내용이, 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0012] 도 1은, 본 개시내용의 몇몇 실시예들에 따른 프로세스 챔버의 개략도를 도시한다.
[0013] 도 2는, 본 개시내용의 몇몇 실시예들에 따른 프로세스 챔버의 개략적인 단면도를 도시한다.
[0014] 도 3은, 본 개시내용의 몇몇 실시예들에 따른 덮개 조립체의 개략적인 단면도를 도시한다.
[0015] 도 4a-c는, 본 개시내용의 실시예들에 따른 가스 분배 플레이트를 통해 배치된 개구들의 개략도들을 도시한다.
[0016] 도 5는, 본 개시내용의 몇몇 실시예들에 따른, 기판을 프로세싱하기 위한 방법을 예시하는 흐름도를 도시한다.
[0017] 이해를 용이하게 하기 위하여, 가능하면, 도면들에 공통되는 동일한 엘리먼트들을 나타내기 위해, 동일한 참조번호들이 사용되었다. 도면들은 실척대로 도시된 것은 아니며, 명료함을 위해 단순화될 수 있다. 일 실시예의 엘리먼트들 및 특징들은, 추가적인 언급 없이 다른 실시예들에 유익하게 통합될 수 있다.
[0018] 본 개시내용의 실시예들은, 예컨대, ALD(atomic layer deposition) 프로세스 동안에, 기판 프로세싱 챔버들, 예컨대, ALD 챔버를 세정하고, 재료들을 증착시키는 데에 사용될 수 있는 장치 및 방법들을 제공한다. 실시예들은, 원격 플라즈마 소스 및 가스 분배 플레이트를 포함하는 가스 전달 시스템들 및 기판 프로세싱 챔버들을 포함한다. 다른 실시예들은, ALD 프로세스들 동안 이러한 가스 전달 시스템들을 사용하여 재료들을 증착시키기 위한 방법들을 제공한다. 본원에서 설명되는 장치들의 통합에 적합한 프로세싱 챔버들의 예들은, 캘리포니아주 산타 클라라의 Applied Materials, Inc.로부터 입수 가능한, 높은 유전 상수(즉, 고-k) 및 금속 ALD 증착 챔버들을 포함한다. 이하의 프로세스 챔버 설명은 상황에 맞게 그리고 예시적인 목적들을 위해 제공되며, 본 개시내용의 범위를 제한하는 것으로 이해되거나 해석되어서는 안된다.
[0019] 도 1은, 본 개시내용의 몇몇 실시예들에 따른, ALD 프로세스들에 대해 적응된 가스 전달 시스템(130)을 포함하는 기판 프로세싱 챔버(프로세스 챔버(100))의 개략도이다. 도 2는, 프로세스 챔버(100)의 단면도이다. 프로세스 챔버(100)는 챔버 본체(102)를 포함하고, 챔버 본체(102)는 챔버 본체(102) 내에 그리고 챔버 덮게 조립체(132) 아래에 프로세싱 용적을 갖는다. 프로세스 챔버(100)의 슬릿 밸브(108)는, 로봇(도시되지 않음)이 기판(110), 예컨대, 200mm 또는 300mm 반도체 웨이퍼 또는 유리 기판을 프로세스 챔버(100)에 전달하고 프로세스 챔버(100)로부터 회수하기 위한 액세스를 제공한다. 챔버 라이너(liner)(177)는, 프로세싱/세정 동안에 사용되는 부식성 가스들로부터 챔버를 보호하기 위해, 프로세스 챔버(100)의 벽들을 따라 배치된다.
[0020] 기판 지지부(112)는 프로세스 챔버(100)에서 기판(110)을 기판 수용 표면(111) 상에 지지한다. 기판 지지부(112)는, 기판 지지부(112) 및 기판 지지부 상에 배치된 기판(110)을 상승시키고 하강시키기 위해, 리프트 모터(114)에 장착된다. 리프트 모터(118)에 연결된 리프트 플레이트(116)(도 2에 도시됨)는, 기판 지지부(112)를 통해 이동 가능하게 배치된 리프트 핀들(120)을 상승 및 하강시키기 위해, 프로세스 챔버(100)에 장착된다. 리프트 핀들(120)은 기판 지지부(112)의 표면 위에서 기판(110)을 상승 및 하강시킨다. 기판 지지부(112)는, 증착 프로세스 동안 기판(110)을 기판 지지부(112)에 고정시키기 위해, 진공 척(도시되지 않음), 정전 척(도시되지 않음), 또는 클램프 링(도시되지 않음)을 포함할 수 있다.
[0021] 기판 지지부(112)의 온도는 기판(110)의 온도를 제어하도록 조정될 수 있다. 예컨대, 기판 지지부(112)는 내장된 가열 엘리먼트, 예컨대, 저항성 가열기(도시되지 않음)를 사용하여 가열될 수 있거나, 복사열, 예컨대, 기판 지지부(112) 위에 배치된 가열 램프들(도시되지 않음)을 사용하여 가열될 수 있다. 기판(110)의 주변 부분 상에서의 증착을 방지하기 위해 퍼지 가스를 기판(110)의 주변 부분에 제공하는 퍼지 채널(124)을 정의하기 위해, 퍼지 링(122)이 기판 지지부(112) 상에 배치될 수 있다.
[0022] 가스 전달 시스템(130)은, 가스, 예컨대, 프로세스 가스 및/또는 퍼지 가스를 프로세스 챔버(100)에 제공하기 위해, 챔버 본체(102)의 상부 부분에 배치된다. 진공 시스템(도시되지 않음)은, 임의의 원하는 가스들을 프로세스 챔버(100)로부터 진공배기하기 위해, 그리고 프로세스 챔버(100)의 내부에서 원하는 압력 또는 압력 범위를 유지하는 것을 돕기 위해, 펌핑 채널(179)과 연통(in communication)한다.
[0023] 몇몇 실시예들에서, 챔버 덮개 조립체(132)는, 챔버 덮개 조립체(132)의 중앙 부분을 통해 연장되는 가스 분산 채널(134)을 포함한다. 도 1 및 2에 도시된 바와 같이, 가스 분산 채널(134)은 기판 수용 표면(111)을 향해 수직으로 연장되고, 또한, 가스 분산 채널(134)의 중심축(133)을 따라, 덮개 플레이트(170)를 통해, 하부 표면(160)으로 연장된다. 몇몇 실시예들에서, 가스 분산 채널(134)의 상부 부분은 중심축(133)을 따라서 실질적으로 원통형이고, 가스 분산 채널(134)의 하부 부분은 중심축(133)으로부터 멀어지며 테이퍼링된다(taper). 하부 표면(160)은 기판 지지부(112)의 기판 수용 표면(111) 상에 배치된 기판(110)을 실질적으로 커버하도록 크기가 정해지고 성형된다. 하부 표면(160)은 덮개 플레이트(170)의 외측 에지로부터 가스 분산 채널(134)을 향해 테이퍼링된다. 가스 분배 시스템(130)은 기판(110)을 프로세싱하기 위해 하나 또는 그 초과의 가스들을 가스 분산 채널(134)에 제공할 수 있다. 몇몇 실시예들에서, 가스 전달 시스템(130)은 하나의 가스 유입구를 통해 가스 분산 채널(134)에 커플링될 수 있다. 몇몇 실시예들에서, 도 3에 도시된 것과 같이, 가스 전달 시스템은 복수의 유입구들을 통해 가스 분산 채널(134)에 커플링될 수 있다.
[0024] 도 3에 예시된 바와 같이, 가스 분산 채널(134)을 통하는 프로세스 가스들의 유동을 예시하는 원형 가스 유동(174)은 다양항 유형들의 유동 패턴들을 포함할 수 있다. 몇몇 실시예들에서, 프로세싱 가스들은 분산 채널을 통과하면서 가스 분산 채널(134)의 중심축(133)을 중심으로 회전하도록 강제될 수 있다. 그러한 실시예들에서, 원형 가스 유동(174)은 다양한 유형들의 원형 유동 패턴들, 예컨대, 소용돌이(vortex) 패턴, 나선형(helix) 패턴, 와선형(spiral) 패턴, 또는 이들의 파생형들을 포함할 수 있다.
[0025] 많은 애플리케이션들의 경우에 원형 가스 유동(174)을 제공하는 것이 유익하지만, 본 발명자들은, 몇몇 애플리케이션들에서는, 원형 가스 유동이 불-균일한 프로세싱 결과들로 이어질 수 있다는 것을 발견하였다. 본 발명자들은, 가스 유동이, 프로세싱되는 기판(110)의 중앙 근처에서 도넛-형상 증착 프로파일로 이어질 수 있다는 것을 관찰하였다. 도넛-형상 프로파일은 가스 분산 채널(134)의 깔때기 형상에 의해 야기될 수 있다. 그러므로, 몇몇 실시예들에서, 프로세스 챔버(100)는 복수의 개구들(126)을 갖는 가스 분배 플레이트(125)를 더 포함하고, 복수의 개구들(126)은 가스 분배 플레이트(125)를 통해 배치된다. 가스 분배 플레이트(125)는, 오직 가스 분산 채널(134)로부터 기판으로의 경로만이 가스 분배 플레이트(125)의 복수의 개구들(126)을 통하도록, 가스 분산 채널(134)의 표면으로 연장된다. 가스 분배 플레이트(125)는 유리하게, 가스 분배 플레이트(125)를 통하는 가스의 초크 유동(choked flow)을 생성하여, 기판(110) 상에서의 더 균일한 증착을 초래하고, 따라서, 가스의 회전 유동에 의해 야기되는 도넛-형상 증착을 실질적으로 제거한다.
[0026] 몇몇 실시예들에서, 가스 분배 플레이트(125)는, 예컨대, 알루미늄 옥사이드 또는 알루미늄 나이트라이드와 같은 비-부식성 세라믹 재료로 형성된다. 몇몇 실시예들에서, 복수의 개구들(126) 각각은 동등한 유체 컨덕턴스(fluid conductance)를 가질 수 있다. 몇몇 실시예들에서, 복수의 개구들(126)의 밀도(예컨대, 단위 지역당 개구들의 개구부들의 크기 또는 개구들의 개수)는, 기판(110)에 대해 원하는 증착 프로파일을 달성하기 위해 가스 분배 플레이트(125)에 걸쳐 변할 수 있다. 예컨대, 증착 균일성을 더 개선하기 위해 기판의 에지에 대해서 기판의 중앙에서의 증착 레이트를 증가시키도록, 개구들(126)의 더 높은 밀도가 가스 분배 플레이트(125)의 중심에 배치될 수 있다.
[0027] 복수의 개구들(126)이 원통형 스루 홀들로서 도시되었지만, 복수의 개구들(126)은 상이한 프로파일들을 가질 수 있다. 도 4a-c는, 복수의 개구들(126)의 프로파일들의 상이한 비-제한적인 실시예들을 도시한다. 도 4a에 도시된 실시예에서, 개구(126)는, 개구를 둘러싸는 커브형 에지들(402)을 갖는 원통형 스루 홀이다. 도 4b에 도시된 실시예에서, 개구(126)는, 개구의 중앙을 향해 내측으로 테이퍼링되는 상부 부분(404), 가스 분배 플레이트(125)의 상부 표면(127)에 대해 수직으로 연장되는 원통형 중앙 부분(405), 및 개구의 중앙으로부터 외측으로 테이퍼링되는 하부 부분(406)을 갖는 스루 홀이다. 도 4c에 도시된 실시예에서, 개구(126)는, 카운터성크(countersunk) 홀을 갖는 상부 부분(408), 가스 분배 플레이트(125)의 상부 표면(127)에 대해 수직으로 연장되는 원통형 중앙 부분(409), 및 개구의 중앙으로부터 외측으로 테이퍼링되는 하부 부분(410)을 갖는 스루 홀이다. 기판(110)의 프로세싱 동안 최적의 증착 균일성을 달성하기 위해, 복수의 개구들(126)의 다른 프로파일들이 대안적으로 사용될 수 있다.
[0028] 이론에 구속되기를 바라지 않고, 본 발명자들은, 가스 분산 채널(134)의 상부 부분으로부터 중심축(133)을 따라 제 1 지점까지 일정하고 제 1 지점으로부터 가스 분산 채널(134)의 하부 부분(135)까지 증가하는 가스 분산 채널(134)의 직경이, 가스 분산 채널(134)을 통한 가스의 단열 팽창을 더 적게 허용하고, 이는 원형 가스 유동(174)에 포함되는 프로세스 가스의 온도를 제어하는 것을 돕는다고 생각한다. 예컨대, 가스 분산 채널(134) 내로 전달되는 가스의 급작스런 단열 팽창은 가스의 온도의 급락을 초래할 수 있고, 이는 가스의 응축 및 액적들의 형성을 야기할 수 있다. 반면에, 점진적으로 테이퍼링되는 가스 분산 채널(134)은, 가스의 단열 팽창을 더 적게 제공하는 것으로 여겨진다. 그러므로, 더 많은 열이 가스로 또는 가스로부터 전달될 수 있고, 따라서, 가스의 온도는, 챔버 덮개 조립체(132)의 온도를 제어하는 것에 의해 더 쉽게 제어될 수 있다. 가스 분산 채널(134)은 점진적으로 테이퍼링될 수 있고, 하나 또는 그 초과의 테이퍼링된 내측 표면들, 예컨대, 테이퍼링된 직선 표면, 오목 표면, 볼록 표면, 또는 이들의 조합들을 포함할 수 있거나, 하나 또는 그 초과의 테이퍼링된 내측 표면들의 섹션들(즉, 테이퍼링된 부분 및 테이퍼링되지 않은 부분)을 포함할 수 있다.
[0029] 도 3에 도시된 바와 같이, 가스 분산 채널(134)의 상부 부분은 하우징(375)의 내측 영역에 배치된 인서트(300)에 의해 정의된다. 인서트(300)는, 가스 분산 채널(134)을 적어도 부분적으로 정의하는 중앙 통로, 및 인서트(300)의 상부 부분에 캡(302)을 포함한다. 캡(302)은 인서트(300)를 제 위치(in place)에 홀딩하기 위해 하우징(375) 위로 연장된다. 인서트(300) 및 캡(302)은, 적절한 밀봉을 보장하기 위해, 인서트(300)와 하우징(375) 사이에 배치되는 복수의 o-링들(385)을 포함한다. 인서트(300)는, 인서트(300)가 하우징(375) 내에 삽입될 때, 대응하는 복수의 주변 채널들(360, 365, 370)을 형성하는 복수의 주변 개구들을 포함한다. 복수의 주변 채널들(360, 365, 370)은, 대응하는 복수의 홀들(340, 345, 350)을 통해 가스 분산 채널(134)에 유체적으로 커플링된다. 도 3에 도시된 실시예에서, 가스 전달 시스템(130)은 복수의 가스 피드 라인들(310, 315, 320)을 통해 가스 분산 채널(134)에 커플링된다. 가스 피드 라인들(310, 315, 320)은, 하나 또는 그 초과의 가스들을 가스 분산 채널(134)에 제공하기 위해, 복수의 주변 채널들(360, 365, 370)에 유체적으로 커플링된다.
[0030] 도 1 및 2로 돌아가서, 프로세스 챔버(100)는, RPS(remote plasma source)(190), 일 단부에서 RPS(190)에 커플링되고 반대쪽 단부에서 캡(302)에 커플링된 격리 칼라(192), 덮개 플레이트(170)의 상부 표면에 커플링된 가열기 플레이트(198), 및 RPS(190)에 유체적으로 커플링된 세정 가스(즉, 퍼지 가스) 소스(197)를 포함하는 챔버 세정 시스템을 더 포함한다. 세정 가스 소스는, 프로세스 챔버(100)를 세정하기 위해 플라즈마를 형성하는 데에 적합한 임의의 가스를 포함할 수 있다. 몇몇 실시예들에서, 예컨대, 세정 가스는 삼불화질소(NF3)일 수 있다. 격리 칼라(192)는, RPS(190)로부터의 플라즈마를 가스 분산 채널(134)을 통해 반응 구역(164) 내로 유동시키기 위해, 캡(302)의 중앙 부분에 배치된 복수의 홀들(285)을 통해 가스 분산 채널(134)에 유체적으로 커플링된 내측 채널(193)을 포함한다. 가열기 플레이트(198)는 스테인리스 스틸로 형성될 수 있고, 플레이트 전체에 분산된 복수의 저항성 가열 엘리먼트들을 포함할 수 있다.
[0031] 전형적으로, 제 1 가스가 가스 전달 시스템(130)에 의해 가스 분산 채널(134)에 제공된 이후에, 제 1 가스를 가스 분산 채널(134) 및 반응 구역(164)으로부터 신속히 퍼징하기 위해, 세정 가스가 가스 분산 채널(134) 및 반응 구역(164)을 통해 유동된다. 후속하여, 제 2 가스가 가스 전달 시스템(130)에 의해 가스 분산 채널(134)에 제공되고, 제 2 가스를 가스 분산 채널(134) 및 반응 구역(164)으로부터 신속히 퍼징하기 위해, 세정 가스가 다시 가스 분산 채널(134)을 통해 반응 구역(164)으로 유동된다. 그러나, 가스 분배 플레이트(125)의 부가는 펌핑 채널(179)로의 세정 가스의 유동을 초킹하여 세정 프로세스를 연장한다. 이로써, 본 발명자들은, 제 1 단부(186)에서 격리 칼라(192)에 커플링되고 제 2 단부(188)에서 펌핑 채널(179)에 커플링된 배기 도관(184)을 갖는 배기 시스템(180)을 통합하였다. 밸브(182)는, 배기 도관(184)을 내측 채널(193)에 선택적으로 유체적으로 커플링하기 위해 배기 도관(184)에 배치된다. 몇몇 실시예들에서, 예컨대, 밸브(182)는, 배기 도관(184)을 내측 채널(193)에 유체적으로 커플링하기 위한 제 1 포지션(도 2에 도시됨)과, 배기 도관(184)을 내측 채널(193)로부터 밀봉하기 위한 제 2 포지션 사이에서 이동 가능한 플런저(202)를 갖는 플런저 유형 밸브일 수 있다. 매번 세정 가스가 가스 분산 채널(134) 및 반응 구역(164)을 통해 유동될 때마다, 밸브(182)가 개방되고 세정 가스는 펌핑 채널(179)로 신속히 배기된다.
[0032] 프로세스 챔버(100)의 내부의 압력이 RPS(190)의 내부의 압력을 초과할 때, 프로세싱 가스들이 RPS(190)까지 유동하여 RPS(190)를 손상시킬 수 있다. 복수의 홀들(285)은, 프로세싱 가스들의 역류가 내측 채널(193)을 통해 RPS(190) 내로 유동하는 것을 방지하기 위한 초크 지점으로서 역할을 한다. 격리 칼라(192)는, 사용되는 세정 가스와 비-반응성인 임의의 재료로 형성될 수 있다. 몇몇 실시예들에서, 세정 가스가 NF3일때, 격리 칼라(192)는 알루미늄으로 형성될 수 있다. 몇몇 실시예들에서, 격리 칼라(192) 및 인서트(300)는 알루미늄으로 형성될 수 있고, 부식성 가스들이 사용될 때 이 부식성 가스들로 인한 격리 칼라(192) 및 인서트(300)의 부식을 방지하기 위해 코팅으로 코팅될 수 있다. 예컨대, 코팅은 니켈 또는 알루미늄 옥사이드로 형성될 수 있다.
[0033] 도 3을 참조하면, RPS(190)는 약 40°C와 동일한 또는 그 미만의 온도에서 동작한다. 프로세스 챔버(100)에서 생성되는 열로부터 RPS(190)를 유리하게 절연하기 위해, 열 격리 링(394)이 격리 칼라(192)와 캡(302) 사이에 배치된다. 열 격리 링(394)은 낮은(예컨대, 격리 칼라(192) 및 캡(302)의 열 전도율보다 더 낮은) 열 전도율을 갖는 금속으로 형성된다. 부가적으로, 격리 칼라(192)와 캡(302) 사이의 접촉 면적을 더 감소시키기 위해, o-링(385)이 또한, 격리 칼라(192)와 캡(302) 사이에 배치될 수 있다. 열 격리 링(394)과 o-링(385)의 조합은, 프로세스 챔버(100)에서 생성되는 열이 RPS(190)에 악영향을 주지 않는다는 것을 보장하기 위한 열 초크로서 작용한다.
[0034] 몇몇 실시예들에서, 덮개 플레이트(170)가 100°C 위로 가열될 때, 프로세스 챔버(100)는, o-링들(385) 사이에 포획되는(trapped) 임의의 프로세스 가스들 또는 부산물들이 펌핑 채널(179)로 배기되는 것을 보장하기 위한 차동(differential) 펌핑 라인(250)을 포함할 수 있다. 차동 펌핑 라인(250)은 제 1 단부에서 덮개 플레이트(170)에 커플링되고, 제 1 단부 반대쪽의 제 2 단부에서 하우징(375)에 커플링된다. 차동 펌핑 라인은 가스 분산 채널(134)에 유체적으로 커플링되고, 둘 또는 그 초과의 o-링들(385) 사이의 지역들에 형성된 하나 또는 그 초과의 채널들(260)에 유체적으로 커플링된다. 가스 분산 채널(134)을 배기하기 위해 밸브(182)가 개방될 때, 차동 펌핑 라인은 o-링들(385) 사이에 포획된 가스들을 배기한다.
[0035] 다시 도 3으로 돌아가서, 챔버 덮개 조립체(132)의 하부 표면(160)의 부분은, 가스 분산 채널(134)로부터의 기판(110)의 표면에 걸친(즉, 기판의 중앙으로부터 기판의 에지로의) 가스 유동의 개선된 속도 프로파일을 제공하는 것을 돕기 위해, 가스 분산 채널(134)에 커플링된 중앙 개구부로부터, 챔버 덮개 조립체(132)의 주변 부분으로 하방으로 그리고 외측으로 윤곽지거나, 각질 수 있다(angled). 하부 표면(160)은 하나 또는 그 초과의 표면들, 예컨대, 직선 표면, 오목 표면, 볼록 표면, 또는 이들의 조합을 포함할 수 있다. 일 실시예에서, 하부 표면(160)은 볼록한 깔때기-형상이다.
[0036] 일 예에서, 하부 표면(160)은, 반응물 가스에 대한 기판(110)의 표면의 균일한 노출을 제공하는 것을 보조하면서, 챔버 덮개 조립체(132)의 하부 표면(160)과 기판(110) 사이에서 이동하는 프로세스 가스들의 속도의 변화를 감소시키는 것을 돕도록, 기판 수용 표면(111)의 에지를 향하여 하방으로 그리고 외측으로 경사진다(sloping). 챔버 덮개 조립체(132)의 부분들 및 컴포넌트들은, 스테인리스 스틸, 알루미늄, 니켈-도금된 알루미늄, 니켈, 이들의 합금들, 또는 다른 적합한 재료들과 같은 재료들을 포함할 수 있다. 일 실시예에서, 덮개 플레이트(170)는 금속, 예컨대, 알루미늄, 알루미늄 합금, 스틸, 스테인리스 스틸, 이들의 합금들, 또는 이들의 조합들로 독립적으로 제조되거나, 기계가공되거나(machined), 단조되거나(forged), 다른 방식으로 만들어질 수 있다.
[0037] 몇몇 실시예들에서, 가스 분산 채널(134)의 내측 표면(131) 및 챔버 덮개 조립체(132)의 하부 표면(160)은, 챔버 덮개 조립체(132)의 하부 표면(160) 및 가스 분산 채널(134)을 따른 가스의 유동을 돕기 위해, 경면 폴리싱된(mirror polished) 표면을 포함할 수 있다.
[0038] 도 1-3을 참조하면, 프로세싱 동작에서, 기판(110)은 로봇(도시되지 않음)에 의해 슬릿 밸브(108)를 통해 프로세스 챔버(100)에 전달된다. 기판(110)은 로봇과 리프트 핀들(120)의 협력을 통해 기판 지지부(112) 상에 포지셔닝된다. 기판 지지부(112)는 가스 분배 플레이트(125)의 하부 표면에 대향하여 가까이에(close opposition) 기판(110)을 상승시킨다. 제 1 가스 유동이 제 2 가스 유동과 함께 또는 개별적으로(즉, 펄스들) 가스 전달 시스템(130)에 의해 프로세스 챔버(100)의 가스 분산 채널(134) 내에 주입될 수 있다. 제 1 가스 유동은 퍼지 가스 소스로부터의 퍼지 가스의 연속적인 유동 및 반응물 가스 소스로부터의 반응물 가스의 펄스들을 포함할 수 있거나, 반응물 가스 소스로부터의 반응물 가스의 펄스들 및 퍼지 가스 소스로부터의 퍼지 가스의 펄스들을 포함할 수 있다. 제 2 가스 유동은 퍼지 가스 소스로부터의 퍼지 가스의 연속적인 유동 및 반응물 가스 소스로부터의 반응물 가스의 펄스들을 포함할 수 있거나, 반응물 가스 소스로부터의 반응물 가스의 펄스들 및 퍼지 가스 소스로부터의 퍼지 가스의 펄스들을 포함할 수 있다.
[0039] 원형 가스 유동(174)은 가스 분산 채널(134)을 통해, 그리고 이어서 가스 분배 플레이트(125)의 복수의 개구들(126)을 통해 이동한다. 그런 다음, 가스는 기판(110)의 표면 상에 증착된다. 하방으로 경사진, 챔버 덮개 조립체(132)의 하부 표면(160)은 가스 분배 플레이트(125)의 표면에 걸친 가스 유동의 속도의 변화를 감소시키는 것을 돕는다. 과도한 가스, 부산물들, 등은 펌핑 채널(179) 내로 유동하고 그런 다음, 프로세스 챔버(100)로부터 배기된다. 프로세싱 동작 내내, 가열기 플레이트(198)는, 프로세스 챔버(100)(또는 챔버에 배치된 프로세싱 키트)의 벽들 상에 축적된 임의의 고체 부산물들을 가열하기 위해, 챔버 덮개 조립체(132)를 미리 결정된 온도로 가열할 수 있다. 결과적으로, 임의의 축적된 고체 부산물들은 증발된다. 증발된 부산물들은 진공 시스템(도시되지 않음) 및 펌핑 채널(179)에 의해 진공배기된다. 몇몇 실시예들에서, 미리 결정된 온도는 150°C와 동일하거나 그 초과이다.
[0040] 도 5는, 본 개시내용의 몇몇 실시예들에 따른, 기판을 프로세싱하는 방법(500)을 예시한다. 505에서, 제 1 프로세스 가스가 가스 전달 시스템(130)으로부터 가스 분산 채널(134) 및 반응 구역(164) 내로 유동된다. 510에서, 제 1 프로세스 가스는 가스 분배 플레이트(125)의 복수의 개구들(126)을 통해 기판(110) 상으로 유동된다. 515에서, 제 1 프로세스 가스를 퍼징하기 위해, 세정 가스가 가스 분산 채널(134) 및 반응 구역(164) 내로 유동된다. 520에서, 세정 가스는 배기 시스템(180)을 통해 배기된다. 525에서, 제 2 프로세스 가스가 가스 분산 채널(134) 및 반응 구역(164) 내로 유동된다. 530에서, 제 2 프로세스 가스는 가스 분배 플레이트(125)의 복수의 개구들(126)을 통해 기판(110) 상으로 유동된다. 535에서, 제 2 프로세스 가스를 퍼징하기 위해, 세정 가스가 가스 분산 채널(134) 및 반응 구역(164) 내로 유동된다. 540에서, 세정 가스는 배기 시스템(180)을 통해 배기된다.
[0041] 원자 층 증착을 위해 적응된 챔버의 다른 실시예들은 이러한 피처들 중 하나 또는 그 초과를 통합한다.
[0042] 전술한 내용은 본 개시내용의 몇몇 실시예들에 관한 것이지만, 다른 그리고 추가적인 실시예들이 본 개시내용의 기본 범위를 벗어나지 않고 안출될 수 있다.

Claims (15)

  1. 기판 프로세싱 챔버로서,
    챔버 본체;
    상부 부분 및 하부 부분을 갖고 중심축을 따라 연장되는 중앙 채널을 에워싸는 하우징(housing)을 갖는 챔버 덮개 조립체;
    상기 하우징에 커플링된 덮개 플레이트(lid plate) ― 상기 덮개 플레이트는, 상기 중앙 채널의 하부 부분에 커플링된 중앙 개구부로부터, 상기 덮개 플레이트의 주변 부분으로 외측으로 그리고 하방으로 연장되는 윤곽진(contoured) 바닥부 표면을 가지며, 상기 덮개 플레이트는 상기 덮개 플레이트의 최상부 표면으로부터 상기 윤곽진 바닥부 표면까지 상기 덮개 플레이트를 통해 형성된 하나 또는 그 초과의 채널들을 가짐 ―;
    상기 덮개 플레이트 아래에 배치된 가스 분배 플레이트(gas distribution plate) ― 상기 가스 분배 플레이트는, 상기 가스 분배 플레이트를 통해 배치된 복수의 개구들을 가짐 ―; 및
    격리 칼라(isolation collar) ― 상기 격리 칼라는 상기 하우징에 커플링되고 상기 격리 칼라를 통해 연장되는 내측 채널을 가지며, 상기 격리 칼라의 내측 채널은 복수의 홀들을 통해 상기 챔버 덮개 조립체의 중앙 채널에 유동적으로 커플링됨 ― 를 포함하고,
    상기 덮개 플레이트의 윤곽진 바닥부 표면은 상기 가스 분배 플레이트까지 연장되고 상기 가스 분배 플레이트와 접촉하는,
    기판 프로세싱 챔버.
  2. 제 1 항에 있어서,
    상기 중앙 채널에 유체적으로 커플링된 원격 플라즈마 소스를 더 포함하고,
    상기 격리 칼라를 통해 연장되는 내측 채널은, 상기 원격 플라즈마 소스와 상기 중앙 채널을 유체적으로 커플링하는,
    기판 프로세싱 챔버.
  3. 제 2 항에 있어서,
    제 1 단부에서 상기 격리 칼라에 커플링되고 제 2 단부에서 주(main) 펌핑 채널에 커플링된 배기 도관; 및
    상기 배기 도관을 선택적으로 개방 또는 폐쇄하기 위해 상기 배기 도관에 커플링된 밸브를 더 포함하는,
    기판 프로세싱 챔버.
  4. 제 1 항에 있어서,
    상기 하우징은 내측 영역을 포함하고, 그리고,
    상기 내측 영역에 배치되고, 상기 중앙 채널을 적어도 부분적으로 정의하는 중앙 통로를 갖는 인서트를 더 포함하는,
    기판 프로세싱 챔버.
  5. 제 4 항에 있어서,
    제 1 단부에서 상기 인서트에 커플링되고, 제 2 단부에서 상기 덮개 플레이트를 통해 형성된 하나 또는 그 초과의 채널들에 커플링된 차동 펌핑 라인(differential pumping line)을 더 포함하고,
    상기 덮개 플레이트를 통해 형성된 하나 또는 그 초과의 채널들은, 상기 덮개 플레이트의 영역에서 둘 또는 그 초과의 o-링들 사이에 배치되어, 상기 o-링들 사이에 포획된 가스들을 배기하는,
    기판 프로세싱 챔버.
  6. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 덮개 플레이트를 미리 결정된 온도로 가열하기 위해, 상기 덮개 플레이트의 상부 표면에 커플링된 가열기 플레이트를 더 포함하는,
    기판 프로세싱 챔버.
  7. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 복수의 개구들의 밀도는 상기 가스 분배 플레이트에 걸쳐 변하는,
    기판 프로세싱 챔버.
  8. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 복수의 개구들은 동등한 유체 컨덕턴스(fluid conductance)를 갖는,
    기판 프로세싱 챔버.
  9. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 가스 분배 플레이트는 비-부식성 세라믹 재료로 형성되는,
    기판 프로세싱 챔버.
  10. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 챔버 덮개 조립체의 하부 표면은 하방으로 경사지는,
    기판 프로세싱 챔버.
  11. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 가스 분배 플레이트의 복수의 개구들은 오직, 상기 중앙 채널로부터, 상기 가스 분배 플레이트의, 상기 중앙 채널 반대쪽 측 상에 배치된, 기판 프로세싱 챔버의 용적으로의 가스 경로만을 제공하는,
    기판 프로세싱 챔버.
  12. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 덮개 플레이트를 미리 결정된 온도로 가열하기 위해, 상기 덮개 플레이트의 상부 표면에 커플링된 가열기 플레이트를 더 포함하고,
    상기 가스 분배 플레이트는 비-부식성 세라믹 재료로 형성되며, 상기 챔버 덮개 조립체의 하부 표면은 하방으로 경사지는,
    기판 프로세싱 챔버.
  13. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 덮개 플레이트의 윤곽진 바닥부 표면 및 상기 가스 분배 플레이트는 상기 중앙 채널의 하부 부분을 형성하는,
    기판 프로세싱 챔버.
  14. 제 1 항 내지 제 5 항 중 어느 한 항에 있어서,
    상기 가스 분배 플레이트의 복수의 개구들의 각 개구는, 카운터성크(countersunk) 홀을 갖는 상부 부분, 상기 가스 분배 플레이트의 상부 표면에 수직으로 연장되는 원통형 중앙 부분, 및 각 개구의 중앙으로부터 외측으로 테이퍼링되는(taper) 하부 부분을 갖는 스루 홀(through hole)을 포함하는,
    기판 프로세싱 챔버.
  15. 삭제
KR1020177033110A 2015-04-22 2016-04-19 깔때기-형상 가스 분산 채널 및 가스 분배 플레이트를 구비한 원자 층 증착 챔버 KR102631744B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020217011571A KR102640272B1 (ko) 2015-04-22 2016-04-19 원자 층 증착 챔버들을 위한 덮개들 및 덮개 키트들

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562151180P 2015-04-22 2015-04-22
US62/151,180 2015-04-22
US14/734,838 2015-06-09
US14/734,838 US11384432B2 (en) 2015-04-22 2015-06-09 Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
PCT/US2016/028253 WO2016172085A1 (en) 2015-04-22 2016-04-19 Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020217011571A Division KR102640272B1 (ko) 2015-04-22 2016-04-19 원자 층 증착 챔버들을 위한 덮개들 및 덮개 키트들

Publications (2)

Publication Number Publication Date
KR20170140282A KR20170140282A (ko) 2017-12-20
KR102631744B1 true KR102631744B1 (ko) 2024-01-30

Family

ID=57144181

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020217011571A KR102640272B1 (ko) 2015-04-22 2016-04-19 원자 층 증착 챔버들을 위한 덮개들 및 덮개 키트들
KR1020177033110A KR102631744B1 (ko) 2015-04-22 2016-04-19 깔때기-형상 가스 분산 채널 및 가스 분배 플레이트를 구비한 원자 층 증착 챔버

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020217011571A KR102640272B1 (ko) 2015-04-22 2016-04-19 원자 층 증착 챔버들을 위한 덮개들 및 덮개 키트들

Country Status (8)

Country Link
US (2) US11384432B2 (ko)
EP (1) EP3286352A4 (ko)
KR (2) KR102640272B1 (ko)
CN (2) CN107532297B (ko)
IL (2) IL284142B2 (ko)
SG (2) SG10202111772XA (ko)
TW (2) TWI693298B (ko)
WO (1) WO2016172085A1 (ko)

Families Citing this family (309)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9793096B2 (en) * 2014-09-12 2017-10-17 Lam Research Corporation Systems and methods for suppressing parasitic plasma and reducing within-wafer non-uniformity
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
KR101792941B1 (ko) * 2015-04-30 2017-11-02 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드, 상하이 화학기상증착장치 및 그 세정방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
KR102102320B1 (ko) * 2016-06-28 2020-04-22 주식회사 원익아이피에스 기판 처리 장치 및 그것을 이용한 박막 증착 방법
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) * 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
WO2018132788A1 (en) * 2017-01-16 2018-07-19 Larry Baxter Method and apparatus for desublimation prevention in a direct contact heat exchanger
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US9972501B1 (en) * 2017-03-14 2018-05-15 Nano-Master, Inc. Techniques and systems for continuous-flow plasma enhanced atomic layer deposition (PEALD)
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11164737B2 (en) * 2017-08-30 2021-11-02 Applied Materials, Inc. Integrated epitaxy and preclean system
US10147597B1 (en) 2017-09-14 2018-12-04 Lam Research Corporation Turbulent flow spiral multi-zone precursor vaporizer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11014853B2 (en) * 2018-03-07 2021-05-25 Applied Materials, Inc. Y2O3—ZrO2 erosion resistant material for chamber components in plasma environments
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
WO2020027980A1 (en) * 2018-07-31 2020-02-06 Applied Materials, Inc. Gas box for cvd chamber
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
WO2020243288A1 (en) 2019-05-28 2020-12-03 Applied Materials, Inc. Thermal process chamber lid with backside pumping
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US20230357927A1 (en) * 2019-09-22 2023-11-09 Applied Materials, Inc. Ald cycle time reduction using process chamber lid with tunable pumping
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20220114044A (ko) * 2019-12-17 2022-08-17 어플라이드 머티어리얼스, 인코포레이티드 고밀도 플라즈마 강화 화학 기상 증착 챔버
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
US11087959B2 (en) 2020-01-09 2021-08-10 Nano-Master, Inc. Techniques for a hybrid design for efficient and economical plasma enhanced atomic layer deposition (PEALD) and plasma enhanced chemical vapor deposition (PECVD)
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11640900B2 (en) 2020-02-12 2023-05-02 Nano-Master, Inc. Electron cyclotron rotation (ECR)-enhanced hollow cathode plasma source (HCPS)
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20230024400A (ko) 2020-06-17 2023-02-20 어플라이드 머티어리얼스, 인코포레이티드 고온 화학 기상 증착 덮개
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
US20220064797A1 (en) * 2020-09-02 2022-03-03 Applied Materials, Inc. Showerhead design to control stray deposition
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11605544B2 (en) 2020-09-18 2023-03-14 Applied Materials, Inc. Methods and systems for cleaning high aspect ratio structures
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
TW202403086A (zh) * 2021-07-12 2024-01-16 美商應用材料股份有限公司 用於前驅物遏制的改進的噴頭泵送幾何形狀
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115572938B (zh) * 2022-07-18 2024-03-22 江西弘耀光学水晶有限公司 一种高精密光学镜片镀膜方法

Family Cites Families (149)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3144035A (en) * 1963-02-01 1964-08-11 Nat Res Corp High vacuum system
US4229655A (en) * 1979-05-23 1980-10-21 Nova Associates, Inc. Vacuum chamber for treating workpieces with beams
JPS5764228A (en) * 1980-10-08 1982-04-19 Fuji Photo Film Co Ltd Silver halide photographic material
CA1272661A (en) * 1985-05-11 1990-08-14 Yuji Chiba Reaction apparatus
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US5359254A (en) * 1990-06-26 1994-10-25 Research Institute Of Applied Mechanics And Electrodynamics Plasma compensation cathode
GB9202434D0 (en) * 1992-02-05 1992-03-18 Xaar Ltd Method of and apparatus for forming nozzles
US5578132A (en) * 1993-07-07 1996-11-26 Tokyo Electron Kabushiki Kaisha Apparatus for heat treating semiconductors at normal pressure and low pressure
US5512078A (en) * 1994-03-24 1996-04-30 Griffin; Stephen E. Apparatus for making linearly tapered bores in quartz tubing with a controlled laser
KR100427425B1 (ko) * 1995-04-20 2005-08-01 가부시키 가이샤 에바라 세이사꾸쇼 박막증착장치
JP3380091B2 (ja) * 1995-06-09 2003-02-24 株式会社荏原製作所 反応ガス噴射ヘッド及び薄膜気相成長装置
KR100492258B1 (ko) * 1996-10-11 2005-09-02 가부시키가이샤 에바라 세이사꾸쇼 반응가스분출헤드
EP1008674B1 (en) * 1997-04-11 2013-05-29 Tokyo Electron Limited Elecrode unit and processor
US6024799A (en) * 1997-07-11 2000-02-15 Applied Materials, Inc. Chemical vapor deposition manifold
JP3314151B2 (ja) * 1998-01-05 2002-08-12 株式会社日立国際電気 プラズマcvd装置及び半導体装置の製造方法
US6635578B1 (en) * 1998-02-09 2003-10-21 Applied Materials, Inc Method of operating a dual chamber reactor with neutral density decoupled from ion density
US6454860B2 (en) * 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
US6450116B1 (en) * 1999-04-22 2002-09-17 Applied Materials, Inc. Apparatus for exposing a substrate to plasma radicals
US6565661B1 (en) * 1999-06-04 2003-05-20 Simplus Systems Corporation High flow conductance and high thermal conductance showerhead system and method
JP4778655B2 (ja) * 2000-02-04 2011-09-21 アイクストロン、アーゲー 1つまたは多くの被膜を基板に沈積する方法および装置
KR100545034B1 (ko) * 2000-02-21 2006-01-24 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마처리장치 및 시료의 처리방법
US6302965B1 (en) * 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20030019428A1 (en) * 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
WO2003003414A2 (en) * 2001-06-29 2003-01-09 Tokyo Electron Limited Directed gas injection apparatus for semiconductor processing
US7780789B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Vortex chamber lids for atomic layer deposition
US20080102203A1 (en) * 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US20080102208A1 (en) * 2001-10-26 2008-05-01 Dien-Yeh Wu Vortex chamber lids for atomic layer deposition
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US20030116087A1 (en) * 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
AU2003238853A1 (en) * 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US20030140857A1 (en) * 2002-01-28 2003-07-31 Applied Materials, Inc. Apparatus and method for low pressure CVD deposition of tungsten and tungsten nitride
JP4090347B2 (ja) 2002-03-18 2008-05-28 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US20030213560A1 (en) * 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
US20030224217A1 (en) * 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US7217336B2 (en) * 2002-06-20 2007-05-15 Tokyo Electron Limited Directed gas injection apparatus for semiconductor processing
US6962348B2 (en) * 2002-07-29 2005-11-08 Tokyo Electron Limited Sealing apparatus having a single groove
US7032352B2 (en) 2002-07-31 2006-04-25 Zebuhr William H Structure to limit damage due to failure
US6955725B2 (en) * 2002-08-15 2005-10-18 Micron Technology, Inc. Reactors with isolated gas connectors and methods for depositing materials onto micro-device workpieces
US6784096B2 (en) * 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US7097886B2 (en) * 2002-12-13 2006-08-29 Applied Materials, Inc. Deposition process for high aspect ratio trenches
AU2003294379A1 (en) * 2002-12-20 2004-07-29 Tokyo Electron Limited Method and apparatus for determining consumable lifetime
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US7270713B2 (en) * 2003-01-07 2007-09-18 Applied Materials, Inc. Tunable gas distribution plate assembly
JP2004239251A (ja) * 2003-02-06 2004-08-26 Aisan Ind Co Ltd 燃料噴射弁
US20040173313A1 (en) * 2003-03-03 2004-09-09 Bradley Beach Fire polished showerhead electrode
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
KR20060011887A (ko) * 2003-05-30 2006-02-03 에비자 테크놀로지, 인크. 가스 분산 시스템
US6852139B2 (en) * 2003-07-11 2005-02-08 Excellatron Solid State, Llc System and method of producing thin-film electrolyte
US6886240B2 (en) * 2003-07-11 2005-05-03 Excellatron Solid State, Llc Apparatus for producing thin-film electrolyte
JP2005109194A (ja) 2003-09-30 2005-04-21 Japan Steel Works Ltd:The Cvd反応室のクリーニング装置
US7408225B2 (en) * 2003-10-09 2008-08-05 Asm Japan K.K. Apparatus and method for forming thin film using upstream and downstream exhaust mechanisms
TW200526800A (en) * 2003-12-15 2005-08-16 Applied Materials Inc Edge flow faceplate for improvement of CVD film properties
US7892357B2 (en) 2004-01-12 2011-02-22 Axcelis Technologies, Inc. Gas distribution plate assembly for plasma reactors
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US20050218115A1 (en) * 2004-02-06 2005-10-06 Applied Materials, Inc. Anti-clogging nozzle for semiconductor processing
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
KR20060060731A (ko) * 2004-03-31 2006-06-05 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US20050252449A1 (en) * 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) * 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR20060076714A (ko) * 2004-12-28 2006-07-04 에이에스엠지니텍코리아 주식회사 원자층 증착기
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
US7651568B2 (en) * 2005-03-28 2010-01-26 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
US20060266288A1 (en) * 2005-05-27 2006-11-30 Applied Materials, Inc. High plasma utilization for remote plasma clean
JP4943669B2 (ja) * 2005-06-08 2012-05-30 東京エレクトロン株式会社 真空装置のシール構造
US7601652B2 (en) * 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
JP4997842B2 (ja) * 2005-10-18 2012-08-08 東京エレクトロン株式会社 処理装置
TWI332532B (en) * 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US20070163716A1 (en) 2006-01-19 2007-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Gas distribution apparatuses and methods for controlling gas distribution apparatuses
JP5280861B2 (ja) * 2006-01-19 2013-09-04 エーエスエム アメリカ インコーポレイテッド 高温aldインレットマニホールド
US7494545B2 (en) * 2006-02-03 2009-02-24 Applied Materials, Inc. Epitaxial deposition process and apparatus
KR20070093197A (ko) * 2006-03-13 2007-09-18 삼성전자주식회사 샤워헤드 및 이를 포함하는 박막 증착 장치
US8268078B2 (en) * 2006-03-16 2012-09-18 Tokyo Electron Limited Method and apparatus for reducing particle contamination in a deposition system
US8034176B2 (en) * 2006-03-28 2011-10-11 Tokyo Electron Limited Gas distribution system for a post-etch treatment system
US20070289534A1 (en) * 2006-05-30 2007-12-20 Applied Materials, Inc. Process chamber for dielectric gapfill
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7476291B2 (en) * 2006-09-28 2009-01-13 Lam Research Corporation High chamber temperature process and chamber design for photo-resist stripping and post-metal etch passivation
WO2008056742A1 (fr) * 2006-11-09 2008-05-15 Ulvac, Inc. Procédé de fabrication de film barrière
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
US8235001B2 (en) * 2007-04-02 2012-08-07 Hitachi Kokusai Electric Inc. Substrate processing apparatus and method for manufacturing semiconductor device
WO2008129977A1 (ja) * 2007-04-17 2008-10-30 Ulvac, Inc. 成膜装置
US8021514B2 (en) * 2007-07-11 2011-09-20 Applied Materials, Inc. Remote plasma source for pre-treatment of substrates prior to deposition
US20090084317A1 (en) * 2007-09-28 2009-04-02 Applied Materials, Inc. Atomic layer deposition chamber and components
US7541297B2 (en) * 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US8092606B2 (en) * 2007-12-18 2012-01-10 Asm Genitech Korea Ltd. Deposition apparatus
JP5308679B2 (ja) * 2008-01-22 2013-10-09 東京エレクトロン株式会社 シール機構、シール溝、シール部材及び基板処理装置
KR101204614B1 (ko) * 2008-02-20 2012-11-23 도쿄엘렉트론가부시키가이샤 가스 공급 장치, 성막 장치, 및 성막 방법
JP5223377B2 (ja) * 2008-02-29 2013-06-26 東京エレクトロン株式会社 プラズマ処理装置用の電極、プラズマ処理装置及びプラズマ処理方法
JP2009239082A (ja) 2008-03-27 2009-10-15 Tokyo Electron Ltd ガス供給装置、処理装置及び処理方法
JP5243089B2 (ja) * 2008-04-09 2013-07-24 東京エレクトロン株式会社 プラズマ処理装置のシール構造、シール方法およびプラズマ処理装置
JP5396745B2 (ja) * 2008-05-23 2014-01-22 東京エレクトロン株式会社 プラズマ処理装置
US20090325386A1 (en) * 2008-06-02 2009-12-31 Mattson Technology, Inc. Process and System For Varying the Exposure to a Chemical Ambient in a Process Chamber
US7699935B2 (en) 2008-06-19 2010-04-20 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
US8291857B2 (en) * 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
JP5231117B2 (ja) * 2008-07-24 2013-07-10 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
US8187381B2 (en) 2008-08-22 2012-05-29 Applied Materials, Inc. Process gas delivery for semiconductor process chamber
US20100183825A1 (en) * 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
US20100317198A1 (en) * 2009-06-12 2010-12-16 Novellus Systems, Inc. Remote plasma processing of interface surfaces
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
EP2292953A1 (en) * 2009-09-07 2011-03-09 Fei Company High-vacuum seal
TWI385272B (zh) 2009-09-25 2013-02-11 Ind Tech Res Inst 氣體分佈板及其裝置
US8329587B2 (en) * 2009-10-05 2012-12-11 Applied Materials, Inc. Post-planarization densification
US8742665B2 (en) * 2009-11-18 2014-06-03 Applied Materials, Inc. Plasma source design
US20110136346A1 (en) * 2009-12-04 2011-06-09 Axcelis Technologies, Inc. Substantially Non-Oxidizing Plasma Treatment Devices and Processes
US20110151677A1 (en) * 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US20110303146A1 (en) * 2009-12-28 2011-12-15 Osamu Nishijima Plasma doping apparatus
JP5889806B2 (ja) * 2010-03-12 2016-03-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 複式噴射を伴う原子層堆積チャンバ
US8721791B2 (en) * 2010-07-28 2014-05-13 Applied Materials, Inc. Showerhead support structure for improved gas flow
US8771539B2 (en) * 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US20120220116A1 (en) * 2011-02-25 2012-08-30 Applied Materials, Inc. Dry Chemical Cleaning For Semiconductor Processing
US9064815B2 (en) * 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
KR101234594B1 (ko) * 2011-07-25 2013-02-19 피에스케이 주식회사 배플 및 이를 포함하는 기판 처리 장치
US8771536B2 (en) * 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US20130045605A1 (en) * 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
US8679982B2 (en) * 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) * 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8808563B2 (en) * 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9017481B1 (en) * 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8586479B2 (en) * 2012-01-23 2013-11-19 Applied Materials, Inc. Methods for forming a contact metal layer in semiconductor devices
US9466524B2 (en) * 2012-01-31 2016-10-11 Applied Materials, Inc. Method of depositing metals using high frequency plasma
US9330939B2 (en) * 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US9976215B2 (en) * 2012-05-01 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor film formation apparatus and process
US9132436B2 (en) * 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) * 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9230815B2 (en) * 2012-10-26 2016-01-05 Appled Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
US20140261802A1 (en) * 2013-03-13 2014-09-18 Becquerel & Sievert Co., Ltd. Vacuum isolation device
US10221478B2 (en) 2013-04-30 2019-03-05 Tokyo Electron Limited Film formation device
JP5793170B2 (ja) 2013-09-30 2015-10-14 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US8951429B1 (en) * 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
JP5764228B1 (ja) * 2014-03-18 2015-08-12 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム及び記録媒体
US11302520B2 (en) * 2014-06-28 2022-04-12 Applied Materials, Inc. Chamber apparatus for chemical etching of dielectric materials
JP5792364B1 (ja) * 2014-07-31 2015-10-07 株式会社日立国際電気 基板処理装置、チャンバリッドアセンブリ、半導体装置の製造方法、プログラム及び記録媒体
US10407771B2 (en) * 2014-10-06 2019-09-10 Applied Materials, Inc. Atomic layer deposition chamber with thermal lid
JP5916909B1 (ja) * 2015-02-06 2016-05-11 株式会社日立国際電気 基板処理装置、ガス整流部、半導体装置の製造方法およびプログラム
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US20190048467A1 (en) * 2017-08-10 2019-02-14 Applied Materials, Inc. Showerhead and process chamber incorporating same
WO2020243288A1 (en) * 2019-05-28 2020-12-03 Applied Materials, Inc. Thermal process chamber lid with backside pumping

Also Published As

Publication number Publication date
US11932939B2 (en) 2024-03-19
CN107532297A (zh) 2018-01-02
CN107532297B (zh) 2021-02-02
IL284142B1 (en) 2023-12-01
IL254759B2 (en) 2023-05-01
SG11201707640WA (en) 2017-11-29
US20160312360A1 (en) 2016-10-27
KR20210046839A (ko) 2021-04-28
CN112877675B (zh) 2024-03-08
IL284142A (en) 2021-07-29
IL254759A (en) 2017-12-31
SG10202111772XA (en) 2021-12-30
US11384432B2 (en) 2022-07-12
TW202028525A (zh) 2020-08-01
WO2016172085A1 (en) 2016-10-27
TW201718927A (zh) 2017-06-01
IL254759B1 (en) 2023-01-01
TWI693298B (zh) 2020-05-11
EP3286352A1 (en) 2018-02-28
TWI722871B (zh) 2021-03-21
CN112877675A (zh) 2021-06-01
US20210246552A1 (en) 2021-08-12
EP3286352A4 (en) 2019-01-23
IL284142B2 (en) 2024-04-01
KR20170140282A (ko) 2017-12-20
KR102640272B1 (ko) 2024-02-22

Similar Documents

Publication Publication Date Title
KR102631744B1 (ko) 깔때기-형상 가스 분산 채널 및 가스 분배 플레이트를 구비한 원자 층 증착 챔버
KR102554825B1 (ko) 열 덮개를 구비한 원자 층 증착 챔버
US7780785B2 (en) Gas delivery apparatus for atomic layer deposition
US11335591B2 (en) Thermal process chamber lid with backside pumping
KR200495609Y1 (ko) 샤워헤드 및 이를 포함하는 프로세스 챔버
JP2023509386A (ja) Ald前駆体送達用シャワーヘッド
TWI838240B (zh) 具有背側泵送的熱處理腔室蓋
KR102661401B1 (ko) 열 덮개를 구비한 원자 층 증착 챔버

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant