KR102641003B1 - 백사이드 가스 전달 튜브를 포함하는 기판 페데스탈 모듈 및 제작 방법 - Google Patents

백사이드 가스 전달 튜브를 포함하는 기판 페데스탈 모듈 및 제작 방법 Download PDF

Info

Publication number
KR102641003B1
KR102641003B1 KR1020160057390A KR20160057390A KR102641003B1 KR 102641003 B1 KR102641003 B1 KR 102641003B1 KR 1020160057390 A KR1020160057390 A KR 1020160057390A KR 20160057390 A KR20160057390 A KR 20160057390A KR 102641003 B1 KR102641003 B1 KR 102641003B1
Authority
KR
South Korea
Prior art keywords
platen
gas tube
semiconductor substrate
flange
stem
Prior art date
Application number
KR1020160057390A
Other languages
English (en)
Other versions
KR20160133373A (ko
Inventor
트로이 알란 곰
주니어 닉 레이 라인버거
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20160133373A publication Critical patent/KR20160133373A/ko
Priority to KR1020240025363A priority Critical patent/KR20240031982A/ko
Application granted granted Critical
Publication of KR102641003B1 publication Critical patent/KR102641003B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B37/00Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding
    • B32B37/10Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding characterised by the pressing technique, e.g. using action of vacuum or fluid pressure
    • B32B37/1018Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding characterised by the pressing technique, e.g. using action of vacuum or fluid pressure using only vacuum
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45597Reactive back side gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/54Providing fillings in containers, e.g. gas fillings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2457/00Electrical equipment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Fluid Mechanics (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)

Abstract

반도체 기판 프로세싱 장치는 반도체 기판이 프로세싱될 수도 있는 프로세싱 존을 갖는 진공 챔버, 프로세스 가스를 진공 챔버 내로 공급하기 위해 진공 챔버와 유체로 연통하는 프로세스 가스 소스, 샤워헤드 모듈 및 기판 페데스탈 모듈을 포함하고, 샤워헤드 모듈을 통해 프로세스 가스 소스로부터의 프로세스 가스가 진공 챔버의 프로세싱 존으로 공급된다. 기판 페데스탈 모듈은 프로세싱 동안 상부에 반도체 기판을 지지하도록 구성된 상부 표면을 갖는 세라믹 재료로 이루어진 플래튼, 플래튼을 지지하는 상부 스템 플랜지를 갖는 세라믹 재료로 이루어진 스템, 및 스템의 내부에 위치된 세라믹 재료로 이루어진 백사이드 가스 튜브를 포함한다. 백사이드 가스 튜브는 플래튼의 하부 표면과 상부 스템 플랜지의 상부 표면 사이에 위치된 상부 가스 튜브 플랜지를 포함하고, 백사이드 가스 튜브는 플래튼의 적어도 하나의 백사이드 가스 통로와 유체로 연통하고, 백사이드 가스 튜브는 프로세싱 동안 플래튼의 상부 표면 상에 지지될 반도체 기판의 하부 표면 아래의 영역으로 백사이드 가스를 공급하도록 구성된다.

Description

백사이드 가스 전달 튜브를 포함하는 기판 페데스탈 모듈 및 제작 방법{SUBSTRATE PEDESTAL MODULE INCLUDING BACKSIDE GAS DELIVERY TUBE AND METHOD OF MAKING}
본 발명은 반도체 기판들을 프로세싱하기 위한 반도체 기판 프로세싱 장치들에 관련되고, 박막들을 증착하도록 동작가능한 PECVD (plasma enhanced chemical vapor deposition) 프로세싱 장치들에서 특정한 용도를 발견할 수도 있다.
반도체 기판 프로세싱 장치들은, 에칭, PVD (physical vapor deposition), CVD (chemical vapor deposition), PECVD (plasma-enhanced chemical vapor deposition), ALD (atomic layer deposition), PEALD (plasma-enhanced atomic layer deposition), PDL (pulsed deposition layer), PEPDL (plasma-enhanced pulsed deposition layer), 및 레지스트 제거를 포함하는 기법들에 의해 반도체 기판들을 프로세싱하도록 사용된다. 일 타입의 반도체 기판 프로세싱 장치는 상부 전극 및 하부 전극을 포함하는 반응 챔버를 포함하는 플라즈마 프로세싱 장치이고, 반응 챔버 내에서 반도체 기판들을 프로세싱하기 위해 프로세스 가스를 여기하도록 전극들 사이에 RF (radio frequency) 전력이 인가된다.
반도체 기판을 프로세싱하기 위한 반도체 기판 프로세싱 장치가 본 명세서에 개시된다. 반도체 기판 프로세싱 장치는, 반도체 기판이 프로세싱될 수도 있는 프로세싱 존을 갖는 진공 챔버, 프로세스 가스를 진공 챔버 내로 공급하기 위해 진공 챔버와 유체로 연통하는 프로세스 가스 소스, 샤워헤드 모듈 및 기판 페데스탈 모듈을 포함하고, 샤워헤드 모듈을 통해 프로세스 가스 소스로부터의 프로세스 가스가 진공 챔버의 프로세싱 존으로 공급된다. 기판 페데스탈 모듈은 프로세싱 동안 상부에 반도체 기판을 지지하도록 구성된 상부 표면을 갖는 세라믹 재료로 이루어진 플래튼, 플래튼을 지지하는 상부 스템 플랜지를 갖는 세라믹 재료로 이루어진 스템, 및 스템의 내부에 위치된 세라믹 재료로 이루어진 백사이드 가스 튜브를 포함한다. 백사이드 가스 튜브는 플래튼의 하부 표면과 상부 스템 플랜지의 상부 표면 사이에 위치된 상부 가스 튜브 플랜지를 포함하고, 백사이드 가스 튜브는 플래튼의 적어도 하나의 백사이드 가스 통로와 유체로 연통하고, 백사이드 가스 튜브는 프로세싱 동안 플래튼의 상부 표면 상에 지지될 반도체 기판의 하부 표면 아래의 영역으로 백사이드 가스를 공급하도록 구성된다.
반도체 기판 프로세싱 장치의 기판 페데스탈 모듈이 또한 본 명세서에 개시된다. 기판 페데스탈 모듈은, 프로세싱 동안 상부에 반도체 기판을 지지하도록 구성된 상부 표면을 갖는 플래튼; 플래튼을 지지하는 상부 스템 플랜지를 갖는 스템; 및 스템의 내부에 위치된 백사이드 가스 튜브를 포함한다. 백사이드 가스 튜브는 플래튼의 하부 표면과 상부 스템 플랜지의 상부 표면 사이에 위치된 상부 가스 튜브 플랜지를 포함하고, 백사이드 가스 튜브는 플래튼의 적어도 하나의 백사이드 가스 통로와 유체로 연통하고, 백사이드 가스 튜브는 프로세싱 동안 플래튼의 상부 표면 상에 지지될 반도체 기판의 하부 표면 아래의 영역으로 백사이드 가스를 공급하도록 구성된다.
추가로 반도체 기판 프로세싱 장치의 기판 페데스탈 모듈을 제작하는 방법이 본 명세서에 개시된다. 기판 페데스탈 모듈을 제작하는 방법은 플래튼의 하부 세라믹 표면에 붙여 (against) 상부 가스 튜브 플랜지의 상부 세라믹 표면을 위치시키는 단계; 및 진공 시일링을 형성하도록 상부 가스 튜브 플랜지의 상부 세라믹 표면을 플래튼의 하부 세라믹 표면에 확산 본딩하는 단계를 포함한다.
도 1은 본 명세서에 개시된 실시예들에 따른 화학적 증착 장치의 개요를 도시하는 개략도를 예시한다.
도 2는 본 명세서에 개시된 바와 같은 실시예에 따른 기판 페데스탈 모듈의 단면을 예시한다.
도 3은 본 명세서에 개시된 바와 같은 실시예에 따른 기판 페데스탈 모듈의 단면을 예시한다.
이하의 상세한 기술에서, 다수의 구체적인 실시예들은 본 명세서에 개시된 장치 및 방법들의 전체적인 이해를 제공하기 위해 제시된다. 그러나, 당업자에게 자명한 바와 같이, 본 실시예들은 이들 구체적인 상세들 없이도 또는 대안적인 엘리먼트들 또는 프로세스들을 사용함으로써 실시될 수도 있다. 다른 예들에서, 공지의 프로세스들, 절차들, 및/또는 컴포넌트들은 본 명세서에 개시된 실시예들의 양태들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 본 명세서에 사용된 바와 같이, 용어 "약"은 ± 10 %를 참조한다.
나타낸 바와 같이, 본 실시예들은 CVD (chemical vapor deposition) 장치 또는 PECVD (plasma-enhanced chemical vapor deposition) 장치와 같은 반도체 기판 프로세싱 장치 내에서 반도체 기판을 프로세싱하기 위한 장치 및 연관된 방법들을 제공한다. 장치 및 방법들은 고온 증착 프로세스들과 같은 반도체 기판들의 고온 프로세싱과 함께 사용하는데 특히 적용가능하고, 프로세싱될 반도체 기판은 약 550 ℃ 초과의 온도들, 예컨대 약 550 ℃ 내지 약 650 ℃ 이상으로 가열된다.
본 명세서에 개시된 실시예들은 플라즈마 강화된 화학적 증착 장치 (즉 PECVD 장치, PEALD 장치, 또는 PEPDL 장치) 내에서 구현되는 것이 바람직하지만, 이들로 제한되는 것은 아니다. 도 1은 본 명세서에 개시된 바와 같이 실시예들을 구현하기 위한 다양한 반도체 기판 플라즈마 프로세싱 장치 컴포넌트들을 도시하는 단순한 블록도를 제공한다. 도시된 바와 같이, 반도체 기판 플라즈마 프로세싱 장치 (300) 는 프로세싱 존 내에 플라즈마를 담도록 기능하는 진공 챔버 (324) 를 포함하고, 플라즈마는 내부에 하부 RF 전극 (미도시) 을 갖는 기판 페데스탈 모듈 (320) 과 함께 작동하는, 내부에 상부 RF 전극 (미도시) 을 갖는 샤워헤드 모듈 (314) 을 포함하는 커패시터 타입 시스템에 의해 생성된다. 적어도 하나의 RF 생성기는, 진공 챔버 (324) 내에서 플라즈마 증착 프로세스가 수행될 수도 있도록 진공 챔버 (324) 내의 반도체 기판 (316) 의 상부 표면 위의 프로세싱 존 내로 RF 에너지를 공급하고, 진공 챔버 (324) 의 프로세싱 존 내로 공급된 프로세스 가스를 에너자이징하도록 동작가능하다. 예를 들어, 고주파수 RF 생성기 (302) 및 저주파수 RF 생성기 (304) 는 각각, RF 에너지가 진공 챔버 (324) 내의 반도체 기판 (316) 위의 프로세싱 존 내로 공급될 수도 있도록 샤워헤드 모듈 (314) 의 상부 RF 전극에 연결된 매칭 네트워크 (306) 에 연결될 수도 있다.
매칭 네트워크 (306) 에 의해 진공 챔버 (324) 의 내부로 공급된 RF 에너지의 전력 및 주파수는 프로세스 가스로부터 플라즈마를 생성하기에 충분하다. 일 실시예에서 고주파수 RF 생성기 (302) 및 저주파수 RF 생성기 (304) 양자가 사용되고, 대안적인 실시예에서, 고주파수 RF 생성기 (302) 만이 사용된다. 프로세스에서, 고주파수 RF 생성기 (302) 는 약 2 내지 100 ㎒의 주파수들; 바람직한 실시예에서 13.56 ㎒ 또는 27 ㎒에서 동작될 수도 있다. 저주파수 RF 생성기 (304) 는 약 50 ㎑ 내지 2 ㎒; 바람직한 실시예에서 약 350 내지 600 ㎑에서 동작될 수도 있다. 프로세스 파라미터들은 챔버 볼륨, 기판 사이즈, 및 다른 인자들에 기초하여 스케일링될 수도 있다. 유사하게, 프로세스 가스의 플로우 레이트들은 진공 챔버 의 자유 볼륨 또는 프로세싱 존에 따라 결정될 수도 있다.
기판 페데스탈 모듈 (320) 의 상부 표면은 프로세싱 동안 진공 챔버 (324) 내에서 반도체 기판 (316) 을 지지한다. 기판 페데스탈 모듈 (320) 은, 증착 및/또는 플라즈마 처리 프로세스들 전, 동안 및/또는 후에 반도체 기판을 홀딩하기 위한 척 및/또는 반도체 기판을 상승 또는 하강시키기 위한 리프트 핀들을 포함할 수 있다. 대안적인 실시예에서, 기판 페데스탈 모듈 (320) 은 증착 및/또는 플라즈마 처리 프로세스들 전, 동안 및/또는 후에 반도체 기판을 상승 또는 하강시키기 위한 캐리어 링을 포함할 수 있다. 척은 정전 척, 기계 척, 또는 산업 및/또는 연구에 사용하기에 가용한 다양한 다른 타입들의 척일 수도 있다. 정전 척을 포함하는 기판 페데스탈 모듈에 대한 리프트 핀 어셈블리의 상세들은, 본 명세서에 전체가 참조로서 인용된, 공동으로 양도된 미국 특허 제 8,840,754 호에서 알 수 있다. 기판 페데스탈 모듈에 대한 캐리어 링의 상세들은 본 명세서에 전체가 참조로서 인용된, 공동으로 양도된 미국 특허 제 6,860,965 호에서 알 수 있다. 후면 가스 공급부 (341) 는 프로세싱 동안 반도체 기판의 하부 표면 아래의 영역으로 기판 페데스탈 모듈 (320) 을 통해 열 전달 가스 또는 퍼지 가스들을 공급하도록 동작가능하다. 기판 페데스탈 모듈 (320) 은 내부에 하부 RF 전극을 포함하고, 하부 RF 전극은 프로세싱 동안 접지되는 것이 바람직하지만, 대안적인 실시예에서, 프로세싱 동안 하부 RF 전극에 RF 에너지가 공급될 수도 있다.
반도체 기판 플라즈마 프로세싱 장치 (300) 의 진공 챔버 (324) 내에서 반도체 기판을 프로세싱하기 위해, 프로세스 가스들은 프로세스 가스 소스 (362) 로부터 진공 챔버 (324) 내로 유입부 (312) 및 샤워헤드 모듈 (314) 을 통해 도입되고, 프로세스 가스는 막이 반도체 기판의 상부 표면 상에 증착될 수도 있도록, RF 에너지를 이용하여 플라즈마로 형성된다. 일 실시예에서, 복수의 소스 가스 라인들 (310) 은 가열된 매니폴드 (308) 에 연결될 수도 있다. 가스들은 미리 혼합되거나 챔버에 개별적으로 공급될 수도 있다. 적절한 밸브 및 질량 유량 제어 메커니즘들이 반도체 기판 프로세싱 동안 샤워헤드 모듈 (314) 을 통해 올바른 가스들이 전달된다는 것을 보장하도록 채용된다. 프로세싱 동안, 후면 열 전달 가스 또는 퍼지 가스들은 기판 페데스탈 모듈 (320) 상에 지지된 반도체 기판의 하부 표면 아래의 영역으로 공급된다. 바람직하게, 프로세싱은 CVD (chemical vapor deposition) 프로세싱, PECVD (plasma-enhanced chemical vapor deposition) 프로세싱, ALD (atomic layer deposition) 프로세싱, PEALD (plasma-enhanced atomic layer deposition) 프로세싱, PDL (pulsed deposition layer) 프로세싱, 및/또는 PEPDL (plasma-enhanced pulsed deposition layer) 프로세싱 중 적어도 하나이다.
특정한 실시예들에서, 시스템 제어기 (162) 는 증착, 증착 후 처리들, 및/또는 다른 프로세스 동작들 동안 프로세스 조건들을 제어하도록 채용된다. 시스템 제어기 (162) 는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 프로세서는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입력/출력 접속부들, 스텝퍼 모터 제어기 보드들, 등을 포함할 수도 있다.
특정한 실시예들에서, 시스템 제어기 (162) 는 장치의 모든 액티비티들을 제어한다. 시스템 제어기 (162) 는 프로세싱 동작들의 타이밍, LF 발생기 (304) 및 HF 발생기 (302) 의 동작들의 주파수 및 전력, 전구체들 및 불활성 가스들의 플로우 레이트들 및 온도들 및 이들의 상대적인 혼합, 기판 페데스탈 모듈 (320) 의 상부 표면 상에 지지된 반도체 기판 (316) 의 온도, 및 샤워헤드 모듈 (314) 의 플라즈마 노출된 표면, 진공 챔버 (324) 의 압력, 및 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함하는 시스템 제어 소프트웨어를 실행한다. 일부 실시예들에서 제어기와 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 채용될 수도 있다.
통상적으로 시스템 제어기 (162) 와 연관된 사용자 인터페이스가 있을 것이다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
비일시적인 컴퓨터 머신-판독가능 매체가 장치의 제어를 위한 프로그램 인스트럭션들을 포함할 수 있다. 프로세싱 동작들을 제어하기 위한 컴퓨터 프로그램 코드는 예를 들어 어셈블리 언어, C, C++, 파스칼, 포트란, 또는 다른 것들과 같은 임의의 통상적인 컴퓨터 판독 가능한 프로그래밍 언어로 작성될 수 있다. 컴파일링된 객체 코드 또는 스크립트가 프로그램 내에서 식별된 태스크들을 수행하도록 프로세서에 의해서 실행된다.
제어기 파라미터들은 예를 들어, 프로세싱 단계들의 타이밍, 전구체들 및 불활성 가스들의 플로우 레이트들 및 온도들, 반도체 기판의 온도, 챔버의 압력 및 특정한 프로세스의 다른 파라미터들과 같은 프로세스 조건들에 관한 것이다. 이러한 파라미터들은 레시피의 형태로 사용자에게 제공되며 사용자 인터페이스를 활용하여 입력될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 시스템 제어기의 아날로그 및/또는 디지털 입력 접속부들에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 장치의 아날로그 및 디지털 출력 접속부들 상에 출력된다.
시스템 소프트웨어는 많은 상이한 방식들로 설계되거나 구성될 수도 있다. 예를 들어, 다양한 챔버 컴포넌트 서브루틴들 또는 제어 객체들이 증착 프로세스들을 수행하는데 필요한 챔버 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 이러한 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 프로세싱 단계들의 타이밍 코드, 전구체들 및 불활성 가스들의 플로우 레이트들 및 온도들 코드, 및 진공 챔버 (324) 의 압력 코드를 포함한다.
도 2 및 도 3은 본 명세서에 개시된 바와 같은 실시예들에 따른 기판 페데스탈 모듈 (320) 의 단면들을 예시한다. 도 2 및 도 3에 도시된 바와 같이, 기판 페데스탈 모듈 (320) 은 세라믹 재료로 이루어진, 반도체 기판의 프로세싱 동안 반도체 기판을 상부에 지지하는 상부 표면 (206) 을 갖는 플래튼 (205) 을 포함한다. 세라믹 재료로 이루어진 스템 (210) 은 플래튼 (205) 의 하부 표면 (208) 으로부터 하향으로 연장하고, 기판 페데스탈 모듈 (320) 이 반도체 기판 프로세싱 장치의 진공 챔버 내에 지지될 수도 있도록, 스템 (210) 은 플래튼 (205) 을 지지하는 상부 스템 플랜지 (215) 및 베이스 또는 어댑터 (미도시) 에 부착될 수도 있는 하부 스템 플랜지 (220) 를 포함한다. 기판 페데스탈 모듈 (320) 을 알루미늄 또는 알루미늄 합금과 같은 금속 재료 대신, 세라믹 재료들로 제작함으로써, 기판 페데스탈 모듈 (320) 은 약 550 ℃ 초과의 온도 또는 약 650 ℃ 초과의 온도와 같은 고온 기판 프로세싱 동안 달성된 고온을 견딜 수도 있다.
기판 페데스탈 모듈 (320) 은 스템 (210) 의 내부 (213) 에 위치된 세라믹으로 이루어진 백사이드 가스 튜브 (250) 를 포함한다. 일 실시예에서, 백사이드 가스 튜브 (250) 는 스템 (210) 의 내부 (213) 에서 중심에 위치된다. 대안적인 실시예에서, 백사이드 가스 튜브 (250) 는 스템 (210) 의 내부에서 중심을 벗어나 (off-center) 위치된다. 백사이드 가스 튜브 (250) 는 플래튼 (205) 의 하부 표면 (208) 과 상부 스템 플랜지 (215) 의 상부 표면 사이에 위치된 상부 가스 튜브 플랜지 (253) 를 포함한다. 백사이드 가스 튜브 (250) 는, 프로세싱 동안 백사이드 가스가 백사이드 가스 튜브 (250) 및 백사이드 가스 통로 (207) 를 통해 플래튼 (205) 의 상부 표면 (206) 상에 지지된 반도체 기판의 하부 표면 아래의 영역으로 공급될 수도 있도록, 플래튼 (205) 의 적어도 하나의 백사이드 가스 통로 (207) 와 유체로 연통한다. 일 실시예에서, 상부 스템 플랜지 (215) 의 외측 직경 및 상부 가스 튜브 플랜지 (253) 의 외측 직경은 플래튼 (205) 의 외측 직경과 같거나 거의 같을 수 있다. 바람직하게, 상부 스템 플랜지 (215) 의 외측 직경 및 상부 가스 튜브 플랜지 (253) 의 외측 직경은 플래튼 (205) 의 외측 직경보다 보다 작다. 상부 스템 플랜지 (215) 의 외측 직경, 상부 가스 튜브 플랜지 (253) 의 외측 직경 및 스템 (210) 의 외측 직경, 뿐만 아니라 스템 (210) 벽의 두께는, 프로세싱 동안 대기압으로 유지되는 스템 (210) 의 내부 (213) 가 스템 (210) 의 내부 (213) 에 배치된 전기적 접속부들을 수용할뿐만 아니라 스템 (210) 을 둘러싸는 진공 압력과 스템 (210) 의 내부 (213) 의 대기압 간의 압력 차를 견딜 수 있도록, 선택된다. 바람직하게, 상부 가스 튜브 플랜지 (253) 의 외측 둘레부는 플래튼 (205) 의 외측 직경의 ¼ 이하의 반경에 위치된다.
플래튼 (205) 은 내부에 임베딩된 적어도 하나의 정전 클램핑 전극 (209) 을 포함할 수 있고, 적어도 하나의 정전 클램핑 전극 (209) 은 프로세싱 동안 플래튼 (205) 의 상부 표면 (206) 상에 반도체 기판을 정전기적으로 클램핑하도록 동작가능하다. 도 2에 도시된 바와 같이, 플래튼 (205) 은 또한 반도체 기판의 프로세싱 동안 접지되거나 RF 전력이 공급될 수도 있는 하부 RF 전극 (265) 을 포함할 수 있다. 바람직하게, 도 3에 도시된 바와 같이, 플래튼 (205) 은 정전 클램핑 전극 및 RF 전극 양자로서 기능하는, 내부에 임베딩된 단일 전극 (209a) 만을 포함한다. 다시 도 2 및 도 3을 참조하면, 플래튼 (205) 은 또한 플래튼 (205) 의 상부 표면 (206) 에 걸친 온도 및 따라서 프로세싱 동안 반도체 기판에 걸친 온도를 제어하도록 동작가능한, 내부에 임베딩된 적어도 하나의 히터 (260) 를 포함할 수 있다. 적어도 하나의 히터 (260) 는 전기적으로 저항성 히터 막 및/또는 하나 이상의 열전 모듈을 포함할 수 있다. 바람직하게, 스템 (210) 의 내부에 배치된 적어도 하나의 정전 클램핑 전극 (209), 적어도 하나의 히터 (260), 단일 전극 (209a), 및/또는 하부 RF 전극 (265) 으로의 전기 접속부들은, 전기 접속부들이 각각의 적어도 하나의 정전 클램핑 전극 (209), 적어도 하나의 히터 (260), 단일 전극 (209a) 및/또는 하부 RF 전극 (265) 과 전기적으로 통신하는 플래튼 (205) 내에 형성된 전기적 콘택트들 (미도시) 에 각각 접속될 수도 있도록, 상부 가스 튜브 플랜지 (253) 내의 각각의 개구들 (251) 을 통해 연장한다. 이러한 방식으로, 적어도 하나의 정전 클램핑 전극 (209), 적어도 하나의 히터 (260), 단일 전극 (209a) 및/또는 하부 RF 전극 (265) 은 반도체 기판의 프로세싱 동안 전력이 공급될 수도 있다.
일 실시예에서, 플래튼 (205) 은 함께 확산 본딩된 개별 층들을 포함할 수 있고, 적어도 하나의 정전 클램핑 전극 (209), 하부 RF 전극 (265) (또는 단일 전극 (209a)), 및 적어도 하나의 히터 (260) 은 플래튼 (205) 의 개별 층들 사이에 샌드위치될 수 있다. 플래튼 (205) 의 상부 표면 (206) 은 바람직하게 내부에 형성된 메사 패턴 (206a) 을 포함하고, 반도체 기판의 하부 표면은 메사 패턴 (206a) 상에 지지되고 후면 퍼지 가스 또는 후면 열 전달 가스는 메사 패턴 (206a) 의 메사들 사이에서 반도체 기판 아래의 영역으로 공급될 수 있다. 메사 패턴의 예시적인 실시예 및 메사 패턴을 형성하는 방법은, 전체가 본 명세서에 참조로서 인용되고, 공동으로 양도된 미국 특허 제 7,869,184 호에서 알 수 있다.
플래튼 (205), 스템 (210), 및 백사이드 가스 튜브 (250) 의 노출된 표면들은 세라믹 재료로 이루어지고, 이는 바람직하게 플래튼 (205), 스템 (210), 및/또는 백사이드 가스 튜브 (250) 가 프로세싱 조건들에 노출될 때 프로세싱 동안 기판 오염을 야기하지 않는다. 바람직하게, 플래튼 (205), 스템 (210), 및/또는 백사이드 가스 튜브 (250) 의 노출된 표면들은 알루미늄 나이트라이드로 이루어진다. 스템 (210) 의 내부 (213) 와 기판 페데스탈 모듈 (320) 이 배치되는 진공 챔버 사이에 진공 시일링을 형성하도록 상부 가스 튜브 플랜지 (253) 의 상부 표면은 바람직하게 플래튼 (205) 의 하부 표면 (208) 에 확산 본딩되고, 상부 가스 튜브 플랜지 (253) 의 하부 표면은 바람직하게 상부 스템 플랜지 (215) 의 상부 표면에 확산 본딩된다. 바람직하게, 상부 가스 튜브 플랜지 (253) 의 상부 표면과 플래튼 (205) 의 하부 표면 (208) 간의 콘택트 면적은 상부 가스 튜브 플랜지 (253) 의 하부 표면과 상부 스템 플랜지 (215) 의 상부 표면 간의 콘택트 면적과 거의 같다. 보다 바람직하게, 상부 가스 튜브 플랜지 (253) 의 상부 표면과 플래튼 (205) 의 하부 표면 (208) 간의 콘택트 면적은 상부 가스 튜브 플랜지 (253) 의 하부 표면과 상부 스템 플랜지 (215) 의 상부 표면 간의 콘택트 면적과 같다. 도 2는 상부 가스 튜브 플랜지 (253) 의 상부 표면과 플래튼 (205) 의 하부 표면 (208) 간의 콘택트 면적이 상부 가스 튜브 플랜지 (253) 의 하부 표면과 상부 스템 플랜지 (215) 의 상부 표면 간의 콘택트 면적과 같은 기판 페데스탈 모듈 (320) 의 실시예를 예시한다. 이 실시예에서, 상부 가스 튜브 플랜지 (253) 의 일부와 플래튼 (205) 의 하부 표면 (208) 사이에 갭 (230) 이 형성된다. 대안적인 실시예에서, 도 3에 도시된 바와 같이, 기판 페데스탈 모듈 (320) 은, 상부 가스 튜브 플랜지 (253) 의 상부 표면과 플래튼 (205) 의 하부 표면 (208) 사이에 갭이 없도록 형성될 수 있다.
추가로 기판 페데스탈 모듈 (320) 을 제작하는 방법이 본 명세서에 개시된다. 기판 페데스탈 모듈 (320) 의 제작 방법은 플래튼 (205) 의 하부 세라믹 표면 (208) 에 붙여 상부 가스 튜브 플랜지 (253) 의 상부 세라믹 표면을 위치시키는 단계를 포함한다. 상부 가스 튜브 플랜지 (253) 의 상부 세라믹 표면은 플래튼 (205) 의 하부 세라믹 표면 (208) 에 확산 본딩된다. 일 실시예에서, 상부 스템 플랜지 (215) 의 상부 세라믹 표면은 동시에 상부 가스 튜브 플랜지 (253) 의 하부 세라믹 표면에 붙여 위치되고, 상부 가스 튜브 플랜지 (253) 의 상부 세라믹 표면은, 상부 스템 플랜지 (215) 의 상부 세라믹 표면이 상부 가스 튜브 플랜지 (253) 의 하부 세라믹 표면에 확산 본딩되는 동안, 플래튼 (205) 의 하부 세라믹 표면에 동시에 확산 본딩된다. 상부 스템 플랜지 (215) 의 상부 세라믹 표면을 상부 가스 튜브 플랜지 (253) 의 하부 세라믹 표면에 그리고 상부 가스 튜브 플랜지 (253) 의 상부 세라믹 표면을 플래튼 (205) 의 하부 세라믹 표면에 동시에 확산 본딩하기 위해, 상부 스템 플랜지 (215) 의 상부 세라믹 표면과 상부 가스 튜브 플랜지 (253) 의 하부 세라믹 표면 간의 콘택트 면적뿐만 아니라 상부 가스 튜브 플랜지 (253) 의 상부 세라믹 표면과 플래튼 (205) 의 하부 세라믹 표면 (208) 간의 콘택트 면적은, 함께 확산 본딩되는 세라믹 표면들이 진공 시일링을 형성하도록 같거나 거의 같다. 이러한 방식으로, 플래튼 (205), 스템 (210), 및 백사이드 가스 튜브 (250) 는 단일 확산 본딩 프로세스에서 완전히 연결될 수 있다.
대안적인 실시예에서, 상부 가스 튜브 플랜지 (253) 의 상부 세라믹 표면과 플래튼 (205) 의 하부 세라믹 표면 (208) 간의 콘택트 면적이 상부 가스 튜브 플랜지 (253) 의 하부 세라믹 표면과 상부 스템 플랜지 (215) 의 상부 세라믹 표면 간의 콘택트 면적과 같지 않으면, 그러면 상부 가스 튜브 플랜지 (253) 의 상부 세라믹 표면은 먼저 플래튼 (205) 의 하부 세라믹 표면 (208) 에 확산 본딩되고, 상부 스템 플랜지 (215) 의 상부 세라믹 표면은 이어서 상부 가스 튜브 플랜지 (253) 의 하부 세라믹 표면에 본딩된다.
반도체 기판 프로세싱 장치의 기판 페데스탈 모듈이 이의 구체적인 실시예들을 참조하여 상세히 기술되었지만, 첨부된 청구항들의 범위로부터 벗어나지 않고, 다양한 변화들 및 수정들이 이루어질 수 있고, 등가물들이 채용될 수 있다는 것이 당업자에게 자명할 것이다.

Claims (20)

  1. 반도체 기판들을 프로세싱하기 위한 반도체 기판 프로세싱 장치에 있어서,
    반도체 기판이 프로세싱될 수도 있는 프로세싱 존을 포함하는 진공 챔버;
    상기 진공 챔버 내로 프로세스 가스를 공급하기 위해 상기 진공 챔버와 유체로 연통하는 프로세스 가스 소스;
    상기 프로세스 가스 소스로부터의 프로세스 가스가 상기 진공 챔버의 상기 프로세싱 존으로 공급되는 샤워헤드 모듈; 및
    프로세싱 동안 상부에 상기 반도체 기판을 지지하도록 구성된 상부 표면을 가지며 세라믹 재료로 이루어진 플래튼; 상기 플래튼을 지지하는 상부 스템 플랜지를 가지며 세라믹 재료로 이루어진 스템; 및 상기 스템의 내부에 위치되고 세라믹 재료로 이루어진 백사이드 가스 튜브를 포함하는 기판 페데스탈 모듈을 포함하고,
    상기 백사이드 가스 튜브는 상기 플래튼의 하부 표면과 상기 상부 스템 플랜지의 상부 표면 사이에 위치된 상부 가스 튜브 플랜지를 포함하고, 상기 백사이드 가스 튜브는 상기 플래튼의 적어도 하나의 백사이드 가스 통로와 유체로 연통하고, 상기 백사이드 가스 튜브는 프로세싱 동안 상기 플래튼의 상기 상부 표면 상에 지지될 상기 반도체 기판의 하부 표면 아래의 영역으로 백사이드 가스를 공급하도록 구성되는, 반도체 기판 프로세싱 장치.
  2. 제 1 항에 있어서,
    상기 반도체 기판 프로세싱 장치는,
    (a) 상기 프로세싱 존 내에서 상기 프로세스 가스를 플라즈마 상태로 에너자이징 (energize) 하도록 구성된 RF 에너지 소스;
    (b) 상기 반도체 기판 프로세싱 장치에 의해 수행된 프로세스들을 제어하도록 구성된 제어 시스템; 및/또는
    (c) 상기 반도체 기판 프로세싱 장치의 제어를 위한 프로그램 인스트럭션들을 포함하는 비일시적인 컴퓨터 머신 판독가능 매체를 포함하는, 반도체 기판 프로세싱 장치.
  3. 제 1 항에 있어서,
    상기 스템의 상기 내부와 상기 진공 챔버 사이에 진공 시일링을 형성하도록 상기 상부 가스 튜브 플랜지의 상부 표면은 상기 플래튼의 상기 하부 표면에 확산 본딩되고 상기 상부 가스 튜브 플랜지의 하부 표면은 상기 상부 스템 플랜지의 상기 상부 표면에 확산 본딩되는, 반도체 기판 프로세싱 장치.
  4. 제 3 항에 있어서,
    상기 상부 가스 튜브 플랜지의 상기 상부 표면과 상기 플래튼의 상기 하부 표면 사이의 콘택트 면적은 상기 상부 가스 튜브 플랜지의 상기 하부 표면과 상기 상부 스템 플랜지의 상기 상부 표면 사이의 콘택트 면적과 같은, 반도체 기판 프로세싱 장치.
  5. 제 1 항에 있어서,
    상기 가스 튜브 플랜지의 일부와 상기 플래튼의 상기 하부 표면 사이에 갭이 형성되거나 상기 상부 가스 튜브 플랜지의 상기 상부 표면과 상기 플래튼의 상기 하부 표면 사이에 갭이 없는, 반도체 기판 프로세싱 장치.
  6. 제 1 항에 있어서,
    (a) 상기 백사이드 가스 튜브, 상기 플래튼 및/또는 상기 스템은 알루미늄 나이트라이드로 형성되고;
    (b) 상기 백사이드 가스 튜브는, 적어도 하나의 각각의 전기 접속부가 상기 상부 가스 튜브 플랜지를 통해 연장할 수 있도록 상기 상부 가스 튜브 플랜지 내에 적어도 하나의 개구부를 포함하고;
    (c) 상기 백사이드 가스 튜브는 상기 스템의 상기 내부에서 중심에 위치되거나 상기 백사이드 가스 튜브는 상기 스템의 상기 내부에서 중심을 벗어나 (off-center) 위치되고; 그리고/또는
    (d) 상기 반도체 기판 프로세싱 장치는 CVD (chemical vapor deposition) 장치, PECVD (plasma-enhanced chemical vapor deposition) 장치, ALD (atomic layer deposition) 장치, PEALD (plasma-enhanced atomic layer deposition) 장치, PDL (pulsed deposition layer) 프로세스를 위한 장치, 또는 PEPDL (plasma-enhanced pulsed deposition layer) 프로세스를 위한 장치인, 반도체 기판 프로세싱 장치.
  7. 제 1 항에 있어서,
    상기 기판 페데스탈 모듈은,
    (a) 상기 플래튼 내에 임베딩된 적어도 하나의 정전 클램핑 전극; 또는
    (b) 상기 플래튼 내에 임베딩된 하부 RF 전극; 또는
    (c) 상기 플래튼 내에 임베딩된 적어도 하나의 히터; 또는
    (d) 상기 플래튼의 상기 상부 표면으로 그리고 상기 상부 표면으로부터 상기 반도체 기판을 하강시키고 그리고 상승시키도록 구성된 복수의 리프트 핀들; 또는
    (e) 상기 플래튼의 상기 상부 표면 상에 형성된 메사 패턴; 또는
    (f) 상기 플래튼의 상기 상부 표면으로 그리고 상기 상부 표면으로부터 상기 반도체 기판을 하강시키고 그리고 상승시키도록 구성된 캐리어 링; 또는
    (g) 정전 클램핑 전극 및 RF 전극으로서 기능하도록 동작가능한, 내부에 임베딩된 단일 전극을 더 포함하는, 반도체 기판 프로세싱 장치.
  8. 제 1 항에 있어서,
    프로세싱 동안 백사이드 가스가 상기 플래튼의 상기 상부 표면 상에 지지될 상기 반도체 기판의 상기 하부 표면 아래의 상기 영역으로 공급될 수도 있도록, 상기 플래튼의 상기 적어도 하나의 백사이드 가스 통로와 유체로 연통하는 상기 백사이드 가스 튜브를 통해 백사이드 가스를 공급하도록 동작가능한 백사이드 가스 공급부를 더 포함하는, 반도체 기판 프로세싱 장치.
  9. 제 1 항에 있어서,
    상기 상부 가스 튜브 플랜지의 외측 둘레부는 상기 플래튼의 외측 직경의 ¼ 이하의 반경에 위치되는, 반도체 기판 프로세싱 장치.
  10. 반도체 기판 프로세싱 장치의 기판 페데스탈 모듈에 있어서,
    상기 기판 페데스탈 모듈은,
    프로세싱 동안 상부에 반도체 기판을 지지하도록 구성된 상부 표면을 갖고, 세라믹 재료로 이루어진 플래튼;
    상기 플래튼을 지지하는 상부 스템 플랜지를 갖고, 세라믹 재료로 이루어진 스템; 및
    상기 스템의 내부에 위치된, 세라믹 재료로 이루어진 백사이드 가스 튜브를 포함하고,
    상기 백사이드 가스 튜브는 상기 플래튼의 하부 표면과 상기 상부 스템 플랜지의 상부 표면 사이에 위치된 상부 가스 튜브 플랜지를 포함하고, 상기 백사이드 가스 튜브는 상기 플래튼의 적어도 하나의 백사이드 가스 통로와 유체로 연통하고, 상기 백사이드 가스 튜브는 프로세싱 동안 상기 플래튼의 상기 상부 표면 상에 지지될 상기 반도체 기판의 하부 표면 아래의 영역으로 백사이드 가스를 공급하도록 구성되는, 기판 페데스탈 모듈.
  11. 제 10 항에 있어서,
    진공 시일링을 형성하도록 상기 상부 가스 튜브 플랜지의 상부 표면은 상기 플래튼의 상기 하부 표면에 확산 본딩되고 상기 상부 가스 튜브 플랜지의 하부 표면은 상기 상부 스템 플랜지의 상기 상부 표면에 확산 본딩되는, 기판 페데스탈 모듈.
  12. 제 11 항에 있어서,
    상기 상부 가스 튜브 플랜지의 상기 상부 표면과 상기 플래튼의 상기 하부 표면 사이의 콘택트 면적은 상기 상부 가스 튜브 플랜지의 상기 하부 표면과 상기 상부 스템 플랜지의 상기 상부 표면 사이의 콘택트 면적과 같은, 기판 페데스탈 모듈.
  13. 제 10 항에 있어서,
    상기 상부 가스 튜브 플랜지의 일부와 상기 플래튼의 상기 하부 표면 사이에 갭이 형성되거나 상기 상부 가스 튜브 플랜지의 상기 상부 표면과 상기 플래튼의 상기 하부 표면 사이에 갭이 없는, 기판 페데스탈 모듈.
  14. 제 10 항에 있어서,
    (a) 상기 백사이드 가스 튜브, 상기 플래튼 및/또는 상기 스템은 알루미늄 나이트라이드로 형성되고;
    (b) 상기 백사이드 가스 튜브는, 적어도 하나의 각각의 전기 접속부가 상기 상부 가스 튜브 플랜지를 통해 연장할 수 있도록 상기 상부 가스 튜브 플랜지 내에 적어도 하나의 개구부를 포함하고; 그리고/또는
    (c) 상기 백사이드 가스 튜브는 상기 스템의 상기 내부에서 중심에 위치되거나 상기 백사이드 가스 튜브는 상기 스템의 상기 내부에서 중심을 벗어나 위치되는, 기판 페데스탈 모듈.
  15. 제 10 항에 있어서,
    상기 기판 페데스탈 모듈은,
    (a) 상기 플래튼 내에 임베딩된 적어도 하나의 정전 클램핑 전극; 또는
    (b) 상기 플래튼 내에 임베딩된 하부 RF 전극; 또는
    (c) 상기 플래튼 내에 임베딩된 적어도 하나의 히터; 또는
    (d) 상기 플래튼의 상기 상부 표면으로 그리고 상기 상부 표면으로부터 상기 반도체 기판을 하강시키고 그리고 상승시키도록 구성된 복수의 리프트 핀들; 또는
    (e) 상기 플래튼의 상기 상부 표면 상에 형성된 메사 패턴; 또는
    (f) 상기 플래튼의 상기 상부 표면으로 그리고 상기 상부 표면으로부터 상기 반도체 기판을 하강시키고 그리고 상승시키도록 구성된 캐리어 링; 또는
    (g) 정전 클램핑 전극 및 RF 전극으로서 기능하도록 동작가능한, 내부에 임베딩된 단일 전극을 더 포함하는, 기판 페데스탈 모듈.
  16. 반도체 기판 프로세싱 장치의 기판 페데스탈 모듈을 제작하는 방법에 있어서,
    상기 방법은,
    플래튼의 하부 세라믹 표면에 붙여 (against) 상부 가스 튜브 플랜지의 상부 세라믹 표면을 위치시키는 단계; 및
    진공 시일링을 형성하도록 상기 상부 가스 튜브 플랜지의 상기 상부 세라믹 표면을 상기 플래튼의 상기 하부 세라믹 표면에 확산 본딩하는 단계를 포함하는, 기판 페데스탈 모듈을 제작하는 방법.
  17. 제 16 항에 있어서,
    상기 상부 가스 튜브 플랜지의 하부 세라믹 표면에 붙여 상부 스템 플랜지의 상부 세라믹 표면을 위치시키는 단계; 및
    진공 시일링을 형성하도록 상기 상부 가스 튜브 플랜지의 상기 상부 세라믹 표면을 상기 플래튼의 상기 하부 세라믹 표면에 그리고 상기 상부 스템 플랜지의 상기 상부 세라믹 표면을 상기 상부 가스 튜브 플랜지의 상기 하부 세라믹 표면에 동시에 확산 본딩하거나, 상기 상부 가스 튜브 플랜지의 상기 상부 세라믹 표면을 상기 플래튼의 상기 하부 세라믹 표면에 확산 본딩한 후 진공 시일링을 형성하도록 상기 상부 스템 플랜지의 상기 상부 세라믹 표면을 상기 상부 가스 튜브 플랜지의 상기 하부 세라믹 표면에 확산 본딩하는 단계를 더 포함하는, 기판 페데스탈 모듈을 제작하는 방법.
  18. 제 1 항에 기재된 반도체 기판 프로세싱 장치 내에서 반도체 기판을 프로세싱하기 위한 방법에 있어서,
    프로세스 가스를 프로세스 가스 소스로부터 프로세싱 존 내로 공급하는 단계; 및
    플래튼의 상부 표면 상에 지지된 반도체 기판을 프로세싱하는 단계를 포함하는, 반도체 기판을 프로세싱하기 위한 방법.
  19. 제 18 항에 있어서,
    프로세싱 동안 상기 플래튼의 상기 상부 표면 상에 지지된 상기 반도체 기판의 하부 표면 아래의 영역으로 상기 백사이드 가스 튜브를 통해 백사이드 열 전달 가스 또는 퍼지 가스를 공급하는 단계를 더 포함하는, 반도체 기판을 프로세싱하기 위한 방법.
  20. 제 18 항에 있어서,
    상기 프로세싱은 CVD (chemical vapor deposition), PECVD (plasma-enhanced chemical vapor deposition), ALD (atomic layer deposition), PEALD (plasma-enhanced atomic layer deposition), PDL (pulsed deposition layer) 프로세스, 및/또는 PEPDL (plasma-enhanced pulsed deposition layer) 프로세스 중 적어도 하나인, 반도체 기판을 프로세싱하기 위한 방법.
KR1020160057390A 2015-05-12 2016-05-11 백사이드 가스 전달 튜브를 포함하는 기판 페데스탈 모듈 및 제작 방법 KR102641003B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020240025363A KR20240031982A (ko) 2015-05-12 2024-02-21 백사이드 가스 전달 튜브를 포함하는 기판 페데스탈 모듈 및 제작 방법

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/710,132 2015-05-12
US14/710,132 US9738975B2 (en) 2015-05-12 2015-05-12 Substrate pedestal module including backside gas delivery tube and method of making

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020240025363A Division KR20240031982A (ko) 2015-05-12 2024-02-21 백사이드 가스 전달 튜브를 포함하는 기판 페데스탈 모듈 및 제작 방법

Publications (2)

Publication Number Publication Date
KR20160133373A KR20160133373A (ko) 2016-11-22
KR102641003B1 true KR102641003B1 (ko) 2024-02-23

Family

ID=57276852

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020160057390A KR102641003B1 (ko) 2015-05-12 2016-05-11 백사이드 가스 전달 튜브를 포함하는 기판 페데스탈 모듈 및 제작 방법
KR1020240025363A KR20240031982A (ko) 2015-05-12 2024-02-21 백사이드 가스 전달 튜브를 포함하는 기판 페데스탈 모듈 및 제작 방법

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020240025363A KR20240031982A (ko) 2015-05-12 2024-02-21 백사이드 가스 전달 튜브를 포함하는 기판 페데스탈 모듈 및 제작 방법

Country Status (4)

Country Link
US (4) US9738975B2 (ko)
JP (2) JP6815745B2 (ko)
KR (2) KR102641003B1 (ko)
CN (2) CN106148915B (ko)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10177024B2 (en) * 2015-05-12 2019-01-08 Lam Research Corporation High temperature substrate pedestal module and components thereof
US9738975B2 (en) 2015-05-12 2017-08-22 Lam Research Corporation Substrate pedestal module including backside gas delivery tube and method of making
JP2017077528A (ja) * 2015-10-20 2017-04-27 信越化学工業株式会社 フォトマスク関連基板に用いる基板洗浄装置及び基板洗浄方法
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10147610B1 (en) * 2017-05-30 2018-12-04 Lam Research Corporation Substrate pedestal module including metallized ceramic tubes for RF and gas delivery
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
WO2019104021A1 (en) 2017-11-21 2019-05-31 Watlow Electric Manufacturing Company Ceramic pedestal having atomic protective layer
US11990360B2 (en) 2018-01-31 2024-05-21 Lam Research Corporation Electrostatic chuck (ESC) pedestal voltage isolation
US11086233B2 (en) 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
US11715652B2 (en) * 2018-09-28 2023-08-01 Ngk Insulators, Ltd. Member for semiconductor manufacturing apparatus
CN109594063A (zh) * 2018-12-27 2019-04-09 西安奕斯伟硅片技术有限公司 一种外延反应设备
DE102019101657A1 (de) * 2019-01-23 2020-07-23 Berliner Glas Kgaa Herbert Kubatz Gmbh & Co Haltevorrichtung zur elektrostatischen Halterung eines Bauteils mit einem durch Diffusionsbonden gefügten Grundkörper und Verfahren zu deren Herstellung
FI129040B (fi) * 2019-06-06 2021-05-31 Picosun Oy Fluidia läpäisevien materiaalien päällystäminen
KR102645912B1 (ko) * 2020-01-15 2024-03-08 니혼도꾸슈도교 가부시키가이샤 유지 장치
KR20220010074A (ko) 2020-02-11 2022-01-25 램 리써치 코포레이션 웨이퍼 베벨/에지 상의 증착을 제어하기 위한 캐리어 링 설계들
US11699602B2 (en) * 2020-07-07 2023-07-11 Applied Materials, Inc. Substrate support assemblies and components
KR102475295B1 (ko) * 2020-10-08 2022-12-08 주식회사 메카로 비대칭 열선 구조를 가진 페데스탈 히터 블럭
WO2022093273A1 (en) * 2020-10-30 2022-05-05 Applied Materials, Inc. Rf delivery and feedthrough assembly to a processing chamber
CN117063269A (zh) * 2021-03-25 2023-11-14 朗姆研究公司 在高温沉积序列中操作的低温基座的传导冷却
KR20240055053A (ko) * 2021-09-02 2024-04-26 램 리써치 코포레이션 합성 세라믹 바디들을 위한 결합 기법들 (joining techniques)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002110774A (ja) 2000-06-09 2002-04-12 Applied Materials Inc 全域温度制御静電チャック及びその製造方法
US20030136520A1 (en) 2002-01-22 2003-07-24 Applied Materials, Inc. Ceramic substrate support
US20040194710A1 (en) 2002-10-29 2004-10-07 Nhk Spring Co., Ltd. Apparatus for vapor deposition
JP2007165322A (ja) 2006-12-15 2007-06-28 Kyocera Corp 試料加熱装置及びその製造方法

Family Cites Families (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE69432383D1 (de) 1993-05-27 2003-05-08 Applied Materials Inc Verbesserungen betreffend Substrathalter geeignet für den Gebrauch in Vorrichtungen für die chemische Abscheidung aus der Dampfphase
JPH07153706A (ja) 1993-05-27 1995-06-16 Applied Materials Inc サセプタ装置
US6544379B2 (en) * 1993-09-16 2003-04-08 Hitachi, Ltd. Method of holding substrate and substrate holding system
US5569356A (en) 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US6616767B2 (en) 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
US6035101A (en) 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6103074A (en) * 1998-02-14 2000-08-15 Phygen, Inc. Cathode arc vapor deposition method and apparatus
US6464795B1 (en) * 1999-05-21 2002-10-15 Applied Materials, Inc. Substrate support member for a processing chamber
US6494955B1 (en) * 2000-02-15 2002-12-17 Applied Materials, Inc. Ceramic substrate support
US6223447B1 (en) 2000-02-15 2001-05-01 Applied Materials, Inc. Fastening device for a purge ring
JP4398064B2 (ja) * 2000-05-12 2010-01-13 日本発條株式会社 加熱装置
US6860965B1 (en) 2000-06-23 2005-03-01 Novellus Systems, Inc. High throughput architecture for semiconductor processing
US6997993B2 (en) 2001-02-09 2006-02-14 Ngk Insulators, Ltd. Susceptor supporting construction
EP1298107A4 (en) * 2001-04-13 2006-06-14 Sumitomo Electric Industries CONNECTED CERAMIC OBJECT, DEVICE FOR HOLDING SUBSTRATES AND DEVICE FOR TREATING SUBSTRATES
JP3520074B2 (ja) 2002-03-28 2004-04-19 日本碍子株式会社 セラミックサセプターの取付構造、セラミックサセプターの支持構造およびセラミックサセプターの支持部材
US6962348B2 (en) 2002-07-29 2005-11-08 Tokyo Electron Limited Sealing apparatus having a single groove
US7126808B2 (en) 2003-04-01 2006-10-24 Varian Semiconductor Equipment Associates, Inc. Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
JP4365766B2 (ja) * 2004-10-26 2009-11-18 京セラ株式会社 ウェハ支持部材とそれを用いた半導体製造装置
US7126093B2 (en) * 2005-02-23 2006-10-24 Ngk Insulators, Ltd. Heating systems
JP4590364B2 (ja) * 2005-03-16 2010-12-01 日本碍子株式会社 処理装置
JP4590363B2 (ja) * 2005-03-16 2010-12-01 日本碍子株式会社 ガス供給部材及びそれを用いた処理装置
US20060231388A1 (en) * 2005-04-14 2006-10-19 Ravi Mullapudi Multi-station sputtering and cleaning system
JP2007051317A (ja) 2005-08-16 2007-03-01 Ngk Insulators Ltd 加熱装置
JP5478065B2 (ja) * 2005-08-17 2014-04-23 アプライド マテリアルズ インコーポレイテッド ロウ付けプレートおよび抵抗ヒーターを有する基板サポート
US7869184B2 (en) 2005-11-30 2011-01-11 Lam Research Corporation Method of determining a target mesa configuration of an electrostatic chuck
KR100804169B1 (ko) * 2005-12-31 2008-02-18 주식회사 아이피에스 박막증착챔버용 서셉터
US20070169703A1 (en) 2006-01-23 2007-07-26 Brent Elliot Advanced ceramic heater for substrate processing
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
JP4762098B2 (ja) * 2006-09-28 2011-08-31 大日本スクリーン製造株式会社 基板処理装置および基板処理方法
US8294069B2 (en) 2007-03-28 2012-10-23 Ngk Insulators, Ltd. Heating device for heating a wafer
JP5135915B2 (ja) * 2007-06-28 2013-02-06 東京エレクトロン株式会社 載置台構造及び熱処理装置
JP2009054871A (ja) * 2007-08-28 2009-03-12 Tokyo Electron Ltd 載置台構造及び処理装置
JP4450106B1 (ja) * 2008-03-11 2010-04-14 東京エレクトロン株式会社 載置台構造及び処理装置
JP5284153B2 (ja) * 2008-03-21 2013-09-11 日本碍子株式会社 セラミックスヒータ
US20090277388A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Heater with detachable shaft
JP5349232B2 (ja) * 2009-09-17 2013-11-20 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
DE102009044276A1 (de) * 2009-10-16 2011-05-05 Aixtron Ag CVD-Reaktor mit auf einem mehrere Zonen aufweisenden Gaspolster liegenden Substrathalter
JP5960384B2 (ja) 2009-10-26 2016-08-02 新光電気工業株式会社 静電チャック用基板及び静電チャック
CN102859645B (zh) * 2010-02-24 2016-05-04 威科仪器有限公司 带温度分布控制的加工方法和装置
KR20110136583A (ko) * 2010-06-15 2011-12-21 삼성엘이디 주식회사 서셉터 및 이를 구비하는 화학 기상 증착 장치
JP5791412B2 (ja) * 2010-07-26 2015-10-07 日本碍子株式会社 セラミックヒーター
KR20120014361A (ko) * 2010-08-09 2012-02-17 삼성엘이디 주식회사 서셉터 및 이를 포함하는 화학증착장치
US8840754B2 (en) 2010-09-17 2014-09-23 Lam Research Corporation Polar regions for electrostatic de-chucking with lift pins
TWI501339B (zh) * 2010-09-24 2015-09-21 Ngk Insulators Ltd Semiconductor manufacturing device components
JP2012182221A (ja) 2011-02-28 2012-09-20 Taiheiyo Cement Corp 基板支持部材
US8801950B2 (en) 2011-03-07 2014-08-12 Novellus Systems, Inc. Reduction of a process volume of a processing chamber using a nested dynamic inert volume
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US8979087B2 (en) * 2011-07-29 2015-03-17 Applied Materials, Inc. Substrate supporting edge ring with coating for improved soak performance
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
DE102012205616B4 (de) * 2012-04-04 2016-07-14 Siltronic Ag Vorrichtung zum Abscheiden einer Schicht auf einer Halbleiterscheibe mittels Gasphasenabscheidung
US9490150B2 (en) * 2012-07-03 2016-11-08 Applied Materials, Inc. Substrate support for substrate backside contamination control
US9088085B2 (en) 2012-09-21 2015-07-21 Novellus Systems, Inc. High temperature electrode connections
JP5583877B1 (ja) 2012-11-06 2014-09-03 日本碍子株式会社 サセプタ
US8941969B2 (en) * 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US10125422B2 (en) 2013-03-27 2018-11-13 Applied Materials, Inc. High impedance RF filter for heater with impedance tuning device
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
WO2015146563A1 (ja) * 2014-03-27 2015-10-01 日本碍子株式会社 セラミックスプレートと金属製の円筒部材との接合構造
US10266943B2 (en) * 2014-06-27 2019-04-23 Applied Materials, Inc. Plasma corrosion resistive heater for high temperature processing
TWI654332B (zh) * 2014-07-02 2019-03-21 美商應用材料股份有限公司 用於電漿處理的多區域基座
US9728437B2 (en) * 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10177024B2 (en) * 2015-05-12 2019-01-08 Lam Research Corporation High temperature substrate pedestal module and components thereof
US9738975B2 (en) 2015-05-12 2017-08-22 Lam Research Corporation Substrate pedestal module including backside gas delivery tube and method of making
US10147610B1 (en) * 2017-05-30 2018-12-04 Lam Research Corporation Substrate pedestal module including metallized ceramic tubes for RF and gas delivery

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002110774A (ja) 2000-06-09 2002-04-12 Applied Materials Inc 全域温度制御静電チャック及びその製造方法
US20030136520A1 (en) 2002-01-22 2003-07-24 Applied Materials, Inc. Ceramic substrate support
US20040194710A1 (en) 2002-10-29 2004-10-07 Nhk Spring Co., Ltd. Apparatus for vapor deposition
JP2007165322A (ja) 2006-12-15 2007-06-28 Kyocera Corp 試料加熱装置及びその製造方法

Also Published As

Publication number Publication date
JP2021061422A (ja) 2021-04-15
US10655225B2 (en) 2020-05-19
KR20240031982A (ko) 2024-03-08
US20170321324A1 (en) 2017-11-09
CN106148915A (zh) 2016-11-23
JP2016213456A (ja) 2016-12-15
US9738975B2 (en) 2017-08-22
JP6815745B2 (ja) 2021-01-20
US11634817B2 (en) 2023-04-25
KR20160133373A (ko) 2016-11-22
US20230220549A1 (en) 2023-07-13
CN106148915B (zh) 2020-08-21
US20200325578A1 (en) 2020-10-15
CN112063995B (zh) 2023-06-27
US20160333475A1 (en) 2016-11-17
CN112063995A (zh) 2020-12-11
JP7168642B2 (ja) 2022-11-09

Similar Documents

Publication Publication Date Title
KR102641003B1 (ko) 백사이드 가스 전달 튜브를 포함하는 기판 페데스탈 모듈 및 제작 방법
KR102653444B1 (ko) 고온 기판 페데스탈 모듈 및 이의 컴포넌트들
US10253412B2 (en) Deposition apparatus including edge plenum showerhead assembly
JP7271443B2 (ja) 半導体処理で使用するための静電チャック
TW201713794A (zh) 具有減少的背側電漿點火的噴淋頭
KR20170074755A (ko) 샤워헤드 어셈블리
KR20150002543A (ko) 가스 시일을 갖는 화학적 증착 챔버
KR20170003917A (ko) 히터 급전 기구
US9631276B2 (en) Systems and methods enabling low defect processing via controlled separation and delivery of chemicals during atomic layer deposition
KR20170009756A (ko) 원자층 증착 동안 화학물질들의 제어된 분리 및 전달을 통해 저 디펙트 프로세싱을 가능하게 하는 시스템들 및 방법들
CN114514594A (zh) 包含预热喷头的低温等离子体增强化学气相沉积处理
TW202303825A (zh) 基板支持體及基板處理裝置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant