KR20170074755A - 샤워헤드 어셈블리 - Google Patents

샤워헤드 어셈블리 Download PDF

Info

Publication number
KR20170074755A
KR20170074755A KR1020160166053A KR20160166053A KR20170074755A KR 20170074755 A KR20170074755 A KR 20170074755A KR 1020160166053 A KR1020160166053 A KR 1020160166053A KR 20160166053 A KR20160166053 A KR 20160166053A KR 20170074755 A KR20170074755 A KR 20170074755A
Authority
KR
South Korea
Prior art keywords
gas
holes
gas holes
curves
substrate
Prior art date
Application number
KR1020160166053A
Other languages
English (en)
Inventor
존 윌트세
데미안 슬레빈
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20170074755A publication Critical patent/KR20170074755A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45568Porous nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • H01L21/205
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)

Abstract

반도체 기판들이 프로세싱되는 증착 장치의 샤워헤드 어셈블리의 대면 플레이트는, 대면 플레이트에 걸쳐 실질적으로 균일하거나 가변하는 홀 밀도를 가진 비대칭 패턴으로 배열된 가스 홀들을 포함한다. 대면 플레이트는 하부 벽 및 하부 벽의 외측 주변부로부터 수직으로 상측으로 연장하는 외측 벽을 포함할 수 있다. 외측 벽은 내측 플레넘이 대면 플레이트와 배면 플레이트 사이에 형성되도록 배면 플레이트의 외측 주변부에 시일링된다. 대면 플레이트 내의 가스 홀 패턴은 프로세싱된 기판들 상에 디펙트들을 유발할 수 있는 대칭을 방지한다.

Description

샤워헤드 어셈블리{SHOWERHEAD ASSEMBLY}
본 발명은 기판들을 프로세싱하기 위한 기판 프로세싱 장치들에 관한 것이고, 그리고 박막들을 증착하도록 동작 가능한 PECVD (plasma-enhanced chemical vapor deposition) 프로세싱 장치들에서 특정한 용도를 찾을 수도 있다.
기판 프로세싱 장치들은 에칭, PVD (physical vapor deposition), CVD (chemical vapor deposition), PECVD (plasma-enhanced chemical vapor deposition), ALD (atomic layer deposition), PEALD (plasma-enhanced atomic layer deposition), PDL (pulsed deposition layer), PEPDL (plasma-enhanced pulsed deposition layer), 및 레지스트 제거를 포함한 기법들에 의해, 반도체, 유리, 또는 폴리머 기판들과 같은 기판들을 프로세싱하도록 사용된다. 일 타입의 기판 프로세싱 장치는 상부 전극 및 하부 전극을 포함한 반응 챔버를 포함한 플라즈마 프로세싱 장치이고, 여기서 RF (radio frequency) 전력은 반응 챔버 내에서 기판들을 프로세싱하기 위해 프로세스 가스를 플라즈마로 여기시키도록 전극들 사이에 인가된다.
기판들을 프로세싱하기 위한 증착 장치의 샤워헤드 어셈블리의 대면 플레이트가 본 명세서에 개시된다. 대면 플레이트는 균일한 막 증착을 달성하도록 그리고 대칭인 홀 패턴들에 의해 유발된 입자 디펙트들을 방지하도록 비대칭 패턴으로 배열된 가스 홀들을 포함한다.
대면 플레이트를 제작하는 것에 관한 방법 및 샤워헤드 어셈블리를 포함한 증착 장치가 또한 본 명세서에 개시된다.
샤워헤드 어셈블리를 포함한 증착 장치 내에서 기판의 상부 표면 상에 재료를 증착하는 방법이 추가로 본 명세서에 개시된다.
도 1은 본 명세서에 개시된 실시예들에 따른, 증착 장치의 개요를 도시한 개략도를 예시한다.
도 2a는 본 명세서에 개시된 바와 같은 증착 장치의 샤워헤드 어셈블리의 실시예를 예시한다.
도 2b는 본 명세서에 개시된 바와 같은 증착 장치의 샤워헤드 어셈블리의 실시예를 예시한다.
도 3a는 본 명세서에 개시된 바와 같은 증착 장치의 샤워헤드 어셈블리의 실시예를 예시한다.
도 3b는 도 3a의 상세 A를 도시한다.
도 4는 본 명세서에 개시된 바와 같은 증착 장치의 샤워헤드 어셈블리의 실시예를 예시한다.
도 5a 및 도 5b는 에지 플레넘 홀 패턴들을 예시한다.
도 6은 바람직한 실시예에 따른 가스 홀 패턴을 예시한다.
도 7a는 가스 홀 패턴의 중심 존에서의 홀들의 보다 큰 밀도를 가진 가스 홀 패턴을 예시하고 그리고 도 7b는 가스 홀 패턴의 외측 존에서의 홀들의 보다 큰 밀도를 가진 가스 홀 패턴을 예시한다.
도 8은 Vogel 수식에 따른 홀 패턴을 예시한다.
도 9는 비대칭 가스 홀 패턴을 가진 대면 플레이트의 저면도이고 그리고 도 10은 상세 A에 따른 도 9의 대면 플레이트의 일부분을 도시한다.
도 11은 도 9에 도시된 대면 플레이트의 평면도를 도시하고 그리고 도 12a는 도 11의 선 B-B에 따른 대면 플레이트의 단면도이고 그리고 도 12b는 도 12a의 상세 C의 확대도이다.
다음의 상세한 개시에서, 예시적인 실시예들이 본 명세서에 개시된 장치 및 방법들의 이해를 제공하기 위해 제시된다. 그러나, 당업자들에게 명백한 바와 같이, 예시적인 실시예들은 이들 구체적인 상세사항들 없이 또는 대안적인 엘리먼트들 (elements) 또는 프로세스들을 사용함으로써 실시될 수도 있다. 다른 예들에서, 공지된 프로세스들, 절차들, 및/또는 컴포넌트들 (components) 은 본 명세서에 개시된 실시예들의 양태들을 불필요하게 모호하게 하지 않도록 상세히 기술되지 않았다. 도면들에서 유사한 숫자들은 유사한 엘리먼트들을 나타낸다. 본 명세서에서 사용되는 바와 같이, 용어 "약"은 ± 10 %를 지칭한다.
프로세스 가스를 진공 챔버 내로 전달하도록 그리고 반도체 기판들 상에 균일한 막들을 형성하도록 동작 가능한 샤워헤드 어셈블리가 본 명세서에 개시된다. 본 명세서에서 사용되는 바와 같이, 가스는 하나 이상의 가스들 또는 증기들, 및/또는 가스/증기 혼합물들을 포함한다. 샤워헤드 어셈블리는 프로세스 가스, 튜닝 가스, 퍼지 가스, 및/또는 이들의 조합을 공급하도록 동작 가능한 적어도 하나의 가스 소스와 유체로 연통하는 내측 플레넘을 포함한다. 바람직하게, 가스 소스는 플라즈마 증착 프로세스가 기판의 상부 표면 상에서 수행될 수도 있도록 증착 장치의 진공 챔버 내에서 플라즈마로 에너자이징될 수도 있는 가스 (예를 들어, 가스 또는 증기 혼합물) 를 공급하도록 동작 가능하다.
본 명세서에 개시된 실시예들은 바람직하게 플라즈마-향상된 화학적 증착 장치 (즉, PECVD 장치, PEALD 장치, 또는 PEPDL 장치) 와 같은 증착 장치에서 구현되지만, 실시예들은 이로 제한되지 않는다. 도 1은 본 명세서에 개시된 바와 같은 실시예들을 구현하기 위해 배치된 다양한 기판 플라즈마 프로세싱 장치 컴포넌트들을 도시한 단순한 블록도를 제공한다. 도시된 바와 같이, 기판 플라즈마 프로세싱 장치 (300) 는 내부에 하부 RF 전극 (미도시) 을 가진 기판 페데스탈 어셈블리 (320) 와 함께 작용하는, 내부에 상부 RF 전극 (미도시) 을 선택 가능하게 가진 샤워헤드 어셈블리 (314) 를 포함한 커패시터 타입 시스템에 의해 생성되는, 프로세싱 존 내의 플라즈마를 담도록 기능하는 진공 챔버 (324) 를 포함한다. 적어도 하나의 RF 생성기는 플라즈마 증착 프로세스가 진공 챔버 (324) 내에서 수행될 수도 있도록 진공 챔버 (324) 의 프로세싱 존 내로 공급된 가스를 플라즈마로 에너자이징하기 위해서 진공 챔버 (324) 내에서 기판 (316) 의 상부 표면 위의 프로세싱 존 내로 RF 에너지를 공급하도록 동작 가능하다. 예를 들어, 고-주파수 RF 생성기 (302) 및 저-주파수 RF 생성기 (304) 각각은 RF 에너지가 진공 챔버 (324) 내에서 기판 (316) 위의 프로세싱 존으로 공급될 수도 있도록 샤워헤드 어셈블리 (314) 의 상부 RF 전극에 연결된 매칭 네트워크 (306) 에 연결될 수도 있다.
매칭 네트워크 (306) 에 의해 진공 챔버 (324) 의 내부로 공급된 RF 에너지의 주파수 및 전력은 가스로부터 플라즈마를 생성하기에 충분하다. 일 실시예에서, 고-주파수 RF 생성기 (302) 및 저-주파수 RF 생성기 (304) 양자가 사용되고, 그리고 대안적인 실시예에서, 고-주파수 RF 생성기 (302) 만이 사용된다. 일 프로세스에서, 고-주파수 RF 생성기 (302) 는 약 2 내지 100 ㎒의 주파수들로; 바람직한 실시예에서 13.56 ㎒ 또는 27 ㎒의 주파수로 동작될 수도 있다. 저-주파수 RF 생성기 (304) 는 약 50 ㎑ 내지 2 ㎒로; 바람직한 실시예에서 약 350 내지 600 ㎑로 동작될 수도 있다. 프로세스 파라미터들은 챔버 체적, 기판 사이즈, 및 다른 요인들에 기초하여 스케일링될 (scaled) 수도 있다. 유사하게, 가스의 플로우 레이트들은 프로세싱 존 또는 진공 챔버의 자유 체적에 따라 결정될 수도 있다.
기판 페데스탈 어셈블리 (320) 의 상부 표면은 진공 챔버 (324) 내의 프로세싱 동안 기판 (316) 을 지지한다. 기판 페데스탈 어셈블리 (320) 는 증착 및/또는 플라즈마 처리 프로세스들 전, 동안, 그리고/또는 후에 기판을 상승시키고 하강시키기 위한 리프트 핀들 및/또는 기판을 홀딩하기 위한 척을 포함할 수 있다. 대안적인 실시예에서, 기판 페데스탈 어셈블리 (320) 는 증착 및/또는 플라즈마 처리 프로세스들 전, 동안, 그리고/또는 후에 기판을 상승시키고 하강시키기 위한 캐리어 링을 포함할 수 있다. 척은 산업 및/또는 연구에서의 사용을 위해 이용 가능한 바와 같은 정전 척, 기계 척, 또는 다양한 다른 타입들의 척일 수도 있다. 정전 척을 포함한 기판 페데스탈 어셈블리를 위한 리프트 핀 어셈블리의 상세들은 전체가 참조로 본 명세서에 인용되는 공동으로-양도된 미국 특허 제 8,840,754 호에서 발견될 수 있다. 기판 페데스탈 어셈블리를 위한 캐리어 링의 상세들은 전체가 참조로 본 명세서에 인용되는 공동으로-양도된 미국 특허 제 6,860,965 호에서 발견될 수 있다. 후면 가스 공급부 (341) 는 프로세싱 동안 기판 페데스탈 어셈블리 (320) 를 통해 기판의 하부 표면 아래의 구역으로 열 전달 가스 또는 퍼지 가스를 공급하도록 동작 가능하다. 기판 페데스탈 어셈블리 (320) 는 내부에 하부 RF 전극을 포함할 수 있고, 하부 RF 전극은 바람직하게 프로세싱 동안 접지되지만, 대안적인 실시예에서, 프로세싱 동안 RF 에너지가 하부 RF 전극에 공급될 수도 있다.
기판 플라즈마 프로세싱 장치 (300) 의 진공 챔버 (324) 내에서 기판을 프로세싱하기 위해서, 가스들이 가스 소스 (362) 로부터 진공 챔버 (324) 내로 유입부 (312) 및 샤워헤드 어셈블리 (314) 를 통해 도입되고, 가스는 막이 기판의 상부 표면 상에 증착될 수도 있도록 RF 에너지를 사용하여 플라즈마로 형성된다. 일 실시예에서, 가스 소스 (362) 는 가열된 매니폴드 (308) 에 연결되는 복수의 가스 선들 (310) 을 포함할 수 있다. 가스들은 미리 혼합되거나 챔버로 개별적으로 공급될 수도 있다. 적절한 밸브 및 질량 유량 제어 메커니즘들은 적절한 가스들이 기판 프로세싱 동안 샤워헤드 어셈블리 (314) 를 통해 전달된다는 것을 보장하도록 채용된다. 프로세싱 동안, 후면 열 전달 가스 또는 퍼지 가스는 기판 페데스탈 어셈블리 (320) 상에 지지된 기판의 하부 표면 아래의 구역으로 선택 가능하게 공급된다. 바람직하게, 프로세싱은 CVD (chemical vapor deposition) 프로세싱, PECVD (plasma-enhanced chemical vapor deposition) 프로세싱, ALD (atomic layer deposition) 프로세싱, PEALD (plasma-enhanced atomic layer deposition) 프로세싱, PDL (pulsed deposition layer) 프로세싱, 또는 PEPDL (plasma-enhanced pulsed deposition layer) 프로세싱 중 적어도 하나이다.
특정한 실시예들에서, 시스템 제어기 (162) 는 증착, 증착 후 처리들, 및/또는 다른 프로세스 동작들 동안 프로세스 조건들을 제어하도록 채용된다. 제어기 (162) 는 통상적으로 하나 이상의 메모리 디바이스들 및 하나 이상의 프로세서들을 포함할 것이다. 프로세서는 CPU 또는 컴퓨터, 아날로그 및/또는 디지털 입력/출력 접속부들, 스텝퍼 모터 제어기 보드들, 등을 포함할 수도 있다.
특정한 실시예들에서, 제어기 (162) 는 장치의 모든 액티비티들을 제어한다. 시스템 제어기 (162) 는 프로세싱 동작들의 타이밍, 저-주파수 RF 생성기 (304) 및 고-주파수 RF 생성기 (302) 의 동작들의 전력 및 주파수, 전구체들 및 불활성 가스들 및 이들과 연관된 혼합물의 플로우 레이트들 및 온도들, 샤워헤드 어셈블리 (314) 의 플라즈마 노출된 표면 및 기판 페데스탈 어셈블리 (320) 의 상부 표면 상에 지지된 기판 (316) 의 온도, 진공 챔버 (324) 의 압력, 및 특정한 프로세스의 다른 파라미터들을 제어하기 위한 인스트럭션들의 세트들을 포함한 시스템 제어 소프트웨어를 실행한다. 제어기와 연관된 메모리 디바이스들 상에 저장된 다른 컴퓨터 프로그램들이 일부 실시예들에서 채용될 수도 있다.
통상적으로 제어기 (162) 와 연관된 사용자 인터페이스가 있을 것이다. 사용자 인터페이스는 디스플레이 스크린, 장치 및/또는 프로세스 조건들의 그래픽 소프트웨어 디스플레이들, 및 포인팅 디바이스들, 키보드들, 터치 스크린들, 마이크로폰들, 등과 같은 사용자 입력 디바이스들을 포함할 수도 있다.
비일시적인 컴퓨터 머신-판독가능 매체가 장치의 제어를 위한 프로그램 인스트럭션들을 포함할 수 있다. 프로세싱 동작들을 제어하기 위한 컴퓨터 프로그램 코드는 예를 들어 어셈블리 언어, C, C++, 파스칼, 포트란, 또는 다른 것들과 같은 임의의 통상적인 컴퓨터 판독 가능한 프로그래밍 언어로 작성될 수 있다. 컴파일링된 객체 코드 또는 스크립트가 프로그램 내에서 식별된 태스크들을 수행하도록 프로세서에 의해서 실행된다.
제어기 파라미터들은 예를 들어, 프로세싱 단계들의 타이밍, 전구체들 및 불활성 가스들의 플로우 레이트들 및 온도들, 기판의 온도, 챔버의 압력 및 특정한 프로세스의 다른 파라미터들과 같은 프로세스 조건들에 관한 것이다. 이러한 파라미터들은 레시피의 형태로 사용자에게 제공되며, 사용자 인터페이스를 활용하여 입력될 수도 있다.
프로세스를 모니터링하기 위한 신호들은 시스템 제어기의 아날로그 및/또는 디지털 입력 접속부들에 의해 제공될 수도 있다. 프로세스를 제어하기 위한 신호들은 장치의 아날로그 및 디지털 출력 접속부들 상에 출력된다.
시스템 소프트웨어는 많은 상이한 방식들로 설계되거나 구성될 수도 있다. 예를 들어, 다양한 챔버 컴포넌트 서브루틴들 또는 제어 객체들이 증착 프로세스들을 수행하는데 필요한 챔버 컴포넌트들의 동작을 제어하도록 작성될 수도 있다. 이러한 목적을 위한 프로그램들 또는 프로그램들의 섹션들의 예들은 기판 프로세싱 단계들의 타이밍 코드, 전구체들 및 불활성 가스들의 플로우 레이트들 및 온도들 코드, 및 진공 챔버 (324) 의 압력 코드를 포함한다.
도 2a 및 도 2b는 본 명세서에 개시된 바와 같은 에지 플레넘 샤워헤드 어셈블리 (샤워헤드 어셈블리) (314) 의 실시예들의 단면들을 예시한다. 도 2a 및 도 2b 양자를 참조하면, 샤워헤드 어셈블리 (314) 는 대면 플레이트 (240) 및 배면 플레이트 (230) 를 포함한다. 배면 플레이트 (230) 는 제 1 가스 유입부 (278) 및 제 2 가스 유입부 (279) 를 갖고, 제 1 가스 유입부 (278) 및 제 2 가스 유입부 (279) 를 통해 제 1 및 제 2 가스들 각각이 샤워헤드 어셈블리 (314) 에 공급될 수도 있다. 대면 플레이트 (240) 는 하부 (하단) 벽 (241) 및 하부 벽 (241) 의 외측 주변부로부터 수직으로 상측으로 연장하는 외측 (측면) 벽 (242) 을 갖는다. 내측 플레넘 (250) 및 에지 플레넘 (255) 이 대면 플레이트 (240) 와 배면 플레이트 (230) 사이에 형성되도록 외측 벽 (242) 은 배면 플레이트 (230) 의 외측 주변부 (232) 에 시일링될 수 있다. 배면 플레이트 (230) 의 외측 주변부 (232) 는 대면 플레이트 (240) 의 외측 벽 (242) 에 바람직하게 야금술로 본딩 (즉, 용접, 납땜, 확산 본딩, 등) 된다. 외측 벽 (242) 은 하부 벽 (241) 에 야금술로 본딩 (즉, 용접, 납땜, 확산 본딩, 등) 되거나, 그렇지 않으면, 대면 플레이트 (240) 는 단일의 피스로 제조될 수 있다.
대면 플레이트 (240) 는 제 1 가스가 기판의 프로세싱 동안 제 1 가스 전달 구역 (214) 을 통해 공급될 수도 있도록 내측 플레넘 (250) 을 통해 제 1 가스 유입부 (278) 와 유체로 연통하는 제 1 가스 전달 구역 (214), 및 제 2 가스가 기판의 프로세싱 동안 제 2 가스 전달 구역 (215) 을 통해 공급될 수도 있도록 에지 플레넘 (255) 을 통해 제 2 가스 유입부 (279) 와 유체로 연통하는 제 2 가스 전달 구역 (215) 을 포함한다. 일 실시예에서, 도 2a에 예시된 바와 같이, 제 1 및 제 2 가스 전달 구역들 (214, 215) 은 대면 플레이트 (240) 의 하부 벽 (241) 내에 형성되고, 하부 벽 (241) 과 유체로 연통하는, 내측 플레넘 및 에지 플레넘 각각에 공급된 제 1 및 제 2 가스들이 제 1 및 제 2 가스 전달 구역들 (214, 215) 을 통해 공급될 수도 있도록, 하부 벽 (241) 은 금속 재료, 반도체 재료, 또는 세라믹 재료로 이루어진다. 일 실시예에서, 하부 벽 (241) 은 외측 벽 (242) 에 야금술로 본딩되는 알루미늄 재료로 형성될 수 있다.
도 2b에 도시된 바와 같이, 제 1 가스 전달 구역 (214) 은 하부 벽 (241) 의 하부 표면 (254) 및 상부 표면 (245) 을 통해 연장하는 제 1 그룹의 가스 주입 홀들 (243) 을 포함할 수 있고 그리고 제 2 가스 전달 구역 (215) 은 하부 벽 (241) 의 하부 표면 (254) 및 외측 벽 (242) 의 상부 표면을 통해 연장하는 제 2 그룹의 가스 주입 홀들 (244) 을 포함할 수 있다. 배면 플레이트 (230) 의 제 1 가스 유입부 (278) 는 내측 플레넘 (250) 을 통해 제 1 그룹의 가스 주입 홀들 (243) 과 유체로 연통하고, 그리고 제 2 가스 유입부 (279) 는 에지 플레넘 (255) 을 통해 제 2 그룹의 가스 주입 홀들 (244) 과 유체로 연통한다. 내측 및 에지 플레넘들 (250, 255) 은 서로 유체로 연통하지 않고, 그리고 제 1 그룹의 가스 주입 홀들 (243) 및 제 2 그룹의 가스 주입 홀들 (244) 은 공간적으로-인터레이싱되지 (interlaced) 않는다.
일 실시예에서, 도 2a에 도시된 바와 같이, 제 2 가스가 에지 플레넘 (255) 에 공급될 수도 있도록 제 2 가스 유입부 (279) 는 배면 플레이트 (230) 의 외측 주변부 (232) 내에 위치될 수도 있다. 일 실시예에서, 제 2 가스는 2개 이상의 제 2 가스 유입부들 (279) 에 의해 에지 플레넘 (255) 에 공급될 수도 있다. 대안적인 실시예에서, 도 2b에 도시된 바와 같이, 제 2 가스 유입부 (279) 는 배면 플레이트 (230) 의 외측 주변부 (232) 의 내측을 가로질러 위치될 수도 있고, 배면 플레이트 (230) 는 제 2 가스 유입부 (279) 및 에지 플레넘 (255) 과 유체로 연통하는 적어도 하나의 가로질러 연장하는 가스 통로 (231) 를 포함한다. 바람직하게, 이 실시예에서, 제 2 가스 유입부 (279) 는, 가스가 제 2 가스 유입부 (279) 를 통해 4 개의 가로질러 연장하는 가스 통로들 (231) 에 공급될 때 배면 플레이트 (230) 의 가로질러 연장하는 가스 통로들 (231) 각각을 통해 흐르는 가스 사이의 압력 차를 감소시키도록 동작 가능한 디퓨저 (270) 를 통해 적어도 4 개의 가로질러 연장하는 가스 통로들 (231) 과 유체로 연통한다.
일 실시예에서, 도 2a에 도시된 바와 같이, 대면 플레이트 (240) 의 외측 벽 (242) 은 외측 벽 (242) 의 상부 표면 내에 환형 채널을 포함할 수 있고, 에지 플레넘 (255) 은 환형 채널 (285) 의 표면들과 배면 플레이트 (230) 의 외측 하부 표면 (233) 사이에 형성된다. 대안적인 실시예에서, 도 2b에 도시된 바와 같이, 대면 플레이트 (240) 의 외측 벽 (242) 은 외측 벽 (242) 의 상부 표면 내에 환형 채널 (285) 을 포함할 수 있고 그리고 배면 플레이트 (230) 는 배면 플레이트 (230) 의 외측 하부 표면 (233) 내에 마주보는 환형 채널 (286) 을 포함할 수 있고, 에지 플레넘 (255) 은 마주보는 환형 채널들 (285, 286) 의 표면들 사이의 환형 공간을 포함한다.
도 3a, 도 3b 및 도 4는 본 명세서에 개시된 바와 같은 에지 플레넘 샤워헤드 어셈블리 (샤워헤드 어셈블리) (314) 의 실시예들의 단면들을 예시하고, 에지 플레넘 샤워헤드 어셈블리 (314) 는 스템 (220) 을 포함한다. 샤워헤드 어셈블리 (314) 의 스템 (220) 은 배면 플레이트 (230) 의 제 1 가스 유입부 (278) 와 유체로 연통하는 스템 (220) 을 통해 수직으로 연장하는 제 1 가스 통로 (221) 를 갖는다. 일 실시예에서, 스템 (220) 은 또한 배면 플레이트 (230) 의 제 2 가스 유입부 (279) 와 유체로 연통하는 스템 (220) 을 통해 수직으로 연장하는 제 2 가스 통로 (222) 를 포함할 수 있다. 샤워헤드 어셈블리 (314) 가 증착 장치의 진공 챔버 내에 장착될 때, 제 1 가스가 샤워헤드 어셈블리 (314) 를 통해 진공 챔버에 공급될 수도 있도록 제 1 가스 통로 (221) 는 제 1 가스 소스 (362a) 와 유체로 연통하고 그리고 제 2 가스가 샤워헤드 어셈블리 (314) 를 통해 진공 챔버에 공급될 수도 있도록 제 2 가스 통로 (222) 는 제 2 가스 소스 (362b) 와 유체로 연통한다.
배면 플레이트 (230) 는 스템 (220) 의 하부 단부로부터 가로질러 외측으로 연장한다. 일 실시예에서, 배면 플레이트 (230) 및 스템 (220) 은 모놀리식 피스로서 형성될 수 있거나, 그렇지 않으면, 배면 플레이트 (230) 는 스템 (220) 의 하부 단부에 야금술로 본딩 (즉, 용접, 납땜, 또는 확산 본딩) 될 수도 있고, 배면 플레이트 (230) 는 스템 (220) 의 제 1 가스 통로 (221) 와 유체로 연통하는 제 1 가스 유입부 (278) 를 포함할 수 있다. 배면 플레이트 (230) 는 제 2 가스 유입부 (279) 를 통해 스템 (220) 의 제 2 가스 통로 (222) 와 유체로 연통하는 적어도 하나의 가로질러 연장하는 가스 통로 (231) 를 포함한다. 바람직하게, 배면 플레이트 (230) 는 적어도 4 개의 가로질러 연장하는 가스 통로들 (231), 적어도 6 개의 가로질러 연장하는 가스 통로들 (231), 적어도 8 개의 가로질러 연장하는 가스 통로들, 또는 적어도 10 개의 가로질러 연장하는 가스 통로들 (231) 을 포함한다. 바람직하게 가로질러 연장하는 가스 통로들 (231) 은 배면 플레이트 (230) 주위에 등거리로 이격된다.
샤워헤드 어셈블리 (314) 는 하부 벽 (241) 및 하부 벽 (241) 의 외측 주변부로부터 수직으로 상측으로 연장하는 외측 벽 (242) 을 포함한 대면 플레이트 (240) 를 포함하고, 외측 벽은 내측 표면 (247) 을 포함한다. 외측 벽 (242) 의 축방향 두께 (즉, 높이) 는 외측 벽 (242) 의 내측의 하부 벽 (241) 의 축방향 두께보다 보다 두껍다. 바람직하게, 외측 벽 (242) 의 축방향 두께는 외측 벽 (242) 의 내측의 하부 벽 (241) 의 축방향 두께보다 적어도 2배 두껍다. 하부 벽 (241) 은 바람직하게 외측 벽 (242) 의 내측에 균일한 축방향 두께를 갖는다. 내측 플레넘 (250) 및 에지 플레넘 (255) 이 대면 플레이트 (240) 와 배면 플레이트 (230) 사이에 형성되도록 외측 벽 (242) 은 배면 플레이트 (230) 의 외측 주변부 (232) 에 시일링된다. 바람직하게 외측 벽 (242) 은 배면 플레이트 (230) 의 외측 주변부 (232) 에 야금술로 본딩된다. 대면 플레이트 (240) 는 하부 벽 (241) 의 상부 표면 (245) 및 하부 표면 (254) 을 통해 연장하는 제 1 그룹의 가스 주입 홀들 (243) 및 하부 벽 (241) 의 하부 표면 (254) 및 외측 벽 (242) 의 상부 표면을 통해 연장하는 제 2 그룹의 가스 주입 홀들 (244) 을 포함한다. 스템 (220) 의 제 1 가스 통로 (221) 는 내측 플레넘 (250) 을 통해 제 1 그룹의 가스 주입 홀들 (243) 과 유체로 연통하고, 그리고 적어도 하나의 가로질러 연장하는 가스 통로 (231) 는 에지 플레넘 (255) 을 통해 제 2 그룹의 가스 주입 홀들 (244) 과 유체로 연통한다. 내측 플레넘 (250) 은 에지 플레넘 (255) 과 유체로 연통하지 않는다.
내측 플레넘 (250) 은 배면 플레이트 (230) 의 외측 하부 표면 (233), 대면 플레이트 (240) 의 하부 벽 (241) 의 상부 표면 (245), 그리고 대면 플레이트 (240) 의 외측 벽 (242) 의 내측 표면 (247) 의 하부 내측 표면 (246) 사이에 위치된다. 도 3b를 참조하면, 외측 벽 (242) 은 외측 벽 (242) 의 상부 표면 내에 환형 채널 (285) 을 포함할 수 있고, 에지 플레넘 (255) 은 환형 채널 (285) 의 표면들과 배면 플레이트 (230) 의 외측 하부 표면 (233) 사이에 형성된다. 대안적인 실시예에서, 배면 플레이트 (230) 는 배면 플레이트 (230) 의 외측 하부 표면 (233) 내에 마주보는 환형 채널 (286) 을 포함할 수 있고, 에지 플레넘 (255) 은 마주보는 환형 채널들 (285, 286) 의 표면들 사이의 환형 공간을 포함한다.
추가의 실시예에서, 도 4에 예시된 바와 같이, 외측 벽 (242) 의 내측 표면 (247) 은 상부 수직 표면 (298), 하부 수직 표면 (246a), 그리고 상부 수직 표면 (298) 과 하부 수직 표면 (246a) 사이에서 연장하는 수평 표면 (297) 을 포함할 수 있다. 에지 플레넘 (255) 은 배면 플레이트의 외측 표면 (295), 커버 플레이트의 하부 표면 (296), 수평 표면 (297), 및 상부 수직 표면 (298) 사이에 형성된다. 일 실시예에서, 배면 플레이트 (230) 의 하부 부분 (234) 과 매이팅하고 (mate) 배면 플레이트 (230) 의 하부 부분 (234) 에 야금술로 본딩 (예를 들어, 용접) 될 수도 있는 플랜지 (247a) 를 형성하도록 외측 벽 (242) 의 하부 내측 표면 (246) 은 하부 수직 표면 (246a) 을 가로질러 외측에 있을 수 있다. 커버 플레이트 (260) 는 배면 플레이트 (230) 의 외측 주변부 (232) 의 상부 부분 (235) 및 외측 벽 (242) 의 상부 단부 (248) 에 시일링될 수 있다. 바람직하게 커버 플레이트 (260) 는 배면 플레이트 (230) 의 외측 주변부 (232) 의 상부 부분 (235) 및 외측 벽 (242) 의 상부 단부 (248) 에 야금술로 본딩 (예를 들어, 용접) 된다.
도 3a, 도 3b, 및 도 4를 다시 참조하면, 제 2 그룹의 가스 주입 홀들 (244) 의 가스 주입 홀 각각의 상부 부분 (249a) 은 각각의 하부 부분들 (249b) 보다 보다 큰 직경을 갖는다. 일 실시예에서, 제 2 그룹의 가스 주입 홀들 (244) 의 가스 주입 홀 각각의 하부 부분들 (249b) 의 길이는 제 1 그룹의 가스 주입 홀들 (243) 의 가스 주입 홀 각각의 길이와 적어도 거의 동일할 수 있다. 일 실시예에서, 제 2 그룹의 가스 주입 홀들 (244) 의 가스 주입 홀 각각의 각각의 하부 부분들 (249b) 의 직경은 제 1 그룹의 가스 주입 홀들 (243) 의 각각의 가스 주입 홀들의 직경과 적어도 거의 동일할 수 있다.
도 12a에 도시된 바와 같이, 대면 플레이트 (240) 는 하부 벽 (241) 으로부터 수직으로 상측으로 연장하는 내측 플레넘 (250) 내의 복수의 포스트들 (291) 을 포함하고, 포스트들 (291) 의 상부 단부들은 포스트들 (291) 의 상부 단부들이 위치되는 배면 플레이트 (230) 의 대응하는 개구들 (292) (도 4 참조) 에 용접된다. 도 12b는 포스트들이 대면 플레이트 (240) 의 하단 벽의 상부 표면에서 직경이 보다 작은 것을 알 수 있는 도 12a의 상세 C의 확대도이다. 포스트들 (291) 은 샤워헤드 어셈블리를 강화시키고 그리고 대면 플레이트로부터 배면 플레이트로의 열 전도를 위한 경로를 제공한다. 이것은 프로세스 균일성을 위해 유리한 균일한 대면 플레이트 온도를 보장하는 것을 돕는다. 일부 실시예들에서, 포스트들은 밀접하게 이격된 대면 플레이트 홀들을 피팅하도록 테이퍼질 수도 있지만 (대면 플레이트 측에서 보다 작고 그리고 배면 플레이트 측에서 보다 큼), 여전히 열 전도를 용이하게 하도록 큰 유효 단면적을 제공한다. 300 mm 웨이퍼들을 프로세싱하기 위해, 포스트들 (291) 의 수는 2 내지 30의 범위일 수 있고, 바람직하게 10 내지 20 개의 포스트들은 대면 플레이트의 중심 및 외측 주변부 사이에 위치된 하나 이상의 환형 존들에서 원주형으로 이격된다. 배플 (280) 은 바람직하게 샤워헤드 어셈블리 (314) 의 내측 플레넘 (250) 내에 배치된다. 배플 (280) 은 내측 플레넘 (250) 전반에 걸쳐 샤워헤드 어셈블리 (314) 에 공급된 가스를 고르게 분배하도록 동작 가능하다. 대면 플레이트 (240), 배면 플레이트 (230), 및 스템 (220) 은 바람직하게 알루미늄 합금, 예컨대, 4047, 6061-T6, 또는 다른 적합한 재료로 형성된다.
이제 도 4를 참조하면, 배면 플레이트 (230) 는 배면 플레이트 (230) 의 외측 하부 표면 (233) 의 리세스에 야금술로 본딩된 (예를 들어, 용접된) 디퓨저 (270) 를 포함할 수 있다. 디퓨저 (270) 는 스템 (220) 의 제 2 가스 통로 (222) 및 배면 플레이트 (230) 의 가로질러 연장하는 가스 통로들 (231) 과 유체로 연통한다. 가스가 스템 (220) 의 제 2 가스 통로 (222) 로부터 가로질러 연장하는 가스 통로들 (231) 에 공급될 때 배면 플레이트 (230) 의 가로질러 연장하는 가스 통로들 (231) 각각을 통해 흐르는 가스 사이의 압력 차를 감소시키도록 디퓨저 (270) 가 가스를 스템 (220) 의 제 2 가스 통로 (222) 로부터 가로질러 연장하는 가스 통로들 (231) 로 골고루 공급하도록 동작 가능하다.
디퓨저 (270) 는 스템 (220) 의 제 2 가스 통로 (222) 와 유체로 연통하는 내측 가스 개구들 (272) 의 그룹 및 배면 플레이트 (230) 의 가로질러 연장하는 가스 통로들 (231) 각각과 유체로 연통하는 외측 가스 개구들 (273) 의 그룹을 가진 상부 표면을 포함한다. 내측 가스 개구들 (272) 의 그룹은 디퓨저의 상부 표면으로부터 수직으로 상측으로 연장하는 벽 (274) 에 의해 외측 가스 개구들 (273) 의 그룹으로부터 분리된다. 내측 가스 개구들 (272) 의 그룹은 디퓨저 (270) 의 상부 표면 아래에 있는 디퓨저 (270) 의 채널 (275) 을 통해 외측 가스 개구들 (273) 의 그룹과 유체로 연통한다. 내측 가스 개구들 (272) 의 그룹 및 외측 가스 개구들 (273) 의 그룹은, 가스가 스템 (220) 의 제 2 가스 통로 (222) 로부터 가로질러 연장하는 가스 통로들 (231) 에 공급될 때 배면 플레이트 (230) 의 가로질러 연장하는 가스 통로들 (231) 각각을 통해 흐르는 가스 사이의 압력 차를 감소시키도록 구성된다.
일 실시예에서, 디퓨저 (270) 는 C-형상의 링일 수 있다. C-형상의 링의 마주보는 단부들 사이의 공간은 내부에 온도 프로브를 포함하는 배면 플레이트 (230) 의 일부분을 둘러싸도록 배치된다. 바람직하게, 내부에 온도 프로브를 포함하는 배면 플레이트 (230) 의 부분은, 내부에 용접되는 포스트 (291) 의 상부 부분을 포함한 소켓 (290) 을 형성한다. 하부 벽 (241) 의 온도가 측정될 수도 있도록 온도 프로브는 포스트 (291) 의 상부 부분과 열로 연통한다. 일 실시예에서, 내측 플레넘 (250) 내에 배치된 배플 (280) 은 배면 플레이트 (230) 의 소켓 (290) 을 둘러싸는 카브 아웃 (carve out) (294) 을 포함할 수 있다.
일 실시예에서, 대면 플레이트 (240) 의 하부 벽 (241) 내의 제 1 그룹의 가스 주입 홀들 (243) 은 홀들의 비대칭 패턴으로 배치될 수도 있고, 여기서 홀들은 6각형, 방사상 또는 동심원의 홀 패턴들과 같은 대칭 홀 패턴들에서 발생할 수 있는 입자 디펙트 문제들을 방지하도록 비-방사상 그리고 비-동심원의 패턴으로 배치된다. 따라서, 도 5a 및 도 5b에 도시된 바와 같은 동심원의 원들, 방사상의 선들의 홀 패턴 또는 6각형의 홀들의 패턴보다는, 대면 플레이트 (240) 의 하부 벽 (241) 내의 제 1 그룹의 가스 주입 홀들 (243) 은 홀들의 비대칭 패턴으로 배치될 수도 있고, 여기서 홀들은 도 6에 도시된 바와 같이, 대면 플레이트의 중심의 외측의 위치들에서 교차하는 곡선들을 따라 이격된다.
제 2 그룹의 가스 주입 홀들 (244) 은 하나 이상의 동심원의 행들로 배치될 수도 있다. 대안적인 실시예에서, 도 5b에 예시된 바와 같이, 제 2 그룹의 가스 주입 홀들 (244) 은 6각형의 패턴으로 배치될 수 있다. 제 1 그룹의 가스 주입 홀들 (243) 및 제 2 그룹의 가스 주입 홀들 (244) 의 가스 주입 홀 패턴들, 가스 주입 홀 면 밀도, 그리고 가스 주입 홀들의 치수들은, 수행될 소정 프로세스에 대해 미리 결정될 수 있다. 일 실시예에서, 제 1 그룹의 가스 주입 홀들 (243) 은 약 3,000 내지 20,000 개 또는 그 이상의 가스 주입 홀들을 포함하고 그리고 제 2 그룹의 가스 주입 홀들 (244) 은 약 100 내지 약 2,000 개 또는 그 이상의 가스 주입 홀들을 포함한다.
또한, 증착 장치 내에서 기판의 상부 표면 상에 재료를 증착하는 방법이 본 명세서에 개시된다. 방법은 증착 장치의 진공 챔버 내에 배치되는 기판 페데스탈 어셈블리의 상부 표면 상에 기판을 지지하는 단계를 포함한다. 제 1 가스는 제 1 가스 소스로부터 샤워헤드 어셈블리를 통해 기판의 상부 표면 위에 있는 진공 챔버의 내측 프로세싱 존으로 공급된다. 제 1 가스는 샤워헤드 어셈블리의 내측 플레넘을 통해 프로세싱 존으로 공급된다. 제 1 가스가 기판의 상부 표면 위의 내측 프로세싱 존으로 공급되도록 내측 플레넘은 샤워헤드 어셈블리의 대면 플레이트의 하부 벽의 상부 및 하부 표면을 통해 연장하는 제 1 그룹의 가스 주입 홀들과 유체로 연통한다.
제 2 가스는 제 2 가스 소스로부터 샤워헤드 어셈블리를 통해 기판의 상부 표면 위의 진공 챔버의 프로세싱 존으로 동시에 공급된다. 제 2 가스는 샤워헤드 어셈블리의 에지 플레넘을 통해 외측 프로세싱 존으로 공급된다. 제 2 가스가 기판의 상부 표면 위의 외측 프로세싱 존으로 공급될 수 있도록 에지 플레넘은 샤워헤드 어셈블리의 대면 플레이트의 하부 벽의 하부 표면 및 하부 벽의 외측 주변부로부터 수직으로 상측으로 연장하는 외측 벽의 상부 표면을 통해 연장하는 제 2 그룹의 가스 주입 홀들과 유체로 연통한다. 제 1 가스 또는 제 1 가스와 제 2 가스는 플라즈마로 에너자이징되고, 제 1 가스로부터 생성된 플라즈마는 제 2 가스를 공급하여 국부적으로 수정되고 그리고 재료는 기판의 상부 표면 상에 균일하게 증착된다. 제 2 가스를 공급하여 외측 프로세싱 존 내의 제 1 가스로부터 생성된 플라즈마를 국부적으로 수정하는 단계는, 바람직하게 외측 프로세싱 존으로 하나 이상의 불활성 가스들을 공급함으로써 외측 프로세싱 존 내에 생성된 플라즈마를 향상시키거나 억제하는 단계 또는 외측 프로세싱 존으로 불활성 튜닝 가스를 공급하는 단계를 포함한다.
또한, 증착 장치 내에서 기판의 상부 표면 상에 재료를 증착하는 방법이 본 명세서에 개시된다. 방법은 증착 장치의 진공 챔버 내에 배치되는 기판 페데스탈 어셈블리의 상부 표면 상에 기판을 지지하는 단계를 포함한다. 제 1 가스는 진공 챔버의 내측 존으로 공급된다. 제 1 가스는 플라즈마로 에너자이징된다. 기판의 에지 구역 상에서 재료의 증착 레이트를 변경하도록 프로세싱될 기판의 에지 구역의 부근의 플라즈마를 조절하기 위해 진공 챔버의 에지 존으로 제 2 가스가 공급된다. 바람직하게, 플라즈마 조절의 효과의 대부분은 기판의 측면 범위의 외측 25 % 내에서 발생한다. 보다 바람직하게, 플라즈마는 기판의 측면 범위의 외측 20 % 이상으로 조절된다. 플라즈마의 조절은, 이온 플러스, 에너지, 또는 플라즈마 및/또는 이온들의 종, 중성자들, 라디칼들 또는 이들의 컴포넌트들을 변경하는 것을 포함한다.
6각형의 홀 패턴을 가진 샤워헤드를 사용하여 프로세싱된 웨이퍼들은 대칭의 홀 패턴의 선들을 따라 있는 입자 디펙트들을 갖기 쉽다. 디펙트 문제는 6각형의 홀 패턴에 의해 유발된 비-균일한 플로우를 가진 프로세스 가스들에 기인하여 나타난다. 이 디펙트 문제를 최소화하거나 방지하도록, 홀 패턴은 (a) 방사상의 선을 따라 선들의 대칭을 방지하도록 설계될 수 있고, (b) 홀들 사이의 큰 갭들 없이 비-균일한 패턴으로 배치될 수 있고, 그리고/또는 (c) 홀들은 히트 댐들/배리어들을 생성하도록 빽빽하게 배치되지 않을 수 있다.
바람직한 실시예에 따라, 홀 패턴은 샤워헤드의 대면 플레이트 상에 홀들을 균일하게 분포시키도록 Vogel의 방법에 따라 배치된다. Vogel은 2 개의 수식들:
Figure pat00001
및 θn=n*137.508°을 따르는 해바라기 머리부의 엘리먼트들에 대한 모델을 제안했다. 이 방법을 사용함으로써, 샤워헤드 상의 홀들은 대칭의 선들이 완전히 없는 (비대칭인) 비대칭 홀 패턴으로 균일하게 분포될 수 있다. 예시적인 홀 패턴이 도 6에 도시되고, 홀들은 비대칭 패턴으로 배치되고, 홀들 (243) 은 시계 방향 및 반시계 방향으로 외측으로 연장하는 곡선들을 따라 이격된다. 예를 들어, 점선들 C는 시계 방향 곡선들을 예시하고 그리고 점선들 CC는 반시계 방향 곡선들을 예시한다. 일 실시예에서, 홀 패턴은 시계 방향 곡선들 (나선들) 의 수 및 반시계 방향 곡선들 (나선들) 의 수를 갖고, 시계 방향 나선들의 수 및 반시계 방향 나선들의 수는 Fibonacci 수들 또는 Fibonacci 수들의 배수들이다. 예를 들어, 시계 방향 나선들의 수 및 반시계 방향 나선들의 수는 쌍 (m, n) 으로서 (3, 5), (5, 8), (8, 13), (13, 21), (21, 34), (34, 55), (55, 89), (89, 144) 이거나 이러한 쌍들의 배수일 수 있다. 또 다른 실시예에서, 시계 방향 나선들의 수 및 반시계 방향 나선들의 수는 황금 비에 수렴하는 비의 임의의 수들이고, 황금 비는 1 더하기
Figure pat00002
의 합 나누기 2와 같고, (1+
Figure pat00003
)/2는 대략 1.6180339887 (또는 대략 1.6180) 과 같다. 특정한 실시예에서, 반시계 방향 나선들에 대한 시계 방향 나선들의 비는 대략 황금 비와 같다. 연이은 점들 사이의 발산 각이 137.508°와 같은 황금 각에 도달하는 고정된 Fibonacci 각인 나선 또는 "Fibonacci 나선"의 일 타입인, Vogel의 모델. Vogel 방법의 논의는 Vogel, H (1979) "A better way to construct the sunflower head", Mathematical Biosciences, 44 (44): 179-189. Doi.10.1016/0025-5564(79)90080-4에서 발견될 수 있다. 또한, 미국 공개된 출원 제 2013/0260656 호는 연마용 물품의 어퍼처 패턴과 관련하여 Fibonacci 수식 및 Vogel 수식의 논의를 포함한다.
이 방법에 대한 추가의 이점은 여전히 홀들을 방위각으로 고르게 분포시키면서 가변 홀 밀도를 제공하도록 손쉽게 구성될 수 있다는 것이다. 이 경우에, 수식들은
Figure pat00004
및 θ =n*137.508°이고, 여기서 ρ(rn) 은 반경의 함수로서 홀 밀도이다. 도 7a는 중심에서 2X 밀도를 가진 홀 패턴들에 적용된 이 방법을 도시하고 그리고 도 7b는 외부에서 2X 밀도를 가진 홀 패턴을 도시한다. 이들 예들은 단지 예시를 위한 것이고 다른 가변 밀도 홀 패턴들이 사용될 수 있다는 것이 이해되어야 한다.
6각형의 홀 패턴을 가진 샤워헤드들에서, 6각형의 홀 패턴의 6 개의 방사상의 선들의 대칭은 상기에 설명된 바와 같이, 디펙트 패턴들을 야기할 수도 있다. 두번째 단점은 홀들이 균일하게 분포되지 않는다는 것이다 - 임의의 홀들 없이 6 각형 각각의 중심에 큰 빈 영역이 있다. 최종적으로, 세번째 단점은 가변 홀 밀도를 허용하도록 손쉽게 구성될 수 없다는 것이다. 동심원의 홀 패턴을 가진 샤워헤드들에서, 패턴이 방사상의 선들의 대칭을 방지하도록 설계될 수 있지만, 일 단점은 홀들이 고르게 이격되지 않는다는 것이다. 일반적으로 방사상의 간격 및 방위각의 간격은 상이하다. 두번째 단점은 방위각의 간격이 너무 타이트하다면, 히트 댐 (또는 배리어) 이 형성될 수 있다는 것이다. 이것이 발생할 때, 열 전도도는 감소되고 그리고 샤워헤드의 온도는 방사상으로 가변한다.
본 명세서에 개시된 비대칭 홀 패턴은 상기에 약술된 문제들을 해결할 수 있다. 첫째로, 비대칭 홀 패턴은 방사상의 선들의 대칭을 방지한다. 바람직한 실시예에서, 임의의 홀과 그 차후의 홀 사이의 각은 약 137.5°이다. 수 137.5°는 황금 각이고; 즉, 360°/(360°-137.5°) = (360°-137.5°)/137.5°= ψ, 무리수 황금 비는 1.618에 근사하다. 이 수는 연분수로 근사하기가 가장 어렵고; 그러므로 홀들은 선들의 대칭 없이 잘 분산된다. 반례로서, 유리수 (i/k) 가 ψ 대신에 사용되었다면, 결과는 k 개의 방사상의 스포크들 (spokes) 을 가진 홀 패턴일 것으로 도시될 수 있다. 이 홀 패턴은 홀 각각의 방사상의 좌표들 rn 및 θn이 수식들:
Figure pat00005
Figure pat00006
을 따르기 때문에 반경의 함수로서 일정한 밀도를 갖고, 여기서 c1 및 c2는 상수들이고 그리고 c1/2pi는 무리수이다. 그러므로, 섹션 각각은 동일한 면적의 환형을 커버한다. 즉, 동일한 면적의 환형 각각은 (제한적으로) 동일한 수의 홀들을 갖는다. 비대칭 홀 패턴은 또한 히트 댐들의 문제를 방지할 수 있다. 2 개의 홀들의 반경이 정확하게 동일하지 않다는 것이 홀 패턴을 구성하도록 사용된 수식들로부터 알 수 있다. 이러한 이유로, 비대칭 홀 패턴은 히트 댐들을 생성하는, 동일한 반경에서 방위각으로 빽빽하게 이격된 홀들을 갖는 것을 방지한다. 최종적으로, 비대칭 홀 패턴은 기존의 6각형의 홀 패턴으로 달성될 수 없는 가변 홀 밀도를 제공할 필요의 문제를 해결할 수 있다. 홀들을 생성하도록 θn = n*137.508°를 사용하여, 임의의 홀 밀도 ρ(r) 가 상기 수식들에서 나타낸 바와 같이 적용될 수 있다.
비대칭 홀 패턴을 구현하기 위한 바람직한 방법은 상기에 논의된 수식들에 따라 결정된 잇따른 홀 위치들 사이의 각으로서 황금 각 (대략 137.5°) 을 사용하는 것이다. 각 222.5°도 마찬가지이다. 360°의 무리수 분수인 다른 각들은 이 타입의 비대칭 홀 패턴을 생성하도록 사용될 수 있지만, 결과들이 황금 각 137.5°만큼 균일하지 않다는 것이 홀 위치들을 플롯팅함으로써 알 수 있다. 도 8은 10 개의 홀 위치들 (n1, n2, n3, n4, n5, n6, n7, n8, n9, n10) 을 예시하고, 잇따른 홀들 사이의 각은 황금 각이다. 중심 점으로부터 일정 거리에 위치된 홀 n1로부터 시작해서, 홀들을 연결하는 선들은 보다 길어지고 그리고 비대칭 패턴의 홀들이 형성되도록 다음의 홀로 반시계 방향으로 연장한다. 대면 플레이트의 제작시, 홀들의 패턴의 극 좌표들은 홀 각각의 극 좌표들로의 드릴링을 이동시키고 그리고 홀들을 연속하여 드릴링하는 수치적으로 제어된 드릴링 머신에 저장될 수 있다.
도 9는 비대칭 홀 패턴을 가진 대면 플레이트 (240) 를 예시한다. 도 10은 도 9로부터의 상세 A를 도시한다. 도 9 및 도 10으로부터 알 수 있는 바와 같이, 가스 홀들은 대면 플레이트의 중심의 외측의 위치들에서 교차하는 곡선들을 따라 위치되고, 가스 홀 패턴은 대면 플레이트를 통해 연장하는 가스 홀들의 비-방사상 및 비-동심원의 분포를 갖는다. 도 9에 도시된 바와 같이, 가스 홀들은 곡선들의 교차 지점들에 위치되고, 곡선들은 대면 플레이트의 중심을 중심으로 시계 방향 및 반시계 방향으로 외측으로 연장하고 그리고 시계 방향 선들은 반시계 방향 선들을 따라 단일의 위치들에서 반시계 방향 선들과 교차한다. 시계 방향 곡선들을 따라 위치된 인접한 가스 홀들 사이의 거리들은 반시계 방향 곡선들을 따라 위치된 인접한 가스 홀들 사이의 거리들과 대략 같고 그리고 홀들의 반시계 방향 곡선들의 총 수에 대한 가스 홀들의 시계 방향 곡선들의 총 수의 비는 약 1.6이다. 가스 홀 패턴의 외측 주변부에서, 100 개 미만의 가스 홀들의 반시계 방향 곡선들 및 적어도 140 개의 가스 홀들의 시계 방향 곡선들이 있다. 바람직한 실시예에서, 가스 홀들은 Vogel 패턴으로 배치되고 그리고 가스 홀들 각각은 약 0.04 인치의 직경을 갖는다. 300 mm 직경 반도체 웨이퍼들의 프로세싱을 위해, 가스 홀들의 패턴은 적어도 3000 개의 가스 홀들 예컨대, 3000 내지 5000 개의 가스 홀들을 가질 수 있다.
도 11은 대면 플레이트 (240) 의 평면도를 도시하고 그리고 도 12a는 도 11의 선 B-B를 따른 대면 플레이트의 단면도를 도시한다. 이 실시예에서, 에지 플레넘은 생략되고 그리고 가스는 단일의 플레넘에 공급된다. 대면 플레이트 (240) 는 보다 쉽게 기술된 바와 같이 배면 플레이트 및 스템에 부착된 상단 벽, 측벽, 및 하단 벽을 포함한다.
바람직하게, 가스 홀들의 밀도는 대면 플레이트의 중심으로부터 가스 홀 패턴의 외측 주변부로 실질적으로 동일하다. 예를 들어, 홀 밀도는 제곱 인치당 약 20 내지 50 개의 홀들일 수 있다. 균일하지만 (홀들 사이에 큰 갭들이 없도록 고르게 이격됨) 대칭은 아닌 (방사상 또는 방위각의 선들의 대칭이 없음을 의미함) 패턴으로 홀들을 배치하는 것이 바람직하다. 균일성은 막이 고르게 도포되는 것을 보장한다 - 보다 많거나 보다 적게 막이 도포된 국부적 영역들이 없음. 방사상의 (그리고 가능하게는 방위각의) 선들의 대칭이 입자들로 하여금 또한 대칭 패턴들로 있게 할 수 있는 대칭 유체 플로우 패턴들을 설정하기 때문에 대칭을 방지하는 것이 바람직하다.
대안적인 실시예들에서, 가스 홀들의 밀도는 대면 플레이트에 걸쳐 가변될 수 있다. 예를 들어, 홀 밀도는 (a) 홀들의 밀도가 대면 플레이트의 내측 존에서보다 대면 플레이트의 외측 존에서 적어도 10 % 보다 크거나 (b) 홀들의 밀도가 대면 플레이트의 외측 존에서보다 대면 플레이트의 내측 존에서 적어도 10 % 보다 크도록 대면 플레이트에 걸쳐 가변될 수 있다. 그러나, 대면 플레이트는 중심 구역으로부터 외측 구역으로 홀들의 밀도의 점진적인 변화를 가질 수 있다.
본 명세서에 개시된 실시예들은 바람직한 실시예들을 참조하여 기술된다. 그러나, 본 발명의 정신으로부터 벗어나지 않고서 상기에 기술된 것과는 다른 특정한 형태들로 본 발명을 구현하는 것이 가능하다는 것이 당업자들에게 이의 없이 자명할 것이다. 바람직한 실시예들은 예시적이고 어떤 방식으로도 제한적인 것으로 고려되어서는 안 된다.

Claims (20)

  1. 반도체 기판들을 프로세싱하기 위한 증착 장치에 유용한 샤워헤드의 대면 플레이트에 있어서,
    상기 대면 플레이트는 가스 홀들의 비대칭 가스 홀 패턴을 갖고, 상기 가스 홀들은 상기 대면 플레이트의 중심의 외측의 위치들에서 교차하는 곡선들을 따라 이격되고, 상기 가스 홀 패턴은 상기 대면 플레이트를 통해 연장하는 상기 가스 홀들의 비-방사상 그리고 비-동심원의 분포를 갖는, 샤워헤드의 대면 플레이트.
  2. 제 1 항에 있어서,
    상기 가스 홀들은 상기 곡선들의 교차 지점들에 위치되고, 상기 곡선들은 상기 대면 플레이트의 상기 중심을 중심으로 시계 방향으로 그리고 반시계 방향으로 외측으로 연장하고, 상기 시계 방향 선들은 상기 반시계 방향 선들을 따라 단일의 위치들에서 상기 반시계 방향 선들과 교차하는, 샤워헤드의 대면 플레이트.
  3. 제 1 항에 있어서,
    상기 대면 플레이트는 상기 가스 홀들을 포함한 하단 벽, 상기 하단 벽의 외측 주변부로부터 상측으로 연장하는 측벽, 상기 측벽의 상부 단부로부터 내측으로 연장하는 상단 벽, 및 상기 하단 벽의 상부 표면으로부터 상측으로 연장하는 선택 가능한 포스트들을 포함하고, 상기 포스트들은 상기 하단 벽의 상기 상부 표면에서 보다 작은 직경으로 테이퍼지는 (tapered), 샤워헤드의 대면 플레이트.
  4. 제 1 항에 있어서,
    상기 가스 홀은 상기 대면 플레이트의 중심에 위치되는, 샤워헤드의 대면 플레이트.
  5. 제 2 항에 있어서,
    (a) 상기 시계 방향 곡선들을 따라 위치된 인접한 가스 홀들 사이의 거리들은 상기 반시계 방향 곡선들을 따라 위치된 인접한 가스 홀들 사이의 거리들과 대략 동일하거나 (b) 상기 가스 홀들의 상기 시계 방향 곡선들의 총 수와 상기 가스 홀들의 상기 반시계 방향 곡선들의 총 수는 Fibonacci 수열의 연속 항들 (members) 인, 샤워헤드의 대면 플레이트.
  6. 제 2 항에 있어서,
    (a) 상기 가스 홀들의 상기 반시계 방향 곡선들의 총 수에 대한 상기 가스 홀들의 상기 시계 방향 곡선들의 총 수의 비는 황금 비 (1.6180) 에 도달하거나, (b) 상기 가스 홀들의 상기 시계 방향 곡선들의 총 수에 대한 상기 가스 홀들의 상기 반시계 방향 곡선들의 총 수의 비는 황금 비 (1.6180) 에 도달하는, 샤워헤드의 대면 플레이트.
  7. 제 2 항에 있어서,
    (a) 상기 가스 홀 패턴의 외측 주변부에, 상기 가스 홀들의 100 개 미만의 반시계 방향 곡선들 및 상기 가스 홀들의 적어도 140 개의 시계 방향 곡선들이 있거나, (b) 상기 가스 홀 패턴의 외측 주변부에, 상기 가스 홀들의 100 개 미만의 시계 방향 곡선들 및 상기 가스 홀들의 적어도 140 개의 반시계 방향 곡선들이 있는, 샤워헤드의 대면 플레이트.
  8. 제 2 항에 있어서,
    (a) 상기 가스 홀들은 Vogel 패턴으로 배열되거나, (b) 상기 가스 홀들 각각은 약 0.04 인치의 직경을 갖는, 샤워헤드의 대면 플레이트.
  9. 제 1 항에 있어서,
    (a) 상기 가스 홀들의 패턴은 적어도 3000 개의 가스 홀들을 갖거나, (b) 상기 가스 홀들의 밀도는 상기 대면 플레이트에 걸쳐 가변하는, 샤워헤드의 대면 플레이트.
  10. 제 1 항에 있어서,
    상기 가스 홀 패턴은 상기 대면 플레이트의 상기 중심으로부터 상기 가스 홀 패턴의 외측 주변부로 단위 면적당 대략 동일한 수의 가스 홀들을 갖는, 샤워헤드의 대면 플레이트.
  11. 제 1 항에 있어서,
    상기 가스 홀들 각각은 다음의 식들을 따라 극 좌표들 rn 및 θn에 따라 규정된 방사상의 위치를 갖고,
    Figure pat00007
    Figure pat00008

    여기서 c1 및 c2는 상수들이고, 그리고 c1/2pi는 무리수인, 샤워헤드의 대면 플레이트.
  12. 제 11 항에 있어서,
    c1은 황금 각 (2.39996 라디안 또는 137.508°) 인, 샤워헤드의 대면 플레이트.
  13. 제 1 항에 있어서,
    (a) 상기 홀들의 밀도 (단위 면적당 홀들의 수) 는, 상기 홀들의 상기 밀도가 상기 대면 플레이트의 내측 존에서보다 상기 대면 플레이트의 외측 존에서 적어도 10 % 보다 크거나 상기 홀들의 상기 밀도가 상기 대면 플레이트의 외측 존에서보다 상기 대면 플레이트의 내측 존에서 적어도 10 % 보다 크도록 상기 대면 플레이트에 걸쳐 가변하거나, (b) 상기 가스 홀 패턴은 대칭인 선들을 갖지 않고 그리고 상기 홀들은 고르게 분포되는, 샤워헤드의 대면 플레이트.
  14. 기판을 프로세싱하기 위한 증착 장치에 있어서,
    상기 증착 장치는,
    기판이 프로세싱될 수도 있는 프로세싱 존을 포함한 진공 챔버;
    상기 진공 챔버와 유체로 연통하는 적어도 하나의 가스 소스로서, 상기 적어도 하나의 가스 소스는 프로세싱 동안 상기 진공 챔버 내로 프로세스 가스를 공급하도록 동작 가능한, 상기 적어도 하나의 가스 소스;
    제 1 항에 기재된 대면 플레이트 및 배면 플레이트를 포함한 샤워헤드 어셈블리로서, 상기 배면 플레이트는 상기 적어도 하나의 가스 소스와 유체로 연통하는 적어도 하나의 가스 유입부를 포함하고, 상기 대면 플레이트 내의 상기 가스 홀들은 프로세싱 동안 상기 프로세스 가스를 상기 진공 챔버 내로 분배하는, 상기 샤워헤드 어셈블리; 및
    상기 기판이 상기 증착 장치 내에서 프로세싱될 때 기판 페데스탈 어셈블리의 상부 표면 상에 상기 기판을 지지하도록 구성된, 상기 기판 페데스탈 어셈블리를 포함하는, 기판을 프로세싱하기 위한 증착 장치.
  15. 제 14 항에 있어서,
    상기 샤워헤드 어셈블리는 스템을 더 포함하고, 상기 배면 플레이트는 상기 스템의 하부 단부로부터 외측으로 가로질러 연장하고, 상기 스템은 상기 적어도 하나의 가스 소스와 유체로 연통하는 상기 스템을 통해 수직으로 연장하는 적어도 하나의 가스 통로를 갖는, 기판을 프로세싱하기 위한 증착 장치.
  16. 제 14 항에 있어서,
    내측 플레넘은 상기 배면 플레이트의 하부 표면, 상기 대면 플레이트의 상부 표면, 및 상기 대면 플레이트의 외측 벽의 하부 내측 표면 사이에 위치되는, 기판을 프로세싱하기 위한 증착 장치.
  17. 제 14 항에 기재된 증착 장치 내에서 기판의 상부 표면 상에 재료를 증착하는 방법에 있어서,
    상기 방법은,
    상기 증착 장치의 상기 진공 챔버 내에 배치된 상기 기판 페데스탈 어셈블리의 상기 상부 표면 상에 기판을 지지하는 단계;
    적어도 하나의 가스 소스로부터 상기 대면 플레이트 내의 상기 가스 홀들을 통해 적어도 하나의 가스를 공급하는 단계;
    상기 적어도 하나의 가스를 플라즈마로 에너자이징하는 단계; 및
    상기 기판의 상기 상부 표면 상에 재료를 균일하게 증착하는 단계를 포함하는, 재료를 증착하는 방법.
  18. 제 1 항에 기재된 대면 플레이트를 제작하는 방법에 있어서,
    가스 홀 각각과 방사상으로 인접한 가스 홀 사이의 각이 약 137.5°가 되도록 상기 가스 홀들을 드릴링하는 단계를 포함하는, 대면 플레이트를 제작하는 방법.
  19. 제 18 항에 있어서,
    상기 대면 플레이트는 금속 플레이트인, 대면 플레이트를 제작하는 방법.
  20. 제 19 항에 있어서,
    상기 금속 플레이트는 알루미늄 합금 플레이트를 포함하고 그리고 상기 가스 홀들은 수치적으로 제어된 드릴링 머신을 사용하여 드릴링되는, 대면 플레이트를 제작하는 방법.
KR1020160166053A 2015-12-14 2016-12-07 샤워헤드 어셈블리 KR20170074755A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/967,672 US10358722B2 (en) 2015-12-14 2015-12-14 Showerhead assembly
US14/967,672 2015-12-14

Publications (1)

Publication Number Publication Date
KR20170074755A true KR20170074755A (ko) 2017-06-30

Family

ID=59019571

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160166053A KR20170074755A (ko) 2015-12-14 2016-12-07 샤워헤드 어셈블리

Country Status (4)

Country Link
US (1) US10358722B2 (ko)
JP (1) JP6890963B2 (ko)
KR (1) KR20170074755A (ko)
CN (1) CN106906453B (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190056030A (ko) * 2017-11-16 2019-05-24 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
KR20210070647A (ko) * 2019-12-05 2021-06-15 세메스 주식회사 기판 처리 장치
US11345998B2 (en) 2017-11-16 2022-05-31 Samsung Electronics Co., Ltd. Deposition apparatus including upper shower head and lower shower head

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI480417B (zh) * 2012-11-02 2015-04-11 Ind Tech Res Inst 具氣幕之氣體噴灑裝置及其薄膜沉積裝置
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
WO2019033052A1 (en) * 2017-08-11 2019-02-14 Applied Materials, Inc. APPARATUS AND METHODS FOR IMPROVING CHEMICAL VAPOR PHASE (CVD) DEPOSITION UNIFORMITY
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
JP2021505766A (ja) * 2017-12-08 2021-02-18 ラム リサーチ コーポレーションLam Research Corporation 遠隔プラズマ膜蒸着を可能にするためにラジカルおよび前駆体ガスを下流チャンバに供給するための改良された孔パターンを備える統合シャワーヘッド
US10876208B2 (en) * 2018-01-16 2020-12-29 Taiwan Semiconductor Manufacturing Company Ltd. Apparatus and method for fabricating a semiconductor device
US10526703B2 (en) * 2018-03-15 2020-01-07 Taiwan Semiconductor Manufacturing Company Ltd. Film formation apparatus for forming semiconductor structure having shower head with plural hole patterns and with corresponding different plural hole densities
US20190316258A1 (en) * 2018-04-13 2019-10-17 Veeco Instruments Inc. Chemical vapor deposition apparatus with multi-zone injection block
US10472716B1 (en) 2018-05-17 2019-11-12 Lam Research Corporation Showerhead with air-gapped plenums and overhead isolation gas distributor
US20190376184A1 (en) * 2018-06-12 2019-12-12 Lam Research Corporation Chemical vapor deposition shower head for uniform gas distribution
US11834743B2 (en) * 2018-09-14 2023-12-05 Applied Materials, Inc. Segmented showerhead for uniform delivery of multiple precursors
KR102174708B1 (ko) * 2018-10-02 2020-11-05 (주)아이작리서치 파우더용 플라즈마 원자층 증착 장치
KR102318812B1 (ko) * 2018-10-05 2021-10-29 (주)아이작리서치 파우더용 원자층 증착 장치
KR102173461B1 (ko) * 2018-10-05 2020-11-03 (주)아이작리서치 파우더용 원자층 증착 장치
US10984987B2 (en) * 2018-10-10 2021-04-20 Lam Research Corporation Showerhead faceplate having flow apertures configured for hollow cathode discharge suppression
US11214868B2 (en) * 2018-10-31 2022-01-04 Taiwan Semiconductor Manufacturing Company Ltd. Chemical vapor deposition apparatus and blocker plate
CN111321391A (zh) * 2018-12-13 2020-06-23 夏泰鑫半导体(青岛)有限公司 用于半导体制造的喷头
KR20210102989A (ko) * 2019-01-09 2021-08-20 램 리써치 코포레이션 플라즈마 프로세싱 챔버를 위한 피보나치 코일
WO2020159799A1 (en) * 2019-02-01 2020-08-06 Lam Research Corporation Showerhead for deposition tools having multiple plenums and gas distribution chambers
US11332827B2 (en) * 2019-03-27 2022-05-17 Applied Materials, Inc. Gas distribution plate with high aspect ratio holes and a high hole density
DE102019119019A1 (de) 2019-07-12 2021-01-14 Aixtron Se Gaseinlassorgan für einen CVD-Reaktor
KR20230037057A (ko) 2019-08-16 2023-03-15 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
KR102315665B1 (ko) * 2019-08-19 2021-10-22 세메스 주식회사 기판 처리 장치
US11859284B2 (en) * 2019-08-23 2024-01-02 Taiwan Semiconductor Manufacturing Company Ltd. Shower head structure and plasma processing apparatus using the same
CN111270221B (zh) * 2020-04-03 2022-07-22 北京北方华创微电子装备有限公司 半导体设备中的气体分配器和半导体设备
US20210319981A1 (en) * 2020-04-09 2021-10-14 Applied Materials, Inc. Faceplate with localized flow control
JP2023524516A (ja) * 2020-04-29 2023-06-12 ラム リサーチ コーポレーション 基板処理システムにおけるシャワーヘッドのグルーピングフィーチャ

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6116184A (en) * 1996-05-21 2000-09-12 Symetrix Corporation Method and apparatus for misted liquid source deposition of thin film with reduced mist particle size
US6860965B1 (en) 2000-06-23 2005-03-01 Novellus Systems, Inc. High throughput architecture for semiconductor processing
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
WO2006017136A2 (en) * 2004-07-12 2006-02-16 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
JP4676366B2 (ja) * 2005-03-29 2011-04-27 三井造船株式会社 成膜装置
US8142606B2 (en) * 2007-06-07 2012-03-27 Applied Materials, Inc. Apparatus for depositing a uniform silicon film and methods for manufacturing the same
JP4865672B2 (ja) * 2007-10-22 2012-02-01 シャープ株式会社 気相成長装置及び半導体素子の製造方法
JP5828836B2 (ja) * 2009-05-13 2015-12-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 陽極処理されたシャワーヘッド
US8573152B2 (en) * 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8840754B2 (en) 2010-09-17 2014-09-23 Lam Research Corporation Polar regions for electrostatic de-chucking with lift pins
CN103403843B (zh) * 2011-03-04 2016-12-14 诺发系统公司 混合型陶瓷喷淋头
JP2013048227A (ja) * 2011-07-25 2013-03-07 Tokyo Electron Ltd シャワーヘッド装置及び成膜装置
TWI486559B (zh) * 2011-12-16 2015-06-01 Ind Tech Res Inst 感測裝置及其製作方法
JP5779727B2 (ja) * 2011-12-31 2015-09-16 サンーゴバン アブレイシブズ,インコーポレイティド 開口部の分布が非均一な研磨物品
CN104073782A (zh) * 2013-03-28 2014-10-01 北京北方微电子基地设备工艺研究中心有限责任公司 进气装置、反应腔室以及等离子体加工设备

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190056030A (ko) * 2017-11-16 2019-05-24 삼성전자주식회사 상부 샤워 헤드 및 하부 샤워 헤드를 포함하는 증착 장치
US11345998B2 (en) 2017-11-16 2022-05-31 Samsung Electronics Co., Ltd. Deposition apparatus including upper shower head and lower shower head
KR20210070647A (ko) * 2019-12-05 2021-06-15 세메스 주식회사 기판 처리 장치

Also Published As

Publication number Publication date
US10358722B2 (en) 2019-07-23
US20170167024A1 (en) 2017-06-15
JP2017112371A (ja) 2017-06-22
JP6890963B2 (ja) 2021-06-18
CN106906453B (zh) 2020-12-04
CN106906453A (zh) 2017-06-30

Similar Documents

Publication Publication Date Title
KR20170074755A (ko) 샤워헤드 어셈블리
US10253412B2 (en) Deposition apparatus including edge plenum showerhead assembly
TWI731078B (zh) 下游反應器中之邊緣蝕刻率控制用可調整側邊氣體充氣部
KR102232748B1 (ko) 기판을 가공하기 위한 플라즈마 처리 장치 및 플라즈마 처리에 의해 처리된 기판의 면내 균일성을 제어하는 방법
US8845806B2 (en) Shower plate having different aperture dimensions and/or distributions
KR102641003B1 (ko) 백사이드 가스 전달 튜브를 포함하는 기판 페데스탈 모듈 및 제작 방법
TWI761337B (zh) 基板處理系統
JP2019533274A (ja) プラズマ処理チャンバ用プラズマスクリーン
CN101849280B (zh) 喷淋头、基底制程装置以及等离子体供应方法
TW201841208A (zh) 基板處理設備
US20180142354A1 (en) Recursive pumping for symmetrical gas exhaust to control critical dimension uniformity in plasma reactors
JP2006245533A (ja) 高密度プラズマ化学気相蒸着装置
JP2021170663A (ja) 可動エッジリング設計
JP2000294538A (ja) 真空処理装置
KR20200087267A (ko) 리모트 플라즈마 막 증착을 인에이블하도록 다운스트림 챔버로 라디칼 및 전구체 가스를 전달하기 위해 개선된 홀 패턴을 갖는 통합된 샤워헤드
TWI817102B (zh) 具有局部化的流動控制的面板
TW202103210A (zh) 分離網格中後電漿氣體注入
KR20210002179A (ko) 기판 처리 장치 및 방법
JP2001196318A (ja) 半導体処理方法および装置
JP2019075516A (ja) プラズマ処理装置及びガス流路が形成される部材
KR20210114552A (ko) ALD (Atomic Layer Deposition) 기판 프로세싱 챔버들의 막 특성들을 조절하기 위한 페데스탈들
TW202044320A (zh) 包含下游電漿用雙離子過濾器的基板處理系統
TW202410158A (zh) 具有改良均勻性之電漿噴灑頭

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal