CN112063995B - 一种衬底基座及其制造方法和一种处理衬底的方法 - Google Patents

一种衬底基座及其制造方法和一种处理衬底的方法 Download PDF

Info

Publication number
CN112063995B
CN112063995B CN202010719108.1A CN202010719108A CN112063995B CN 112063995 B CN112063995 B CN 112063995B CN 202010719108 A CN202010719108 A CN 202010719108A CN 112063995 B CN112063995 B CN 112063995B
Authority
CN
China
Prior art keywords
platen
ceramic
flange
substrate
stem
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN202010719108.1A
Other languages
English (en)
Other versions
CN112063995A (zh
Inventor
特洛伊·艾伦·戈姆
尼克·拉伊·小林百格
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Priority to CN202010719108.1A priority Critical patent/CN112063995B/zh
Publication of CN112063995A publication Critical patent/CN112063995A/zh
Application granted granted Critical
Publication of CN112063995B publication Critical patent/CN112063995B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B37/00Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding
    • B32B37/10Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding characterised by the pressing technique, e.g. using action of vacuum or fluid pressure
    • B32B37/1018Methods or apparatus for laminating, e.g. by curing or by ultrasonic bonding characterised by the pressing technique, e.g. using action of vacuum or fluid pressure using only vacuum
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45597Reactive back side gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B32LAYERED PRODUCTS
    • B32BLAYERED PRODUCTS, i.e. PRODUCTS BUILT-UP OF STRATA OF FLAT OR NON-FLAT, e.g. CELLULAR OR HONEYCOMB, FORM
    • B32B2457/00Electrical equipment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Fluid Mechanics (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)

Abstract

本发明涉及一种衬底基座及其制造方法和一种处理衬底的方法。所述处理衬底的方法包括:将衬底放置在衬底基座上,将背部热传输气体或吹扫气体供给通过背部气体管路至在衬底的下表面下方的区域,背部气体管路包括在上部气体管路凸缘中的至少一个开口,使得至少一个相应的电气连接件能延伸通过上部气体管路凸缘。所述衬底基座包括:由陶瓷材料形成的台板,其具有构造成在处理期间支承所述衬底的上表面;杆,其由陶瓷材料形成并具有上部杆凸缘以支撑所述台板;由陶瓷材料形成的背部气体管路,其位于所述杆的内部,背部气体管路包括位于台板的下表面与上部杆凸缘的上表面之间的上部气体管路凸缘,背部气体管路与台板的至少一个背部气体通道流体连通。

Description

一种衬底基座及其制造方法和一种处理衬底的方法
本申请是申请号为201610312750.1、申请日为2016年5月12日、发明名称为“包含背部气体输送管路的衬底基座模块及其制造方法”的申请的分案申请。
技术领域
本发明涉及用于处理半导体衬底的半导体衬底处理装置,并且可以发现在可操作以沉积薄膜的等离子体增强化学气相沉积处理装置中的特定用途。
背景技术
半导体衬底处理装置用于通过包括蚀刻、物理气相沉积(PVD)、化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)、原子层沉积(ALD)、等离子体增强原子层沉积(PEALD)、脉冲沉积层(PDL)、等离子体增强脉冲沉积层(PEPDL)处理和抗蚀剂去除的技术处理半导体衬底。半导体衬底处理装置的一种类型是包括含有上电极和下电极的反应室的等离子体处理装置,其中在电极之间施加射频(RF)功率,以将工艺气体激发成用于处理反应室中的半导体衬底的等离子体。
发明内容
本发明公开了一种用于处理半导体衬底的半导体衬底处理装置。所述半导体衬底处理装置包括:真空室,其包括处理区域,半导体衬底能在该处理区域中被处理;工艺气体源,其与所述真空室流体连通以供应工艺气体到所述真空室中;喷头模块,工艺气体从工艺气体源通过该喷头模块供给到所述真空室的所述处理区域;以及衬底基座模块。所述衬底基座模块包括:台板,其由陶瓷材料制成,所述台板具有上表面,该上表面被构造成在处理期间将半导体衬底支承在其上;杆,其由陶瓷材料制成,所述杆具有支撑所述台板的上部杆凸缘;和背部气体管路,其由陶瓷材料制成,位于所述杆的内部。所述背部气体管路包括位于所述台板的下表面与所述上部杆凸缘的上表面之间的上部气体管路凸缘,其中所述背部气体管路与所述台板的至少一个背部气体通道流体连通,并且所述背部气体管路被配置为供给背部气体至在处理期间要支撑在所述台板的上表面上的半导体衬底的下表面下方的区域。
本文还公开了一种半导体衬底处理装置的衬底基座模块。衬底基座模块包括:台板,其具有上表面,该上表面被配置为在处理期间将半导体衬底支承在该上表面上;杆,其具有支撑所述台板的上部杆凸缘和位于所述杆的内部的背部气体管路。所述背部气体管路包括位于所述台板的下表面与所述上部杆凸缘的上表面之间的上部气体管路凸缘,其中所述背部气体管路与所述台板的至少一个背部气体通道流体连通,并且所述背部气体管路被配置为供给背部气体至在处理期间要支撑在所述台板的上表面上的半导体衬底的下表面下方的区域。
本文还公开了制造半导体衬底处理装置的衬底基座模块的方法。制造所述衬底基座模块的所述方法包括:将上部气体管路凸缘的上陶瓷表面布置成抵靠台板的下陶瓷表面,以及将上部气体管路凸缘的所述上陶瓷表面扩散结合到所述台板的所述下陶瓷表面以形成真空密封。
附图说明
图1根据本发明所公开的实施方式示出了化学沉积装置的示意图。
图2根据本发明所公开的一个实施方式示出了衬底基座模块的横截面。
图3根据本发明所公开的一个实施方式示出了衬底基座模块的横截面。
具体实施方式
在下面的详细说明中,为了提供对本发明所公开的装置和方法的充分理解,阐述了许多具体的实施方式。但对于本领域技术人员而言,显而易见,在没有这些具体细节的情况下或者通过使用替代的元件或方法,仍可以实施本发明的实施方式。在其他的示例中,为了避免不必要地使本发明所公开的实施方式的方面难以理解,公知的工艺、过程和/或部件没有详细描述。如本文所用的术语“约”是指±10%。
如所指出的,所述实施方式提供用于处理在例如化学气相沉积装置或等离子体增强化学气相沉积装置之类的半导体衬底处理装置中的半导体衬底的装置和相关方法。该装置和方法特别适用于与半导体衬底的高温处理结合使用,所述高温处理如高温沉积处理,其中正被处理的半导体衬底被加热到温度高于约550℃,例如约550℃至约650℃或高于650℃。
本发明所公开的实施方式优选在等离子体增强化学沉积装置(即PECVD装置、PEALD装置或PEPDL装置)中实施,然而,它们并不限于此。图1提供了描绘布置用于实施根据本发明所公开的实施方式的各种半导体衬底等离子体处理装置的组件的简单框图。如图所示,半导体衬底等离子体处理装置300包括用于容纳处理区域中的等离子体的真空室324,所述等离子体可以通过电容类型的系统来产生,该电容类型的系统包括与其中具有下RF电极(未示出)的衬底基座模块320结合工作的其中具有上RF电极(未示出)的喷头模块314。至少一个RF产生器可操作以供给RF能量到真空室324中的半导体衬底316的上表面上方的处理区域,以将供给到真空室324的处理区域中的工艺气体激励成等离子体,使得等离子体沉积处理可在真空室324中进行。例如,高频RF产生器302和低频RF产生器304的每一个可以连接到匹配网络306,匹配网络306连接至喷头模块314的上RF电极,使得RF能量可被供给到真空室324中的半导体衬底316上方的处理区域。
通过匹配网络306供给到真空室324的内部的RF能量的功率和频率足以使等离子体从工艺气体产生。在一个实施方式中,使用高频RF产生器302和低频RF产生器304两者,而在替代的实施方式中,仅使用高频RF产生器302。在处理中,高频RF产生器302可以在约2-100MHz的频率下操作;在优选实施方式中,高频RF产生器302可以在13.56MHz或27MHz的频率下操作。低频RF产生器304可以在约50kHz至2MHz下操作;在优选的实施方式中,可以在约350kHz至600kHz下操作。工艺参数可基于室体积、衬底尺寸和其他因素按比例确定。同样地,工艺气体的流率可取决于真空室或处理区域的自由体积。
衬底基座模块320的上表面支承在处理期间在真空室324内的半导体衬底316。衬底基座模块320可以包括卡盘以容纳半导体衬底,和/或升降销以在沉积和/或等离子体处理工艺之前、期间和/或之后升高和降低半导体衬底。在一个替代的实施方式中,衬底基座模块320可以包括承载圈以在沉积和/或等离子体处理工艺之前、期间和/或之后升高和降低半导体衬底。卡盘可以是静电卡盘、机械卡盘,或如可用于工业和/或研究用途的各种其它类型的卡盘。在共同转让的美国专利No.8,840,754中可发现用于包含静电卡盘的衬底基座模块的升降销组件的细节,该专利的全部内容通过引用并入本文。在共同转让的美国专利No.6,860,965中可发现用于衬底基座模块的承载圈的细节,该专利的全部内容通过引用并入本文。背部气体供应器341可操作以在处理期间供应热传输气体或吹扫气体通过衬底基座模块320到半导体衬底的下表面下方的区域。衬底基座模块320包括在其中的下RF电极,其中下RF电极在处理期间优选地接地,然而在替代实施方式中,下RF电极在处理期间可被供给有RF能量。
为了处理半导体衬底等离子体处理装置300的真空室324中的半导体衬底,将工艺气体从工艺气体源362经由入口312和喷头模块314引入真空室324,其中用RF能量使工艺气体形成等离子体,使得膜可以被沉积在半导体衬底的上表面上。在一个实施方式中,多个源气体管线310可以连接到加热的歧管308。气体可以预先混合或单独供给到室。适当的阀和质量流量控制机构用于在半导体衬底处理期间确保正确的气体被输送通过喷头模块314。在处理期间,背部热传输气体或吹扫气体被供给到衬底基座模块320上支承的半导体衬底的下表面下方的区域中。优选地,所述处理是化学气相沉积处理、等离子体增强化学气相沉积处理、原子层沉积处理、等离子体增强原子层沉积处理、脉冲沉积层处理或等离子体增强脉冲沉积层处理中的至少一种。
在某些实施方式中,采用系统控制器162来控制在沉积期间、沉积处理后、和/或其他处理操作的工艺条件。控制器162典型地将包括一个或多个存储器设备和一个或多个处理器。所述处理器可以包括CPU或计算机、模拟和/或数字输入/输出连接、步进电机控制器板等。
在某些实施方式中,系统控制器162控制装置的所有活动。系统控制器162执行包括用于控制处理操作的定时、低频RF产生器304和高频RF产生器302的工作频率和功率、前体和惰性气体的流率和温度以及它们的相对的混合、支承在衬底基座模块320的上表面上的半导体衬底316和喷头组件314的等离子体暴露表面的温度、真空室324的压力、以及特定工艺的其它参数的指令集的系统控制软件。在一些实施方式中,可以采用存储在与控制器相关联的存储器设备的其他计算机程序。
典型地,将存在与控制器162相关联的用户界面。用户界面可以包括显示屏、装置和/或工艺条件的图形软件显示器、以及诸如定点设备、键盘、触摸屏、麦克风等用户输入设备。
非短暂性计算机的机器可读介质可包括用于控制该装置的程序指令。用于控制处理操作的计算机程序代码可以用任何常规的计算机可读编程语言来编写:例如,汇编语言、C、C++、Pascal、Fortran或其它编程语言。编译的对象编码或脚本由处理器执行以执行在程序中识别的任务。
所述控制器参数涉及诸如,例如,处理步骤的定时,前体和惰性气体的流率和温度,半导体衬底的温度,室的压力和特定工艺的其它参数之类的工艺条件。这些参数以配方的形式提供给用户,并且可以利用用户界面输入。
用于监控工艺的信号可以由系统控制器的模拟和/或数字输入连接来提供。用于控制工艺的信号通过装置的模拟和数字输出连接被输出。
系统软件可以用许多不同的方式设计或配置。例如,多个室部件子程序或控制对象可以被写入以控制要进行沉积处理所必须的室组件的操作。用于此目的程序或程序的部分的实例包括衬底的处理步骤的定时编码、前体和惰性气体的流率和温度编码、以及真空室324的压强编码。
图2和3根据本发明所公开的实施方式示出了衬底基座模块320的横截面。如图2和3所示,衬底基座模块320包括由陶瓷材料制成的台板205,所述台板具有在半导体衬底的处理期间在其上支承半导体衬底的上表面206。由陶瓷材料制成的杆210从台板205的下表面208向下延伸,其中杆210包括支撑台板205的上部杆凸缘215和可连接到基部或适配器(未示出)的下部杆凸缘220,使得衬底基座模块320可以被支撑在半导体处理装置的真空室中。通过用陶瓷材料而不是用例如铝或铝合金等金属材料制造衬底基座模块320,衬底基座模块320可以承受在高温衬底处理过程中实现的高温,如高于约550℃的温度或高于约650℃的温度。
衬底基座模块320包括位于杆210的内部213的由陶瓷制成的背部气体管路250。在一个实施方式中,背部气体管路250居中地位于杆210的内部213。在替代的实施方式中,背部气体管路250偏离杆210的内部的中心。背部气体管路250包括位于台板205的下表面208与上部杆凸缘215的上表面之间的上部气体管路凸缘253。背部气体管路250与台板205的至少一个背部气体通道207流体连通,使得背部气体可以通过背部气体管路250和背部气体通道207供给至在处理期间支撑在台板205的上表面206上的半导体衬底的下表面下方的区域。在一个实施方式中,上部杆凸缘215的外径和上部气体管路凸缘253的外径可以等于或约等于台板205的外径。优选地,上部杆凸缘215的外径和上部气体管路凸缘253的外径小于台板205的外径。选择上部杆凸缘215的外径、上部气体管路凸缘253的外径和杆210的外径,以及杆210壁的厚度,使得杆210的内部213(在处理期间保持在大气压强)能容纳被设置在内部213的电气连接件并能承受围绕杆210的真空压强与在杆210的内部213中的大气强之间的压力差。优选地,上部气体管路凸缘253的外周位于台板205的不超过台板205的外径的四分之一的半径上。
台板205可包括嵌入其中的至少一个静电夹持电极209,其中该至少一个静电夹持电极209可操作以在处理期间静电夹持半导体衬底在台板205的上表面上。如图2所示,台板205还可以包括下RF电极265,下RF电极265在半导体衬底的处理期间可以接地或被供给有RF功率。优选地,如图3所示,台板205包括嵌入其中的既用作静电夹持电极又用作RF电极的仅仅单个电极209a。返回参照图2和3,台板205还可以包括嵌入其中的至少一个加热器260,所述至少一个加热器260可操作以在处理期间控制整个台板205的上表面206的温度并且由此控制整个半导体衬底的温度。该至少一个加热器260可包括电阻性加热器膜和/或一个或多个热电模块。优选地,被布置在杆210的内部213中的连接到至少一个静电夹持电极209、至少一个加热器260、单个电极209a和/或下RF电极265的电气连接件延伸通过在上部气体管路凸缘253的相应开口251,使得电气连接件可分别连接到与相应的至少一个静电夹持电极209、至少一个加热器260、单个电极209a和/或下RF电极265电连通的在台板205中形成的电触点(未示出)。以这种方式,至少一个静电夹持电极209、至少一个加热器260、单个电极209a和/或下RF电极265可在半导体衬底的处理期间被供电。
在一个实施方式中,台板205可包括扩散接合在一起的分层,其中,至少一个静电夹持电极209、下RF电极265(或单个电极209a),以及至少一个加热器260可以夹在台板205的分层之间。台板205的上表面206优选地包括在其中形成的台板图案206a,其中半导体衬底的下表面被支撑在台面图案206a上,背部吹扫气体或背部热传输气体可被提供给在台面图案206a的台面之间的半导体衬底下方的区域。可以在共同转让的美国专利No.7,869,184中发现台面图案和形成台面图形的方法的示范性实施方式,该专利的全部内容通过引用并入本文。
台板205的暴露表面、杆210和背部气体管路250由陶瓷材料制成,从而优选地当台板205、杆210和/或背部气体管路250被暴露于处理条件时在处理期间不导致衬底的污染。优选地,台板205的暴露表面、杆210和/或背部气体管路250由氮化铝制成。上部气体管路凸缘253的上表面优选扩散接合到台板205的下表面208,上部气体管路凸缘253的下表面优选扩散接合到上部杆凸缘215的上表面,以便在杆210的内部213与其中设置衬底基座模块320的真空室之间形成真空密封。优选地,上部气体管路凸缘253的上表面与台板205的下表面208之间的接触面积大致等于上部气体管路凸缘253的下表面与上部杆凸缘215的上表面之间的接触面积。更优选地,上部气体管路凸缘253的上表面与台板205的下表面208之间的接触面积等于上部气体管路凸缘253的下表面与上部杆凸缘215的上表面之间的接触面积。图2示出了衬底基座模块320的一个实施方式,其中上部气体管路凸缘253的上表面与台板205的下表面208之间的接触面积等于上部气体管路凸缘253的下表面与上部杆凸缘215的上表面之间的接触面积。在此实施方式中,在上部气体管路凸缘253的一部分与台板205的下表面208之间形成间隙230。在替代的实施方式中,如图3所示,可以形成衬底基座模块320使得在上部气体管路凸缘253的上表面与台板205的下表面208之间没有间隙。
本文进一步公开的是制造衬底基座模块320的方法。制造衬底基座模块320的方法包括将上部气体管路凸缘253的上陶瓷表面布置成抵靠台板205的下陶瓷表面208。上部气体管路凸缘253的上陶瓷表面扩散接合到台板205的下陶瓷表面208。在一个实施方式中,在上部杆凸缘215的上陶瓷表面被扩散接合到上部气体管路凸缘253的下陶瓷表面时,上部杆凸缘215的上陶瓷表面被布置成同时抵靠上部气体管路凸缘253的下陶瓷表面,其中上部气体管路凸缘253的上陶瓷表面同时扩散接合到台板205的下陶瓷表面。为了在将上部杆凸缘215的上陶瓷表面扩散结合到上部气体管路凸缘253的下陶瓷表面的同时,将上部气体管路凸缘253的上陶瓷表面扩散结合到台板205的下陶瓷表面,上部杆凸缘215的上陶瓷表面与上部气体管路凸缘253的下陶瓷表面之间的接触面积以及上部气体管路凸缘253的上陶瓷表面与台板205的下陶瓷表面208之间的接触面积相等或大致相等,使得扩散接合在一起的陶瓷表面形成真空密封。以这种方式,台板205、杆210和背部气体管路250可在单个扩散接合工艺中被一体地连接。
在替代的实施方式中,如果上部气体管路凸缘253的上陶瓷表面与台板205的下陶瓷表面208之间的接触面积不等于上部气体管路凸缘253的下陶瓷表面与上部杆凸缘215的上陶瓷表面之间的接触面积,那么上部气体管路凸缘253的上陶瓷表面首先扩散接合到台板205的下陶瓷表面208,随后上部杆凸缘215的上陶瓷表面扩散接合到上部气体管路凸缘253的下陶瓷表面。
虽然半导体衬底处理装置的衬底基座模块参照其具体实施方式进行了详细描述,但对那些本领域技术人员而言,显而易见,在不脱离所附权利要求的范围的情况下可以做出各种变化和修改,并可以采用等同方案。

Claims (20)

1.一种处理衬底的方法,所述方法包括:
将衬底放置在衬底基座上,所述衬底基座包括:台板,其由陶瓷材料形成,所述台板具有构造成在处理期间支承所述衬底的上表面;所述衬底基座的杆,其由陶瓷材料形成并具有上部杆凸缘以支撑所述台板;背部气体管路,其由陶瓷材料形成,位于所述杆的内部,所述背部气体管路包括位于所述台板的下表面与所述上部杆凸缘的上表面之间的上部气体管路凸缘,所述背部气体管路与所述台板的至少一个背部气体通道流体连通,所述背部气体管路被配置为供给背部气体至在处理期间要支撑在所述台板的上表面上的所述衬底的下表面下方的区域;和
将选自包括背部热传输气体和吹扫气体的气体中的至少一种气体供给通过所述背部气体管路至在处理期间支承在所述台板的所述上表面上的所述衬底的下表面下方的区域,所述背部气体管路包括在所述上部气体管路凸缘中的至少一个开口,使得至少一个相应的电气连接件能延伸通过所述上部气体管路凸缘。
2.根据权利要求1所述的方法,其中,所述衬底基座位于真空室内,其中喷头位于所述真空室内且在所述衬底基座上方,所述方法还包括:
通过所述喷头将处理气体供给至所述真空室,所述处理气体由与所述真空室流体连通的处理气体源供给;和
将来自所述喷头的所述处理气体供应到位于所述喷头和位于所述衬底基座上的所述衬底之间的处理区域中。
3.根据权利要求1所述的方法,其中,所述处理包括选自以下沉积处理的至少一种类型的沉积处理,包括:化学气相沉积、等离子体增强化学气相沉积、原子层沉积、等离子体增强原子层沉积、脉冲沉积层、和等离子体增强的脉冲沉积层。
4.根据权利要求1所述的方法,还包括将所述衬底放置在形成于所述台板的所述上表面上的台面图案上,所述台面图案在所述台面图案的台面与被支撑在所述台面图案上的所述衬底的下表面之间提供气体通道。
5.一种用于制造衬底基座的方法,所述衬底基座包括用于衬底处理装置的陶瓷台板和陶瓷杆,所述方法包括:
将背部气体管路的上部气体管路凸缘的上陶瓷表面布置成抵靠所述陶瓷台板的下陶瓷表面,所述背部气体管路基本形成在所述陶瓷杆内并且包括在所述上部气体管路凸缘中的至少一个开口,使得至少一个相应的电气连接件能延伸通过所述上部气体管路凸缘;和
将所述上部气体管路凸缘的所述上陶瓷表面扩散结合至所述陶瓷台板的所述下陶瓷表面,以形成第一真空密封。
6.根据权利要求5所述的方法,还包括:
将所述陶瓷杆的上部杆凸缘的上陶瓷表面布置成抵靠所述背部气体管路的上部凸缘的下陶瓷表面;和
将所述上部杆凸缘的所述上陶瓷表面扩散接合到所述上部气体管路凸缘的所述下陶瓷表面,以形成第二真空密封。
7.根据权利要求6所述的方法,其中在将所述上部气体管路凸缘的所述上陶瓷表面扩散接合到所述陶瓷台板的所述下陶瓷表面之后,将所述上部杆凸缘的所述上陶瓷表面扩散接合到所述上部气体管路凸缘的所述下陶瓷表面。
8.根据权利要求6所述的方法,其中在将所述上部气体管路凸缘的所述上陶瓷表面扩散接合到所述陶瓷台板的所述下陶瓷表面时,将所述上部杆凸缘的所述上陶瓷表面扩散接合到所述上部气体管路凸缘的所述下陶瓷表面。
9.根据权利要求6所述的方法,其中,所述上部气体管路凸缘的上表面与所述陶瓷台板的下表面之间的接触面积大致等于所述上部气体管路凸缘的下表面与所述上部杆凸缘的所述上表面之间的接触面积。
10.根据权利要求5所述的方法,其中在所述上部气体管路凸缘的上表面与所述陶瓷台板的下表面之间没有间隙。
11.根据权利要求5所述的方法,其中在所述上部气体管路凸缘的一部分与所述陶瓷台板的下表面之间形成间隙。
12.根据权利要求5所述的方法,其中所述背部气体管路、所述陶瓷台板和所述陶瓷杆由氮化铝形成。
13.根据权利要求5所述的方法,其中所述背部气体管路位于所述陶瓷杆的内部的中心。
14.根据权利要求5所述的方法,其中所述背部气体管路偏离所述陶瓷杆的内部的中心。
15.根据权利要求5所述的方法,所述上部气体管路凸缘的外周位于不超过所述陶瓷台板的外径的四分之一的所述陶瓷台板的半径上。
16.根据权利要求5所述的方法,还包括在所述陶瓷台板的上表面上形成台面图案,在处理期间衬底被支承在所述陶瓷台板的所述上表面上,所述台面图案被配置成在所述台面图案的台面与支撑在所述台面图案上的所述衬底的下表面之间形成气体通道。
17.一种衬底基座,其包括:
台板,其由陶瓷材料形成,并具有构造成在处理期间支承衬底的上表面;
杆,其由陶瓷材料形成,并具有上部杆凸缘,所述台板机械地耦合到所述上部杆凸缘上,所述杆具有内部;
背部气体管路,其由陶瓷材料形成,位于所述杆的所述内部中,所述背部气体管路包括位于所述台板的下表面与所述上部杆凸缘的上表面之间的上部气体管路凸缘,所述背部气体管路被配置成与所述台板的至少一个背部气体通道流体连通,所述背部气体管路被配置为在处理期间供给背部气体至在所述衬底的下表面下方的区域;
其中所述背部气体管路还包括在所述上部气体管路凸缘中的至少一个开口,使得至少一个电气连接件能延伸通过所述上部气体管路凸缘。
18.根据权利要求17所述的衬底基座,还包括在所述台板的上表面上形成的台面图案,衬底在处理期间被支承在所述台板的所述上表面上,所述台面图案被配置成在所述台面图案的台面与支撑在所述台面图案上的所述衬底的下表面之间形成气体通道。
19.根据权利要求17所述的衬底基座,其中在所述上部气体管路凸缘的所述上表面与所述台板的所述下表面之间没有间隙。
20.根据权利要求17所述的衬底基座,其中在所述上部气体管路凸缘的一部分与所述台板的所述下表面之间形成间隙。
CN202010719108.1A 2015-05-12 2016-05-12 一种衬底基座及其制造方法和一种处理衬底的方法 Active CN112063995B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN202010719108.1A CN112063995B (zh) 2015-05-12 2016-05-12 一种衬底基座及其制造方法和一种处理衬底的方法

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US14/710,132 2015-05-12
US14/710,132 US9738975B2 (en) 2015-05-12 2015-05-12 Substrate pedestal module including backside gas delivery tube and method of making
CN202010719108.1A CN112063995B (zh) 2015-05-12 2016-05-12 一种衬底基座及其制造方法和一种处理衬底的方法
CN201610312750.1A CN106148915B (zh) 2015-05-12 2016-05-12 包含背部气体输送管路的衬底基座模块及其制造方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN201610312750.1A Division CN106148915B (zh) 2015-05-12 2016-05-12 包含背部气体输送管路的衬底基座模块及其制造方法

Publications (2)

Publication Number Publication Date
CN112063995A CN112063995A (zh) 2020-12-11
CN112063995B true CN112063995B (zh) 2023-06-27

Family

ID=57276852

Family Applications (2)

Application Number Title Priority Date Filing Date
CN202010719108.1A Active CN112063995B (zh) 2015-05-12 2016-05-12 一种衬底基座及其制造方法和一种处理衬底的方法
CN201610312750.1A Active CN106148915B (zh) 2015-05-12 2016-05-12 包含背部气体输送管路的衬底基座模块及其制造方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN201610312750.1A Active CN106148915B (zh) 2015-05-12 2016-05-12 包含背部气体输送管路的衬底基座模块及其制造方法

Country Status (4)

Country Link
US (4) US9738975B2 (zh)
JP (2) JP6815745B2 (zh)
KR (2) KR102641003B1 (zh)
CN (2) CN112063995B (zh)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9738975B2 (en) * 2015-05-12 2017-08-22 Lam Research Corporation Substrate pedestal module including backside gas delivery tube and method of making
US10177024B2 (en) * 2015-05-12 2019-01-08 Lam Research Corporation High temperature substrate pedestal module and components thereof
JP2017077528A (ja) * 2015-10-20 2017-04-27 信越化学工業株式会社 フォトマスク関連基板に用いる基板洗浄装置及び基板洗浄方法
US10604841B2 (en) 2016-12-14 2020-03-31 Lam Research Corporation Integrated showerhead with thermal control for delivering radical and precursor gas to a downstream chamber to enable remote plasma film deposition
US10147610B1 (en) * 2017-05-30 2018-12-04 Lam Research Corporation Substrate pedestal module including metallized ceramic tubes for RF and gas delivery
US11289355B2 (en) * 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US11004722B2 (en) * 2017-07-20 2021-05-11 Applied Materials, Inc. Lift pin assembly
US11018048B2 (en) * 2017-11-21 2021-05-25 Watlow Electric Manufacturing Company Ceramic pedestal having atomic protective layer
CN111670491A (zh) 2018-01-31 2020-09-15 朗姆研究公司 静电卡盘(esc)基座电压隔离
US11086233B2 (en) 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
US11715652B2 (en) * 2018-09-28 2023-08-01 Ngk Insulators, Ltd. Member for semiconductor manufacturing apparatus
CN109594063A (zh) * 2018-12-27 2019-04-09 西安奕斯伟硅片技术有限公司 一种外延反应设备
DE102019101657A1 (de) * 2019-01-23 2020-07-23 Berliner Glas Kgaa Herbert Kubatz Gmbh & Co Haltevorrichtung zur elektrostatischen Halterung eines Bauteils mit einem durch Diffusionsbonden gefügten Grundkörper und Verfahren zu deren Herstellung
FI129040B (fi) * 2019-06-06 2021-05-31 Picosun Oy Fluidia läpäisevien materiaalien päällystäminen
WO2021162865A1 (en) 2020-02-11 2021-08-19 Lam Research Corporation Carrier ring designs for controlling deposition on wafer bevel/edge
US11699602B2 (en) * 2020-07-07 2023-07-11 Applied Materials, Inc. Substrate support assemblies and components
KR102475295B1 (ko) * 2020-10-08 2022-12-08 주식회사 메카로 비대칭 열선 구조를 가진 페데스탈 히터 블럭
WO2022093273A1 (en) * 2020-10-30 2022-05-05 Applied Materials, Inc. Rf delivery and feedthrough assembly to a processing chamber
WO2022203982A1 (en) * 2021-03-25 2022-09-29 Lam Research Corporation Conductive cooling of a low temperature pedestal operating in a high temperature deposition sequence
WO2023034760A1 (en) * 2021-09-02 2023-03-09 Lam Research Corporation Joining techniques for composite ceramic bodies

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070040265A1 (en) * 2005-08-17 2007-02-22 Applied Materials, Inc. Substrate support having brazed plates and resistance heater

Family Cites Families (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0628644B1 (en) 1993-05-27 2003-04-02 Applied Materials, Inc. Improvements in or relating to susceptors suitable for use in chemical vapour deposition devices
JPH07153706A (ja) 1993-05-27 1995-06-16 Applied Materials Inc サセプタ装置
US6544379B2 (en) * 1993-09-16 2003-04-08 Hitachi, Ltd. Method of holding substrate and substrate holding system
US5569356A (en) 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US6616767B2 (en) 1997-02-12 2003-09-09 Applied Materials, Inc. High temperature ceramic heater assembly with RF capability
US6035101A (en) 1997-02-12 2000-03-07 Applied Materials, Inc. High temperature multi-layered alloy heater assembly and related methods
US6103074A (en) * 1998-02-14 2000-08-15 Phygen, Inc. Cathode arc vapor deposition method and apparatus
US6464795B1 (en) * 1999-05-21 2002-10-15 Applied Materials, Inc. Substrate support member for a processing chamber
US6494955B1 (en) * 2000-02-15 2002-12-17 Applied Materials, Inc. Ceramic substrate support
US6223447B1 (en) 2000-02-15 2001-05-01 Applied Materials, Inc. Fastening device for a purge ring
JP4398064B2 (ja) * 2000-05-12 2010-01-13 日本発條株式会社 加熱装置
KR20010111058A (ko) * 2000-06-09 2001-12-15 조셉 제이. 스위니 전체 영역 온도 제어 정전기 척 및 그 제조방법
US6860965B1 (en) 2000-06-23 2005-03-01 Novellus Systems, Inc. High throughput architecture for semiconductor processing
US6997993B2 (en) 2001-02-09 2006-02-14 Ngk Insulators, Ltd. Susceptor supporting construction
JP4032971B2 (ja) * 2001-04-13 2008-01-16 住友電気工業株式会社 セラミックス接合体、基板保持構造体および基板処理装置
US6730175B2 (en) * 2002-01-22 2004-05-04 Applied Materials, Inc. Ceramic substrate support
JP3520074B2 (ja) 2002-03-28 2004-04-19 日本碍子株式会社 セラミックサセプターの取付構造、セラミックサセプターの支持構造およびセラミックサセプターの支持部材
US6962348B2 (en) 2002-07-29 2005-11-08 Tokyo Electron Limited Sealing apparatus having a single groove
JP4060684B2 (ja) * 2002-10-29 2008-03-12 日本発条株式会社 ステージ
US7126808B2 (en) 2003-04-01 2006-10-24 Varian Semiconductor Equipment Associates, Inc. Wafer platen equipped with electrostatic clamp, wafer backside gas cooling, and high voltage operation capability for plasma doping
US7645341B2 (en) 2003-12-23 2010-01-12 Lam Research Corporation Showerhead electrode assembly for plasma processing apparatuses
JP4365766B2 (ja) * 2004-10-26 2009-11-18 京セラ株式会社 ウェハ支持部材とそれを用いた半導体製造装置
US7126093B2 (en) * 2005-02-23 2006-10-24 Ngk Insulators, Ltd. Heating systems
JP4590364B2 (ja) * 2005-03-16 2010-12-01 日本碍子株式会社 処理装置
JP4590363B2 (ja) * 2005-03-16 2010-12-01 日本碍子株式会社 ガス供給部材及びそれを用いた処理装置
US20060231388A1 (en) * 2005-04-14 2006-10-19 Ravi Mullapudi Multi-station sputtering and cleaning system
JP2007051317A (ja) 2005-08-16 2007-03-01 Ngk Insulators Ltd 加熱装置
US7869184B2 (en) 2005-11-30 2011-01-11 Lam Research Corporation Method of determining a target mesa configuration of an electrostatic chuck
KR100804169B1 (ko) * 2005-12-31 2008-02-18 주식회사 아이피에스 박막증착챔버용 서셉터
US20070169703A1 (en) 2006-01-23 2007-07-26 Brent Elliot Advanced ceramic heater for substrate processing
US7737035B1 (en) 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
JP4762098B2 (ja) * 2006-09-28 2011-08-31 大日本スクリーン製造株式会社 基板処理装置および基板処理方法
JP4443556B2 (ja) * 2006-12-15 2010-03-31 京セラ株式会社 試料加熱装置の製造方法
US8294069B2 (en) 2007-03-28 2012-10-23 Ngk Insulators, Ltd. Heating device for heating a wafer
JP5135915B2 (ja) * 2007-06-28 2013-02-06 東京エレクトロン株式会社 載置台構造及び熱処理装置
JP2009054871A (ja) * 2007-08-28 2009-03-12 Tokyo Electron Ltd 載置台構造及び処理装置
JP4450106B1 (ja) * 2008-03-11 2010-04-14 東京エレクトロン株式会社 載置台構造及び処理装置
JP5284153B2 (ja) * 2008-03-21 2013-09-11 日本碍子株式会社 セラミックスヒータ
US20090277388A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Heater with detachable shaft
JP5349232B2 (ja) * 2009-09-17 2013-11-20 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
DE102009044276A1 (de) * 2009-10-16 2011-05-05 Aixtron Ag CVD-Reaktor mit auf einem mehrere Zonen aufweisenden Gaspolster liegenden Substrathalter
JP5960384B2 (ja) 2009-10-26 2016-08-02 新光電気工業株式会社 静電チャック用基板及び静電チャック
JP5882918B2 (ja) * 2010-02-24 2016-03-09 ビーコ・インストゥルメンツ・インコーポレイテッド 温度分配制御装置を用いる処理方法および処理装置
KR20110136583A (ko) * 2010-06-15 2011-12-21 삼성엘이디 주식회사 서셉터 및 이를 구비하는 화학 기상 증착 장치
JP5791412B2 (ja) * 2010-07-26 2015-10-07 日本碍子株式会社 セラミックヒーター
KR20120014361A (ko) * 2010-08-09 2012-02-17 삼성엘이디 주식회사 서셉터 및 이를 포함하는 화학증착장치
US8840754B2 (en) 2010-09-17 2014-09-23 Lam Research Corporation Polar regions for electrostatic de-chucking with lift pins
JP5501467B2 (ja) * 2010-09-24 2014-05-21 日本碍子株式会社 半導体製造装置部材
JP2012182221A (ja) 2011-02-28 2012-09-20 Taiheiyo Cement Corp 基板支持部材
US8801950B2 (en) 2011-03-07 2014-08-12 Novellus Systems, Inc. Reduction of a process volume of a processing chamber using a nested dynamic inert volume
US8371567B2 (en) 2011-04-13 2013-02-12 Novellus Systems, Inc. Pedestal covers
US8979087B2 (en) * 2011-07-29 2015-03-17 Applied Materials, Inc. Substrate supporting edge ring with coating for improved soak performance
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
DE102012205616B4 (de) * 2012-04-04 2016-07-14 Siltronic Ag Vorrichtung zum Abscheiden einer Schicht auf einer Halbleiterscheibe mittels Gasphasenabscheidung
US9490150B2 (en) * 2012-07-03 2016-11-08 Applied Materials, Inc. Substrate support for substrate backside contamination control
US9088085B2 (en) 2012-09-21 2015-07-21 Novellus Systems, Inc. High temperature electrode connections
JP5583877B1 (ja) 2012-11-06 2014-09-03 日本碍子株式会社 サセプタ
US8941969B2 (en) * 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
US10125422B2 (en) 2013-03-27 2018-11-13 Applied Materials, Inc. High impedance RF filter for heater with impedance tuning device
US10808317B2 (en) 2013-07-03 2020-10-20 Lam Research Corporation Deposition apparatus including an isothermal processing zone
WO2015146563A1 (ja) * 2014-03-27 2015-10-01 日本碍子株式会社 セラミックスプレートと金属製の円筒部材との接合構造
US10266943B2 (en) * 2014-06-27 2019-04-23 Applied Materials, Inc. Plasma corrosion resistive heater for high temperature processing
TWI654332B (zh) * 2014-07-02 2019-03-21 美商應用材料股份有限公司 用於電漿處理的多區域基座
US9728437B2 (en) * 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10177024B2 (en) * 2015-05-12 2019-01-08 Lam Research Corporation High temperature substrate pedestal module and components thereof
US9738975B2 (en) 2015-05-12 2017-08-22 Lam Research Corporation Substrate pedestal module including backside gas delivery tube and method of making
US10147610B1 (en) * 2017-05-30 2018-12-04 Lam Research Corporation Substrate pedestal module including metallized ceramic tubes for RF and gas delivery

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070040265A1 (en) * 2005-08-17 2007-02-22 Applied Materials, Inc. Substrate support having brazed plates and resistance heater

Also Published As

Publication number Publication date
US20230220549A1 (en) 2023-07-13
CN112063995A (zh) 2020-12-11
CN106148915B (zh) 2020-08-21
KR102641003B1 (ko) 2024-02-23
CN106148915A (zh) 2016-11-23
US10655225B2 (en) 2020-05-19
US20170321324A1 (en) 2017-11-09
JP2021061422A (ja) 2021-04-15
JP6815745B2 (ja) 2021-01-20
US20200325578A1 (en) 2020-10-15
US9738975B2 (en) 2017-08-22
KR20240031982A (ko) 2024-03-08
JP2016213456A (ja) 2016-12-15
KR20160133373A (ko) 2016-11-22
US11634817B2 (en) 2023-04-25
JP7168642B2 (ja) 2022-11-09
US20160333475A1 (en) 2016-11-17

Similar Documents

Publication Publication Date Title
CN112063995B (zh) 一种衬底基座及其制造方法和一种处理衬底的方法
CN106148916B (zh) 高温衬底基座模块及其组件
JP7271443B2 (ja) 半導体処理で使用するための静電チャック
US10253412B2 (en) Deposition apparatus including edge plenum showerhead assembly
US10808317B2 (en) Deposition apparatus including an isothermal processing zone
KR20170003917A (ko) 히터 급전 기구
JP7454961B2 (ja) プラズマ処理装置

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant