JP4953572B2 - マルチゾーン抵抗ヒータ - Google Patents

マルチゾーン抵抗ヒータ Download PDF

Info

Publication number
JP4953572B2
JP4953572B2 JP2004541591A JP2004541591A JP4953572B2 JP 4953572 B2 JP4953572 B2 JP 4953572B2 JP 2004541591 A JP2004541591 A JP 2004541591A JP 2004541591 A JP2004541591 A JP 2004541591A JP 4953572 B2 JP4953572 B2 JP 4953572B2
Authority
JP
Japan
Prior art keywords
heating element
stage
axis
region
temperature
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2004541591A
Other languages
English (en)
Other versions
JP2006500789A (ja
Inventor
ヘンリー ホ,
アンキン ツィ,
シャオション ユアン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2006500789A publication Critical patent/JP2006500789A/ja
Application granted granted Critical
Publication of JP4953572B2 publication Critical patent/JP4953572B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft

Description

発明の内容
[0001]本願は、2001年10月19日に出願された同時係属中の米国特許出願第10/037、151号の一部継続出願であり、同出願は、2002年7月23日に発行された米国特許第6,423,949号の分割出願である。
[0002]プロセスチャンバの加熱機構、特に、化学気相堆積チャンバの加熱機構。
関連技術の記載
[0003]化学気相堆積(CVD)は、基板上に種々のタイプの膜を堆積するための一般的なプロセスであり、例えば、個々の集積回路デバイスを形成するための半導体ウェハの処理など、半導体ベースの集積回路の製造時に広く使用されている。典型的なCVD処理において、堆積または反応チャンバに1枚または複数枚のウェハが置かれ、反応ガスがチャンバ内に導入され、1枚または複数枚のウェハ上に薄膜を形成するために、加熱された表面で分解され反応する。
[0004]一般的に、現在使用されているCVD反応チャンバには、シングルウェハとマルチウェハがある。マルチウェハ反応チャンバは、典型的に、例えば、25枚以上のウェハを保持可能な縦型炉に類似している。例えば、0.25〜2.0トールなどの低圧力CVD(LPCVD)の場合、Siまたはポリシリコンの堆積に対して、マルチウェハチャンバの典型的な堆積時間は、数時間であってもよい。Siは、マルチウェハチャンバの層の厚みに応じて、例えば、700〜800℃の温度および4〜5時間の堆積時間で形成される。
[0005]第2のタイプのCVD反応チャンバは、ステージまたはサセプタによってチャンバにウェハが支持されるシングルウェハチャンバである。サセプタは、反応プロセス中に回転してもよい。LPCVDのSi堆積に対して、例えば、約2分間、700〜800℃で適切な層の厚みが作られてもよい。
[0006]一般的に、CVDシステムにおいて使用される加熱方式には、2つのタイプ、すなわち、ウェハに局在する抵抗加熱素子を利用する抵抗加熱方式と、通常、反応チャンバの外側に配置される1つまたは複数のランプなどの放射加熱素子を使用する放射加熱方式とがある。シングルウェハチャンバでの抵抗加熱方式では、一般的に、チャンバでウェハを支持するステージまたはサセプタに抵抗加熱素子を直接組み込む。このようにして、一般的に、ウェハでの堆積中に生じる反応を局在化してもよい。
[0007]ウェハを支持するステージまたはサセプタ内に加熱素子を利用するシングルウェハの抵抗加熱方式において、加熱素子は、典型的に、サセプタの本体の単一平面に形成されたモリブデン(Mo)材料の薄いコイル状の層(約2ミル)などの伝導性の材料の薄層である。このデザインを「シングルゾーン抵抗ヒータ」と記載でき、「ゾーン」という記載は、ステージまたはサセプタの本体の単一平面における加熱素子の場所をさす。抵抗ヒータが使用されるCVD反応は、典型的に、約550℃の温度適合性を有する。より高い温度では、温度の均一性が問題になる。1つの理由として、温度の上昇とともに、特に、ステージまたはサセプタの縁部での上昇とともに、抵抗ヒータの熱損失が増大することが挙げられる。シングルゾーン抵抗ヒータは、典型的に、ステージまたはサセプタにわたった熱損失の差を補償することができない。また、チャンバの圧力は、シングルゾーン抵抗ヒータの温度安定性を修正することになる。
[0008]また、要求温度を与えることに加え、抵抗加熱素子は、また高温および化学種を含む反応チャンバの化学環境に従いやすいものでもなければならない。従来技術のシングルゾーン抵抗ヒータにおいて適合性に配慮した1つの解法は、サセプタ内に加熱素子を形成した窒化アルミニウム(AlN)のサセプタを形成することである。
[0009]放射加熱方式は、一般的に、反応チャンバにおいて耐熱性の保護ガラスまたは石英の背後にランプを配置する。チャンバ全体がランプによって加熱されるため、チャンバ全体でCVD反応が起こる。
[0010]放射またはランプ加熱方式は、チャンバ温度を生じ、抵抗加熱方式よりその温度をうまく制御するという利点を与える。しかしながら、放射加熱方式では、反応チャンバの外側に配置された加熱素子、例えば、ランプを利用するため、チャンバ壁が化学物質または他の材料または反応チャンバで使用される反応生成物でコーティングされるにつれ、チャンバの温度を制御することがより困難になる。したがって、チャンバで使用される材料が、チャンバガラスまたは石英上に堆積するにつれ、例えば、加熱効率が下がり、プロセス性能に影響が出る。
[0011]この点で、放射加熱方式で使用される反応チャンバを頻繁に洗浄しなければならない。典型的な洗浄剤は、三フッ化窒素(NF)である。SiのCVDプロセスにおいて、例えば、Si反応生成物が、チャンバ壁上および石英ウィンドウなどのチャンバ内の他の構成部分上に生成される。Siは、NFなどの洗浄剤を用いて反応チャンバから洗浄するのは困難である。洗浄温度は、一般的に、NFを解離し、Siを洗浄するのに十分な熱エネルギーを与えるために、高温でなければならない。洗浄温度が高ければ、NFは、サセプタなどのチャンバの構成部分を腐食させることもある。NFを励起するために使用される遠隔プラズマソースは、洗浄温度を下げることができるが、活性したNF種(特に、ラジカル)は、石英の構成部分を腐食する傾向がある。したがって、現在では、放射ベースのチャンバの洗浄溶液には効果的な解法がない。反応チャンバの壁が、NFで容易に洗浄できないため、Si材料が蓄積し、チャンバの寿命を縮める。
[0012]LPCVD反応において、温度の均一性は、一般的に、重要である。CVDプロセスに関連する表面の反応は、一般的に、以下の式で与えられるレートRで進む熱活性現象によってモデル化することができる。
Figure 0004953572
[0013]式中、Rは周波数因子であり、Eは単位が電子ボルト(eV)の活性エネルギーであり、Tは単位がケルビンの温度である。この式によれば、表面の反応速度は、温度の上昇とともに高まる。Si堆積などのLPCVDプロセスにおいて、活性エネルギー(E)は、一般的に、およそ0.9〜1.3eVと非常に高い。したがって、ウェハにわたって均一な厚みを得るために、ウェハにわたった温度の均一性は、好ましくは、約750℃の温度に対して、およそ±2.5℃以下に厳密に制御されるべきである。
[0014]従来技術におけるシングルウェハの放射加熱方式は、チャンバがクリーンな状態のとき、より高い温度(例えば、750℃)でも許容範囲内の温度に均一性を与える。しかしながら、チャンバの壁上に材料が蓄積するにつれ、温度に均一性を与えることは困難になる。
[0015]また、シングルゾーン抵抗ヒータを用いて、ウェハにわたって均一に高温(例えば、700〜750℃)を得ることも困難である。上述したように、一般的に、より高い温度では、サセプタの表面にわたって熱損失は均一ではない。シングルゾーンヒータは、例えば、中心の位置より、サセプタの縁部の方へ向かってより大きい熱損失を補償できない。したがって、温度の均一性が1つの問題である。
[0016]上述したようなシングルゾーン抵抗ヒータおよび750℃の温度を用いた場合の第2の問題は、局所加熱に関連する問題である。高温の場合、シングルゾーンヒータは、局所的に加熱素子に適用された高密度電力に関連して局所加熱が集中する。結果的に、温度の均一性に影響が出る。シングルゾーン抵抗ヒータを用いた場合の第3の問題は、加熱素子の製造においてばらつきがあることで、加熱素子の性能に変動が生じ、結果的に不均一性が生じ得ることである。シングルゾーンヒータは、製造上のばらつきを補償するように調節することができない。更に、高温動作では、シングルゾーンヒータは、電力端末で加熱素子に適用される高電力密度により、寿命が短くなる。
[0017]更に、従来技術の抵抗ヒータおよびこのようなヒータを与えるチャンバのダイナミック温度測定値は制限される。一般的に、サセプタの表面より下方の点でサセプタの中心位置に一様に配置された熱電対では、ダイナミック温度測定値(すなわち、実時間温度測定値)しか得られない。(熱電対などによる)温度測定値は、サセプタの中心位置の温度の正確な温度測定値を与えることができるが、サセプタの縁部での温度に関する情報は何ら与えることができない。チャンバの外側の視点からチャンバ内の温度を観測する熱カメラが用いられてきたが、一般的に、チャンバの温度に関する静的な情報しか与えない。また、CVDプロセスレシピを調節することに関連してチャンバ圧力に変化が生じると、チャンバの反応温度を制御する能力に影響を与える傾向がある。したがって、シングルゾーン抵抗加熱方式は、一般的に、1つの特定の温度および圧力で動作することに制限される。チャンバ温度またはチャンバ圧力のいずれかに変化が生じると、温度の均一性に悪影響が生じてしまう。したがって、このようなシングルゾーン加熱方式は、高温CVDプロセスに対して不十分である。
[0018]必要とされるものは、素子に対して化学的耐性があり、反応部位において局所化された高温均一性を達成する、反応チャンバと、例えば、およそ700℃以上の高温動作に適合可能性を有する反応チャンバの加熱方式である。
概要
[0019]加熱装置が開示される。一実施形態において、加熱装置は、ウェハを支持するための領域を有する表面と、本体とを含むステージまたはサセプタと、ステージに結合されたシャフトと、第1および第2の加熱素子とを含む。第1の加熱素子は、ステージの本体の第1の平面内に配置される。第2の加熱素子は、第1の加熱素子よりステージの表面から離れた距離にあるステージの本体の第2の平面内に配置される。第2の加熱素子は、第1の加熱素子の第1の平面に対して実質的に平行な平面において第1の加熱素子からずらされる。この実施形態によれば、第1および第2の加熱素子によって画成されたマルチゾーン加熱装置が開示される。このようにして、本発明により、ステージの少なくとも2つの別個の加熱ゾーンを個別に制御できるため、従来技術のシングルゾーン加熱装置と比較して、ステージの温度制御および温度均一性が高められる。
[0020]一態様において、加熱装置は、高温で動作可能であり、シングルゾーン抵抗ヒータより温度均一性が高い抵抗ヒータである。各加熱素子は、ステージの表面にわたって全体的に均一な温度を維持するように別々に制御されてもよい。例えば、ステージのある領域において熱損失がより高い状況下で、該当領域に関連する加熱ゾーンが、熱損失にもかかわらず、選択された動作温度を維持するためにより大きな抵抗熱が供給されてもよい。これを達成する1つの方法は、ステージの領域にわたって複数の加熱素子の抵抗を変更することである。例えば、シャフトの熱損失が、ステージの他の領域での熱損失より大きいと決定された場合、シャフトと一致する(例えば、シャフト上の)ステージの領域にある1つの加熱素子の抵抗が高まる。同様に、ステージの縁部での熱損失が、他の領域での熱損失より高いと決定された場合、ステージの縁部領域と一致する領域にある1つの加熱素子の抵抗が高まる。
[0021]また、一実施形態において、チャンバと、抵抗ヒータとを備えるリアクタも開示される。抵抗ヒータは、ウェハを支持するための領域を有する表面と、本体とを含むチャンバ内に配置されたステージと、ステージに結合されたシャフトと、ステージの本体の第1の平面内に配置された第1の加熱素子と、ステージの本体の第2の平面内に配置された第2の加熱素子とを含む。第2の加熱素子は、第1の加熱素子の第1の平面に対して実質的に平行な平面において、第1の加熱素子からずらされている。一態様において、第1の加熱素子の電力密度は、ステージ領域の第1の部分に一致する領域にある第2の加熱素子の電力密度より大きい。同時に、第1の加熱素子の電力密度は、ステージ領域の第2の部分と一致する領域にある第2の加熱素子の電力密度より小さい。
[0022]上述したように、リアクタにより、ステージまたはサセプタの別々の平面内に配置された少なくとも2つの抵抗加熱素子を含む、シングルウェハヒータなどのマルチゾーン抵抗ヒータが得られる。別個の加熱素子により、1つの例において、ステージの別々の領域が、ステージの異なる領域にある個々の加熱素子の電力密度を変更することによって、個別に調節が可能になる。一実施形態において、第2の加熱素子よりステージの表面付近の位置に第1の加熱素子を配置することによって、より大きな電力密度と関連する潜在的な局所化された「ホットスポット」を最小限に抑えながら、ステージの縁部に関連する領域でのより大きな熱損失を補償するために、第2の加熱素子により大きな電力密度を供給することができる。リアクタの一実施形態に関連する複数の温度センサにより、サセプタの中心に熱電対を1つしか有しない従来技術のリアクタより、抵抗ヒータの温度をより均一に制御する機会が得られる。
[0023]化学気相堆積装置の抵抗加熱システムが更に開示される。加熱システムは、一実施形態において、ウェハを支持するための領域を有する表面と、本体とを含むステージを備える抵抗ヒータと、ステージに結合されたシャフトと、第1の加熱素子と、ステージの本体の別個の平面内に配置された第2の加熱素子とを備える。第2の加熱素子は、第1の加熱素子の平面に対して実質的に平行な平面において、第1の加熱素子からずらされている。加熱システムにより、例えば、700℃を超える温度で動作させるプロセス条件を含む高温CVDプロセスにおいて(例えば、LPCVD)、温度均一性を高め、ヒータの温度を制御するために少なくとも2つの別個の加熱素子を備えたマルチゾーン抵抗ヒータが得られる。
[0024]更に、リアクタの温度を制御する方法が開示される。一実施形態において、この方法は、抵抗ヒータのステージの本体の第1の平面内に配置された第1の抵抗加熱素子と、ステージの本体の第2の平面内に配置された第2の抵抗加熱素子とに電力を供給することを含み、第2の加熱素子は、第1の加熱素子の第1の平面に対して実質的に平行な平面において、第1の加熱素子からずらされている。また、この方法は、ステージの少なくとも2つの領域にある第1の抵抗加熱素子および第2の抵抗加熱素子の少なくとも1つの抵抗を変更することを含む。
[0025]装置、リアクタ、加熱システム、および方法の更なる実施形態は、他の特徴および利点とともに、以下に示す図面、詳細な記載、および特許請求の範囲に開示される。
詳細な記載
[0048]本発明は、一般的に、化学気相堆積装置の加熱装置、リアクタ、加熱システムと、リアクタの温度を制御する方法に関する。一態様において、本発明は、反応チャンバのステージまたはサセプタ上でシングルウェハ(例えば、半導体ウェハ)を支持するのに適切な加熱装置を利用する。加熱装置は、サセプタの表面(およびサセプタ上のウェハ)の均一な反応温度を維持するために、少なくとも2つの加熱素子を含む。一実施形態において、各加熱素子は、ヒータのサセプタの別個の平面にある。各加熱素子は、一実施形態において、電源に結合され、各加熱素子の抵抗は、ステージの領域にわたって変更されてもよい。サセプタまたはウェハの表面の異なる領域に関連する温度を測定でき、加熱素子が制御される。加熱装置の個々の加熱素子を制御することによって、リアクタにおける熱損失や圧力変化などの要因が調整され、700℃を超える温度でも、高い温度均一性が得られる。したがって、複数の加熱素子(例えば、マルチゾーンヒータ)を有する加熱装置により、CVDリアクタまたはシステム、およびSi3の堆積およびポリシリコンの堆積に好ましい高温LPCVDプロセスの有益な加熱素子が得られる。
[0049] 図1、図2、および図3は、本発明の実施形態によるリアクタを組み込んだシステムの一部分の断面図を示す。例えば、Siまたはポリシリコン膜をウェハまたは基板上に堆積するためのLPCVDプロセスを含む、CVDプロセスにおいて、このようなシステムが使用される。
[0050] 図1は、「ウェハプロセス」位置にあるプロセスチャンバ本体100の内側を示す。図2は、「ウェハ分離」位置にあるチャンバの同じ図を示す。最後に、図3は、「ウェハ装填」位置にあるチャンバの同じ横断面図を示す。
[0051]図1、図2、および図3は、1つまたは複数のプロセスガスとウェハとの間の反応、例えば、CVD反応が起こる反応チャンバ145を画成するチャンバ本体100を示す。チャンバ本体100は、一実施形態において、アルミニウムから構成され、チャンバ本体100(例えば、「コールドウォール」反応チャンバ)を冷却するために水が通過して吐出される通路102を有する。チャンバ145には、この視点で、シャフト158によって支持されたサセプタ155を含む抵抗ヒータ150がある。一実施形態において、サセプタ155は、半導体ウェハを支持するのに十分な表面領域を有する。長さが約10インチのシャフトによって支持された直径が約9.33インチの円筒状のサセプタは、8インチ直径のウェハを支持するのに適切である。
[0052]その他の点では、プロセスガスが、チャンバ本体100のチャンバ蓋170の上面のガス分配ポート175を通って、密封されたチャンバ145に入る。プロセスガスは、この視点では、抵抗ヒータ150の上方に位置し、チャンバ145内のチャンバ蓋170に結合された穿孔面板180によって、チャンバ145中に分配される。
[0053]チャンバ本体100の側部にある入口ポート104を介して、サセプタ155上のチャンバ145にウェハが配置される。処理用にウェハを収容するために、図3に示すように、入口ポート105の下方にサセプタ155の表面がくるようにヒータ150を降下させる。典型的に、ロボット移送機構によって、チャンバ145内のサセプタ155の上面へ、移送ブレードを用いてウェハが装填される。装填されると、入口ポート105が密封され、ヒータ150が、例えば、ステップモータであるリフタアセンブリ160によって、面板180の方へ上の(例えば、上向きの)方向に前進する。この前進は、ウェハと面板180との間が短距離(例えば、400〜700ミル)になると停止する。この点で、ガスパネルによって制御されたプロセスガスは、ガス分配ポート175を介して、穿孔面板180を通って、チャンバ145内に流入し、典型的に、ウェハ上で反応して堆積し、膜を形成する。圧力制御されたシステムにおいて、チャンバ145の圧力は、チャンバ145に結合された1つまたは複数の圧力調整器によって確立され維持される。一実施形態において、例えば、圧力は、当業者に公知のように、チャンバ本体100に結合された1つまたは複数のbaratome圧力調整器によって確立および維持される。
[0054]処理後、1つまたは複数の残留するプロセスガスまたはガスが、チャンバ145からポンピングプレート185を介して収集容器に吐出される。その後、チャンバ145は、例えば、窒素などの不活性ガスでパージを行ってもよい。処理およびパージ後、ヒータ150は、リフタアセンブリ160によって、図2に示す位置へと下方向に前進する(例えば、降下する)。ヒータ150が移動する際、サセプタ155の表面にある開口または貫通孔を介して延在する第1の端部と、サセプタ155の下の(例えば、下側)表面から片持ち式に延在する第2の端部とを有するリフトピン195が、チャンバ145のベースに位置するリフトプレート190に接触する。図2に示すように、一実施形態において、この点で、リフトプレート190は、ヒータ150のように、ウェハ装填位置からウェハ分離位置へ前進しない。その代わりに、リフトプレート190は、図2に示す、シャフト158上の基準レベルHIに留まる。ヒータ150が、リフタアセンブリ160の作用によって下方向に移動し続ける際、リフトピン195は、静止した状態を維持し、サセプタ155の上または上面の上方へ最終的に延在して、サセプタ155の表面から処理されたウェハを分離する。
[0055]処理されたウェハが、サセプタ155の表面から分離されると、入口ポート105を介して、チャンバ145内の「ピックアウト」位置に、ロボット機構の移送ブレードが挿入される。「ピックアウト」位置は、処理されたウェハの下方に位置する。次に、リフタアセンブリ160は、例えば、図3に示すシャフト158の第2の基準レベルHに、リフトプレート190を下方に移動させる(例えば、下降させる)。リフトプレート190を下方向に移動させることによって、処理されたウェハの下側で、リフトピン195の表面が移送ブレードに接触するまで、リフトピン195も下方向に移動する。次いで、処理されたウェハは、例えば、ウェハを取り除き、ウェハを次の処理ステップに移送するロボット移送機構によって、入口ポート105を介して取り除かれる。次いで、第2のウェハが、チャンバ145内に装填されてもよい。上述したステップは、ウェハを処理位置にもたらすように逆にされる。カリフォルニア州サンタクララのApplied Materials,Inc.に譲渡された米国特許第5,772,773号に、1つの適切なリフトアセンブリ160について詳細に記載されている。
[0056]SiまたはポリシリコンのLPCVD処理などの高温動作時、チャンバ145内の反応温度は、750℃以上と同等の高さになり得る。したがって、チャンバ145の露出した構成部分は、このような高温処理に適合可能なものでなければならない。また、このような材料は、チャンバ145内に導入されてもよいプロセスガスおよび洗浄用の化学物質などの他の化学物質と適合可能なものでなければならない。一実施形態において、ヒータ150の露出表面は、窒化アルミニウム(AlN)から構成される。例えば、サセプタ155およびシャフト158は、同様の窒化アルミニウム材料から構成されるものであってもよい。あるいは、好ましい構成において、サセプタ155の表面は、高い熱伝導性の窒化アルミニウム材料(140W/mK〜200W/mKの熱伝導率およびおよそ95%の純度)から構成されるのに対して、シャフト158は、より熱伝導性の低い窒化アルミニウム(およそ60W/mK〜100W/mK)から構成される。ヒータ150のサセプタ155は、典型的に、このような結合がチャンバ145の環境に同様に耐性になるように、拡散接合またはろう付けによってシャフト158に接合される。
[0057]また、処理中、チャンバ145にリフトピン195も存在する。したがって、リフトピン195は、チャンバ145内の動作条件に適合可能なものでなければならない。リフトピン195の適切な材料は、サファイアまたは窒化アルミニウムを含むが、これに限定されるものではない。チャンバ145の環境に露出された更なる構成部分は、リフトプレート190である。したがって、一実施形態において、リフトプレート190のシャフトの一部分を含むリフトプレート190は、窒化アルミニウム(例えば、およそ140W/mK〜200W/mKの熱伝導性の窒化アルミニウム)組成から構成される。
[0058]また、図1は、サセプタ155の本体の断面と、シャフト158の断面とを含む、ヒータ150の一部分の断面を示す。同図において、図1は、2つの加熱素子である第1の加熱素子250および第2の加熱素子260を有するサセプタ155の本体を示す。第1の加熱素子250および第2の加熱素子260は、サセプタ155の本体の別個の平面に形成される。厚みが約0.68インチ(または1.728cm)のサセプタに対して、第1の加熱素子250は、サセプタ表面から約5〜8mmに位置する。以下、サセプタ155の表面に対する第1の加熱素子250の位置の重要性について記載する。
[0059]各加熱素子(例えば、加熱素子250および加熱素子260)は、サセプタの材料に類似した熱膨張特性の材料から作られる。このような材料の1つは、窒化アルミニウムに類似した熱膨張率を有するモリブデン(Mo)を含む。一実施形態において、各加熱素子は、コイル状の構成のモリブデン材料の薄い層(例えば、2ミル)を含む。
[0060]図1において、第1の加熱素子250に対して(サセプタ155の表面に対して)下位に位置するサセプタ155の本体の下位に位置する平面に、第2の加熱素子260が形成される。一実施形態において、約1.728cmの厚みのサセプタに対して、第1の加熱素子250の平面から約5mmの平面に、第2の加熱素子260が配置される。
[0061]この実施形態において、第1の加熱素子250および第2の加熱素子260は、電力端子に別々に結合される。電源端子は、シャフト158を通る長手方向に延在する開口を介して、サセプタ155の表面を加熱するために必要なエネルギーを供給する電源へ、伝導リード線として下方向に延在する。
[0062]また、図1に示すように、ヒータ150の断面に熱電対210があることに留意されたい。熱電対210は、シャフト158を通る長手方向に延在する開口を介して、サセプタ155の上位または上面のすぐ下方の点まで延在する。サセプタ155が円筒状である実施形態において、熱電対210は、円筒状の本体の中点とほぼ対応する点で延在する。
[0063]上述したように、リアクタ145内の環境は、多くの材料に対して非常に厳しいものであり得る。リアクタ適合シャフトおよびサセプタの開口内に、熱電対210とともに、電源への伝導リード線を配置することによって、チャンバ145の環境に構成部分が露出されないため、これらの構成部分の劣化または腐敗の問題が改善される。
[0064]図4は、サセプタ155およびシャフト158を含むヒータの2分の1横断面図を示す。図4は、ヒータ150のさまざまな構成部分を収容するために、ほぼ全長にわたって長手方向に延在する開口を有するシャフト158を示す。これらの構成部分は、第1の加熱素子240への伝導リード線215aおよび215bと、第2の加熱素子260への伝導リード線220aおよび220bを含む。伝導リード線215a、215b、220a、および220bは、一端で1つ以上の電源に結合され、これらの電源により、特定のプロセスに対して要求される温度を供給するのに必要なエネルギーが各加熱素子に与えられる。
[0065]図4から分かるように、伝導リード線は、ヒータ150のサセプタ155の本体内に延在する。伝導リード線215aおよび215bは、サセプタ155の本体に形成された第1の加熱素子250の位置に対応する線A−Aによって示す平面によってほとんど画成された点まで、シャフト158からサセプタ155の本体内に上位に延在する。伝導リード線220aおよび220bは、伝導リード線215aおよび215bよりサセプタ155の表面から離れた点まで、サセプタ155の本体内にシャフト158から上位に延在する。伝導リード線220aおよび220bは、サセプタ155の本体に形成された第2の加熱素子260の位置に対応する線B−Bによって示す平面によってほぼ画成された点まで延在する。
[0066]また、図4は、サセプタ155の表面の拡大図を示す。同図において、サセプタ155の表面は、約0.03インチの深さの谷であるウェハパケット156を有するように示されている。サセプタ155の表面上に装填されたウェハが、ウェハパケット156内に載置される。ウェハパケット156は、1つの方法では、サセプタ155の表面上でウェハを捕捉するように作用したり、特に、ウェハ装填プロセス中、サセプタ155の表面からウェハが滑り落ちないように作用したりする。一実施形態において、ウェハパケットは、傾斜縁部、例えば、角度αが60°〜80°の縁部を有する。
[0067]また、図4は、リフトピン195を支持するための開口198の拡大図を示す。一実施形態において、リフトピン195は、本体より直径が大きいヘッドを有する。リフトピン195は、ヒータ150が、「ウェハプロセス」状態にあるとき、サセプタ155の表面と同一平面にある(ウェハパケット156の表面と同一平面にある)。したがって、開口198は、上端部で、リフトピン195のヘッドを収容するのに十分な幅の直径を有する。このように、約0.11インチの厚みのヘッド部分を有するリフトピンの場合、開口198の上端部は、リフトピン195のヘッドを収容するために、0.11インチの深さを有する。開口198の直径は、リフトピン195が開口から抜けないように、上端部の下方で狭くなっている。開口198を介して熱損失を受けることもあることを認識されたい。したがって、一実施形態において、開口198の直径は、熱損失を低減するように最小化される。例えば、開口198の上部分の直径が、わずかに小さい直径の同様のものを有するリフトピンのヘッドを収容するために、0.180インチである。開口198の残りの部分は、同様のものやわずかに小さい直径を有するリフトピンの本体を収容するために、0.13インチである。
[0068]場合によって、CVD反応プロセスが大気圧以外の圧力で動作されるであろうことを認識されたい。LPCVD反応条件の場合、例えば、チャンバ145内の圧力(図2、図3、および図4を参照されたい)は、典型的に、例えば、1〜250トールで動作する。上述したように、ヒータ150の外部は、チャンバ145内の反応条件に露出される。ヒータ150の外面がこの真空に露出されると同時に、ヒータ150の内部分は、チャンバ145の環境から保護される。このように、例えば、伝導リード線215a、215b、220a、および220b、および熱電対210は、シャフト158を介して、サセプタ155の本体内への開口または導管に配置されることによって、チャンバ145の環境から保護される。一実施形態において、シャフト158を通る開口または導管の圧力は、チャンバ145の圧力であり得る真空にさらされない。この代わり、シャフト158を通る開口または導管の圧力は、大気圧である。したがって、ヒータ150およびリフトプレート190をチャンバ145において移動させる(例えば、上下に)ステップモータは、チャンバの真空力に逆らって移動するようなサイズのものである。このように、本発明のヒータ構成の1つの利点として、ヒータ150のチャンバ環境から保護される構成部分が、チャンバ145内でヒータ150を移動させる(例えば、上下に)モータに過度な要求を与えるほど、シャフトの直径が大き過ぎずないように、更に、シャフト158内の容積が大き過ぎないように嵌め込まれてもよい点が挙げられる。サセプタ155の本体の別々な平面に加熱素子(例えば、第1の加熱素子250および第2の加熱素子260)を配置することで、このような嵌め込みが可能になる。また、嵌め込み式にすることで、シャフト158の開口または導管を通るシステムの熱損失が最小限に抑えられる。
[0069]図5は、シャフト158の底部を通る本発明のヒータの一実施形態の図を示す。同図から、第1の加熱素子250用の伝導リード線215aおよび215bおよび第2の加熱素子260用の伝導リード線220aおよび220bが、サセプタ155の中心にまとめて嵌め込まれた状態が示されている。また、伝導リード線215a、215b、220a、および220bとともに、熱電対210が嵌め込まれている。この図から分かるように、ヒータ150のシャフト158の直径は、この例において、サセプタ155の直径の約3分の1まで最小化されてもよい。また、図5は、サセプタ155の本体に4つの開口198を示す。開口198は、例えば、サセプタ155の上面へウェハを上昇および下降させるために使用されるリフトピン195を支持する。
[0070]図6は、図4の線A−Aに沿ったサセプタ155の上面断面図を示す。同図において、図4の線A−Aで画成された平面に形成された第1の加熱素子250が示されている。第1の加熱素子250は、一実施形態において、鏡像のような方法で示す2つの対向するコイル部分230aおよび230bから構成される。
[0071]第1の加熱素子250のコイル部分230aおよび230bが、図4の線A−Aで画成された平面において、サセプタ155の本体に形成される。第1の加熱素子250のコイル部分230aおよび230bは、端子216aおよび216bのそれぞれに結合されて、伝導リード線215aおよび215bのそれぞれを介して、コイル部分を電源に接続する。一実施形態において、コイル部分230aおよび230bは、電源の電流要求およびヒータの温度範囲に適合可能な材料から作られる。また、コイル部分230aおよび230bは、一実施形態において、窒化アルミニウムに類似した熱膨張特性を備えた材料から構成されるように選択される。上述したように、窒化アルミニウム(AlN)ステージまたはサセプタに形成された厚みが約2ミルのモリブデン(Mo)材料は、750℃を超えるサセプタ温度を生成可能である(適切な電源への結合時)。一実施形態において、対向するコイル部分230aおよび230bは、約3〜5mmだけ端子216aおよび216bで分離される。コイル部分間の距離は、コイル部分間の任意の実効「コールドゾーン」を低減するように短くすることができる。
[0072]図7は、図4の線B−Bに沿って切り取られたサセプタ155の断面平面図を示す。図7は、第2の加熱素子260の平面を示す。この実施形態において、第2の加熱素子260は、第1の加熱素子250よりサセプタ155の表面から離れた位置で、サセプタ155の本体に形成される(すなわち、線A−Aで画成される平面は、線B−Bで画成される平面よりサセプタ155の表面に近い)。図6に類似して、第2の加熱素子260は、一実施形態において、線B−Bでほぼ画成された平面に鏡像のように形成されたモリブデン(Mo)の対向するコイル部分232aおよび232bを含む。第2の加熱素子260のコイル部分232aおよび232bは、端子221aおよび221bのそれぞれに結合されて、伝導リード線220aおよび220bを介してコイル部分を電源に接続する。一実施形態において、対向するコイル部分232aおよび232bは、約3〜5mmだけ端子221aおよび221Bで分離される。この距離は、コイル部分間の任意の実効「コールドゾーン」を低減するように短くすることができる。
[0073]図6および図7に示す実施形態において、第2の加熱素子260のコイル部分232aおよび232Bは、図7において軸217Bの周りで互いに対向し、第1の加熱素子250のコイル部分230aおよび230bは、図6において軸217Aの周りで互いに対向するl一実施形態において、コイル部分232aおよび232bは、コイル部分230aおよび230bに対して約180°回転してもよい。このように、第1の加熱素子250または第2の加熱素子260のいずれかの対向するコイル部分間の領域が、他方の加熱素子によって補償される。加熱素子コイルの構成は、図示するように、180°ずらされる必要がないことを認識されたい。あるいは、加熱素子コイルは、例えば、互いの上に直接存在してもよく(すなわち、補償なし)、または、対向するコイル部分間の領域を何らかの方法で補償するように、互いに重複し、および/またはさまざまな角度で線形状にずれたものであってもよい。
[0074]図8は、サセプタ155の概略平面図を示す。サセプタ155の表面は、少なくとも3つのゾーンに分割される。図8に示すように、領域245は、半径Rで画成する領域を有するゾーンを形成する。領域245は、シャフト158の上方にあるサセプタ155の領域と関連付けられる。領域254は、半径Rで画成される領域から半径Rで画成される領域245を差し引いたゾーンを形成する。領域255は、サセプタ155の縁部に関連付けられ、半径Rで画成される領域から、半径Rで画成される領域254と、半径R1で画成される領域245とを差し引いたゾーンを形成する。
[0075]一実施形態において、第1の加熱素子250および第2の加熱素子260は、独立した加熱分布を有し、したがって、別々に制御されてもよい。このようにして、第1の加熱素子250は、第2の加熱素子260の一定の点より、一定の点でより多くの電力またはより少ない電力を受けてもよい。また、この点で、抵抗ヒータに追加の加熱ゾーンを更に画成するために、サセプタ155の本体に追加の加熱素子が追加されてもよいことを認識されたい。複数の加熱素子を組み込むために考慮すべきことには、サセプタ155の平面に配置することと、追加の伝導リード線を嵌め込むこととがある。
[0076]第1の加熱素子250および第2の加熱素子260が別々に制御される1つの方法は、加熱素子の厚みを概して一定に保ちながら、サセプタ155の領域にわたった各加熱素子の幅を変更することで行う。抵抗ヒータに対して、加熱素子に供給される電力、ひいては、加熱素子によって放出される熱は、加熱素子の抵抗に直接関係する。一定の厚みを有する抵抗加熱素子に対して、加熱素子の幅広い部分(すなわち、より大きな容量)は、より小さな抵抗を有し、電流を移動するのに必要な電力が少なく、加熱素子のより狭い部分(すなわち、より小さな容量)より少ない熱を放出することになる。したがって、一定の点で加熱素子の幅を短くすることによって(すなわち、加熱素子の容量を小さくすることによって)、加熱素子に供給される電力は、加熱素子の幅が短くされていない点より、加熱素子を通る電流の量を移動するために、該当する点でより大きくなる。短くされた点で放出される温度は、加熱素子の幅が短くされていない点より同様に高くなる。更に、加熱素子の長さを通る電流の量を移動させるのに要求される電力の量として概して画成される電力密度は、幅が短くされた加熱素子の該当部分においてより高くなる。
[0077]図6において、第1の加熱素子250は、例えば、厚みが約2ミルのモリブデン(Mo)材料である。第1の加熱素子250の幅は、この実施例において、領域245(図8を参照)において第1の加熱素子250への電力分配を局所化するように変更される。領域245は、この実施例において、シャフト158の上方の領域を取り囲むように画成される。一実施形態において、熱電対210および伝導リード線215a、215b、220a、および220bを収容するようにシャフト158を介して開口が形成される場合、サセプタ155の領域での熱損失は、領域245として示すシャフト158上方の領域に関連付けられたサセプタ155の領域より大きくなる。このようにして、加熱素子240の該当部分において領域245と関連付けられた電力密度は、加熱素子250の該当部分において領域255と関連付けられた電力密度より大きくなる。
[0078]図6および図8を参照すると、一実施例において、領域245(図8を参照)に対応する領域における第1の加熱素子250の幅Wは、ヒータ150のサセプタ155の領域255(図8を参照)に対応する幅Wより小さい。第1の加熱素子250のより小さい幅W部分を通る電流は、加熱素子250の他の部分(例えば、幅W)を通る電流より大きい抵抗に出会い、したがって、加熱素子250によって放出される熱は、領域245より大きくなる。厚みが2ミルのモリブデン(Mo)材料の加熱素子に対して、領域255に対して領域245の電力密度を上げるために、幅Wは、例えば、幅Wの10パーセント以下であってもよい。モリブデン材料の一実施形態において、第1の加熱素子250にわたった抵抗値は、2オーム(例えば、幅W)〜4オーム(例えば、幅W)の間で変動する。
[0079]図7および図8を参照すると、この実施例において、サセプタ155の縁部に対応する領域を取り囲むように、領域255が画成される。一実施形態において、サセプタ155の表面の領域の熱損失は、領域255として示す縁部でより大きくなる。このように、例えば、加熱素子260の該当部分における領域255と関連付けられた電力密度は、サセプタ155の他の領域に関連付けられた電力密度より大きくなる。
[0080]図7および図8を参照すると、第2の加熱素子260は、例えば、厚みが約2ミルのモリブデン(Mo)材料である。第2の加熱素子260の幅は、この実施例において、領域255(図8を参照)における第2の加熱素子260へ電力密度を局所化するように変動する。このように、領域255(図8を参照)に対応する領域における第2の加熱素子260の幅Wは、ヒータ150のサセプタ155の他の領域に対応する幅Wより短い。加熱素子260のより小さい幅W部分を通る電流は、加熱素子260の他の部分(例えば、幅W)を通る電流より大きい抵抗に出会い、したがって、加熱素子260によって放出される熱は、領域255より大きくなる。厚みが2ミルのモリブデン(Mo)材料の加熱素子に対して、領域245に対して領域255の電力分配を上げるために、幅Wは、例えば、幅Wの10パーセント以下であってもよい。モリブデン材料の一実施形態において、第1の加熱素子250にわたった抵抗値は、2オーム(例えば、幅W)〜4オーム(例えば、幅W)の間で変動する。
[0081]図9は、ヒータ150のサセプタ155の表面に対する加熱素子の個別制御をグラフで示す。図9は、第1の加熱素子および第2の加熱素子に供給される電力比と、サセプタ155の半径との関係を示す。電力比は、この実施形態において、第1の加熱素子250の電力と、第2の加熱素子260の電力との比率として画成される。図示するように、第1の加熱素子250の電力比は、第1の加熱素子250に供給される追加の電力により、領域またはゾーン245において、サセプタ155の他のゾーンより大きい。同様に、電力比は、該当領域またはゾーンにおいて第2の加熱素子260に供給される追加の電力により、領域またはゾーン255において、サセプタ155の他の領域より大きい。
[0082]図4〜図8に示すようなマルチゾーン抵抗加熱素子により、ヒータのサセプタの個々の領域またはゾーンを別々に対処できるようになることによって、サセプタの表面にわたってシングルゾーン抵抗ヒータより、温度均一性が高まる。例えば、図4〜図8に示すようなデュアルゾーン抵抗ヒータにより、第1のゾーン(領域245)は、サセプタ155の他の領域から個別に対処することができる。このように、シャフト158を通した場合の熱損失は、サセプタ155の表面にわたった温度均一性を犠牲にすることなく対応することができる。同様に、第2のゾーン(領域255)は、サセプタ155の他の領域とは個別に対処されてもよい。このように、サセプタ155の縁部での熱損失(750℃以上の温度でも)は、サセプタ155の表面にわたり温度均一性を犠牲にすることなく対応することができる。このように、高温への応用の場合(例えば、約750℃以上)、別々の領域は、例えば、シャフト158に関連付けられた領域およびサセプタ155の縁部の熱損失が、第1の領域245および第2の領域255への高められた電力分配によって補償されてもよい。したがって、サセプタ155の表面にわたる温度は、従来技術のシングルゾーン抵抗ヒータより一定の値で維持できる。
[0083]図4〜図8において、第1の加熱素子250および第2の加熱素子260は、サセプタ155とほぼ同じ領域を示す。このような構成の1つの利点は、一方の加熱素子が故障した場合、他方の加熱素子は、サセプタの表面全体を加熱するように構成できることである。個々の加熱素子が、サセプタ155の同様の領域を占める必要はないが、領域245や領域255などの特定の領域のみを占めるように構成されてもよいことを認識されたい。
[0084]図4〜図8において、第1の加熱素子250は、第2の加熱素子260の平面(図5の線B−Bで示す)よりサセプタ155の上面に近い平面(図4の線A−Aで示す)に位置する。一実施形態において、第1の加熱素子250は、サセプタ155の表面から約5〜8mmの位置にある。このように、表面から第1の加熱素子250を分離することで、温度分布が良好になり、局所化された加熱が低減する。
[0085]それぞれの加熱素子の配置は、プロセス条件とプロセスの目的に応じて異なることを認識されたい。第1の加熱素子250に関連する平面より下側のサセプタ155の本体の平面に第2の加熱素子260を配置する1つの理由は、第2の加熱素子260に供給された電力が、第1の加熱素子250に供給されたものより大きくなり得るためである。このような状況は、例えば、サセプタ155の表面の縁部での熱損失が、サセプタ155の表面の中心の熱損失より大きい場合に生じる。したがって、サセプタ155の中心と比較した場合、サセプタ155の縁部に供給された追加の電力(例えば、より高い電力密度)は、1つの例において、第2の加熱素子260の位置(線B−Bで示す)と、サセプタ155の表面との間の差が大きい結果として、該当する加熱素子がサセプタ155の表面付近の平面に位置した場合より良好に分配できる。良好に分配されると、局所化された加熱、いわゆる「ホットスポット」の可能性が低下し、サセプタ155の表面でより均一に熱が分配される。第2の加熱素子260の位置を介して熱をより均一に分配することによって、サセプタ155の表面の温度を、特定のスポットで750℃以上の温度になった場合でも制御することができるようになる。また、より均等に分配することにより、サセプタの亀裂や損傷の可能性が低下する。
[0086]図10および図11は、サセプタ155の表面の温度を監視または表示するための一実施形態を示す。図10は、チャンバ本体の上面の一部分、詳しく言えば、チャンバ蓋170の一部分および穿孔面板180の一部分の概略図を示す。チャンバ蓋170の開口を貫通して延在しているものは、2つのパイロメータである第1のパイロメータ200および第2のパイロメータ205である。図11は、第1のパイロメータ200および第2のパイロメータ205を結合されたチャンバ蓋170の平面図を示す。第1のパイロメータ200および第2のパイロメータ205は、例えば、ワシントン州バンクーバーのSekidenko,Inc.から利用可能である。各パイロメータは、サセプタ155の表面(またはサセプタ155上のウェハの表面)の温度に関するデータを与える。一実施形態において、各パイロメータは、335℃〜1200℃の温度測定範囲を有する。各パイロメータは、パイロメータの位置に対応する領域においてサセプタ155の温度を測定する。図11に示す例において、第1のパイロメータ200は、領域またはゾーン245においてサセプタ155の温度を測定するのに対して、第2のパイロメータ205は、領域またはゾーン265においてサセプタ155の温度を測定する。熱電対210は、ほぼサセプタ155の中心または中心235に対応するか、またはその付近にあるサセプタ155の表面で温度を測定する。パイロメータおよび熱電対は、例示的なものであり、他のデバイスが、温度表示器として使用されてもよい。例えば、熱カメラは、本発明の別の実施形態においてパイロメータに代替されてもよい。
[0087]第1のパイロメータ200および第2のパイロメータ205は、露出される放射エネルギーまたは光に部分的に基づいてパイロメータが温度測定値を与えるため、各パイロメータは、チャンバ145の内部へのアクセスを有するものでなければならない。この場合、第1のパイロメータ200および第2のパイロメータ205の底部にある窓290および295のそれぞれと、チャンバ蓋170に形成された開口270および275のそれぞれと、面板180に形成された開口280および285のそれぞれによって、アクセスが与えられる。CVD堆積プロセス等のある実施形態において、第1のパイロメータ200および第2のパイロメータ205のそれぞれへの利用可能な放射または光を乱し、パイロメータの温度測定ができない事態を生じさせることがある、窓290および295のコーティングの可能性に関する問題が存在する場合がある。したがって、一実施形態において、特に、開口280および285だけでなく、可能性として、開口270および275の長さおよび幅は、窓290および295の可能性のあるコーティングを最小限に抑えるように構成される。一実施形態において、開口の比率は、面板180の厚みに関係する。開口と面板の厚みの適切な関係は、約1対約3である。
[0088]一実施形態において、複数の温度測定値は、サセプタ155の表面の温度を調整および制御するために使用される。例えば、Siを堆積するためのLPCVDプロセスにおいて、約750℃の表面反応温度が、ヒータ150のサセプタ155の表面にわたって約±2.5℃の温度差で望ましいものであり得る。本発明のシステムは、基準温度または制御温度として使用されるサセプタ155の中心または中央部235での温度を測定することによって(この実施形態において、熱電対210によって測定)、ヒータ150の温度を調整および制御できる。サセプタ155にわたった温度均一性は、第1のパイロメータ200および第2のパイロメータ205の温度差ΔTによって測定される。測定されたΔTから、システムは、SiのLPCVDプロセスに対して約750℃で約±2.5℃など、ある一定の範囲においてΔTを制御するために、第1の加熱素子および第2の加熱素子の電力比を調節する。温度差(例えば、ΔT)の測定値を用いる利点は、少なくとも2つある。第1に、ウェハ間の放射率の変化は、各パイロメータの絶対測定に影響を与えるが、ΔTの相対値には影響しない。第2に、時間の経過とともにチャンバの状況が変化することは、相対温度測定に影響を与えないが、一般的に、絶対温度測定に影響を与える。
[0089]図12は、本発明の一実施形態により構成されたリアクタにおいてウェハを処理する一般的な方法を記述する。図12は、例えば、CVDプロセス中、デュアルゾーンのシングルウェハ抵抗ヒータにおいて温度を制御する方法を記述する。第1のステップ(ステップ300)として、CVDリアクタのチャンバ145におけるヒータ150が、ウェハ装填位置に配置される(図3および添付のテキストを参照)。次に、例えば、ロボット移送機構を用いて、サセプタ155にウェハが装填される。次いで、ヒータ150は、例えば、図1に示すように、ウェハが穿孔面板180に隣接するような位置に位置される(ステップ320)。次いで、リアクタは、プロセス温度まで上昇される(ステップ330)。Siを堆積するためのLPCVDプロセスにおいて、例えば、温度は、約750℃まで上昇される。温度は、熱電対210および第1のパイロメータ200および第2のパイロメータ205の一方または両方で測定された、ヒータ150のサセプタ155の表面にわたった温度を調整する(ステップ340)。温度は、第1の加熱素子250および第2の加熱素子260への電源を調整することによって制御される(ステップ350)。
[0090]反応が完了し、所望の膜の厚みが得られると、チャンバに供給されるプロセスガスは中断され、チャンバは、窒素などの不活性ガスでパージされる(ステップ370)。次に、ヒータステージは、ウェハ装填位置へ動かされ(図3および添付のテキストを参照)、処理されたウェハは取り除かれて、別のウェハと取り替えられる(ステップ380)。
[0091]先の記載は、サセプタ155の異なる領域またはゾーンの温度を制御および調整することによって、ヒータ150のサセプタ155の表面の温度、ひいては、サセプタ155の表面上のウェハの表面反応温度を制御することに関する。このような制御および調整は、手動で、またはシステムコントローラを利用して行われてもよいことを認識されたい。前述した例において、オペレータが、異なる温度表示器(例えば、第1のパイロメータ200、第2のパイロメータ205、および熱電対210)の温度測定値を記録し、第1の加熱素子250および第2の加熱素子260のいずれかまたは両方に供給された電力を手動で調節してもよい。あるいは、コントローラが、温度表示器によって測定された温度を記録し、例えば、温度差の相対値を決定し、それに応じて加熱素子を調節するアルゴリズムに基づいて、加熱素子に供給された電力を制御するように構成されてもよい。
[0092]図12は、例えば、第1のパイロメータ200、第2のパイロメータ205、および熱電対210などの表示器によって、コントローラ225に与えられる温度測定値に基づいて、第1の加熱素子250および第2の加熱素子260を制御するためのシステムの概略図を示す。一実施形態において、コントローラ225は、温度表示器の少なくとも2つの温度差を比較し、温度表示器が許容範囲内になるように、ヒータ150の温度を調節するために電源215を制御するのに適切なアルゴリズムを含む。例えば、ヒータの温度が、ヒータ150のサセプタ155の表面にわたって約750℃±約2.5℃であることが望ましい例において、コントローラ225は、少なくとも2つの表示器によって与えられた測定値に基づいて、この結果を達成するように電源215を制御する。
[0093]コントローラ225には、コントローラ225においてメモリなどのコンピュータ読取り可能媒体に格納されたコンピュータプログラムであるソフトウェア命令ロジックが供給される。メモリは、例えば、ハードディスクドライブの一部分である。また、コントローラ225は、所望の反応温度および表示器間の温度差の許容範囲(例えば、約±3℃)などの反応に関するパラメータをオペレータが入力できるユーザインタフェースに結合されてもよい。LPCVD反応プロセスにおいて、コントローラ225は、チャンバ145の圧力を測定する圧力表示器とともに、チャンバ145の圧力を調節するための真空源に更に結合されてもよい。
[0094]一般的に、ヒータ素子の制御は、電圧または電流のいずれかを調整することによって行われる。ヒータ素子の電力出力は、電圧調整によって、次の式の通りになる。

電力=電圧/抵抗

[0095]図13において、コントローラ225には、所望の動作温度(温度設定点201によって与えられる)が供給される。コントローラ225は、第1の加熱素子ドライバ216および第2の加熱素子ドライバ217に必要な電圧を供給する電源215を制御する。加熱素子ドライバは、第1の加熱素子250および第2の加熱素子260のそれぞれに印加された電圧を制御する。コントローラ225は、ヒータ150のランプレートおよびヒータ150の電圧または電力比(それぞれ、ランプレート/PID制御203および電圧比202)を制御する。
[0096]例えば、図13に記載するシステムを用いたマルチゾーンヒータ制御の一実施形態を以下に記載する。マルチゾーンヒータ温度は、内側ゾーン(例えば、領域またはゾーン245)にある1つの温度センサで制御される一方で、内側ゾーン(例えば、領域またはゾーン245)と、外側ゾーン(例えば、領域またはゾーン254や領域またはゾーン255)との間に、較正後の相関関数が設定される。温度制御には、2つの方法が採用される。ヒータ温度が設定点に近く、比例積分微分フォワード(PIDF:proportional plus integral derivative forward)サーボバンド内である場合、PIDF制御アルゴリズムは、ヒータ温度を設定点に調整するように用いられる。一方で、ヒータが上昇または冷却モードにあり、その温度がPIDFサーボバンド外である場合、ランプのアルゴリズムは、ヒータの寿命を延長するために、加熱または冷却の所定の速度で加熱温度を制御するように用いられる。デュアルゾーンヒータの温度均一性は、内側および外側ゾーン間の電圧比または電力比によって制御される。この比率は、一定ではなく、ヒータでの温度上昇とともにサセプタの内側および外側ゾーン間で熱損失が異なって増大するため、温度の変化とともに比率を変化する必要がある。また、比率の設定は、チャンバ圧力やガスフローなどのチャンバ条件にも依存する。
[0097]ヒータ制御モードは、ランプ制御およびPIDF制御に分割することができる。ランプ制御は、異なる電圧または電力比を有する温度範囲によって、「低温ランプ」および「高温ランプ」として更に分割することができる。「低温ランプ」は、固定電圧比を用いる。「高温ランプ」は、式で計算される変更された電圧または電力比を用いる。
I.制御条件
[0098]チャンバの動作条件は、2つのモード、すなわち、「チャンバオフライン/オンライン」および「レシピ」動作に分けられる。動作シーケンスは、以下のとおりであってもよい。
A.チャンバオフライン/オンライン:
[0099]温度を設定するためにヒータが加熱を開始したとき、制御ステップは、以下のとおりである。
1.ヒータ温度が所定温度を下回る場合、低温ランプ
2.ヒータ温度が所定の温度を超えた場合、高温ランプ
3.ヒータ温度が、所定のサーボバンド内の要求温度に達した場合、PIDFサーボ
[0100]ヒータが冷却を開始したとき、制御ステップは逆転させる。
1.ヒータ温度が所定の温度を超えた場合、高温ランプ
2.ヒータ温度が所定の温度を下回る場合、低温ランプ
3.ヒータ温度が所定のサーボバンド内の要求温度に達した場合、PIDFサーボ
[0101]ランプレートおよび他のPIDファクタは、所定のシステムの定数に従う。
B.レシピ動作
[0102]レシピ動作において、ヒータ制御は、温度制御要求パラメータ、すなわち、温度設定値、電圧比、およびランプレートを含む(要求温度が前述のステップと異なる場合)。
[0103]クリーンレシピに対して、制御シーケンスは、例えば、以下の通りである。
33.プロセス温度でPIDFサーボ
34.クリーン温度まで低下
35.クリーン温度でPIDFサーボ
36.プロセス温度まで上昇
37.プロセス温度でPIDFサーボ
[0104]PIDFサーボ中、電圧比は、レシピのステップのリストに挙げられる。上昇または低下中、ランプレートは、レシプのステップのリストに挙げられ、電圧比は、「チャンバオフライン/オンライン」において使用されるような所定の値に従う。
II.ランプ制御
[0105]ヒータ温度のランプレートに比例制御を用いる以下の式において、ランプ制御に用いられる1つのアルゴリズムを記載する。
[0106]ヒータランプ電圧:
内側ゾーンヒータランプ電圧=前の内側ゾーンヒータランプ電圧+([ランプP利得](ターゲットランプレート−実際のランプレート)
外側ゾーンヒータランプ電圧=電圧比内側ゾーンヒータランプ電圧
外側ゾーンヒータランプ電圧>100%(例えば、10V)であれば、
外側ゾーンヒータランプ電圧=100%(例えば、10V)
内側ゾーンヒータランプ電圧=外側ゾーンヒータランプ電圧/電圧比
[0107]ターゲットランプレートは、オンライン/オフラインのヒータ温度ランプレートであり、ターゲットランプレートは、「クリーン」レシピの「ランプレート」によって上書きが可能である。表1は、上記式の各パラメータの記述を表し、LPCVDチャンバの典型的な推奨値を与える。
[0108]
Figure 0004953572
[0109]ランプ制御の場合、電圧比は、ヒータ温度の範囲に依存する。
[0110]A.現在の温度(℃)<[T]の場合、電圧比=[R
注記:R=低温ランプの電圧比
=低ランプの温度制限値(℃)
[0111]B.[T]<現在の温度(℃)<設定温度(℃)−[PID制御を切り換える温度誤差」」であれば、
電圧比=[R]+([R]−[R])(現在の温度−[T])/([T]−[T])
注記:R=低温ランプの電圧比
=高温ランプの電圧比
=高ランプの温度制限値(℃)
=低ランプの温度制限値(℃)
、Rは、2つのゾーンの最大電圧比(R)によって制限される。
[0112]C.レシピ(プロセスおよびクリーン)において:
電圧比は、所望のヒータ温度範囲に依存する。
プロセス温度で:
電圧比=レシピのプロセス温度での電圧比
レシプの各リクエスト温度(℃)−[PID制御を切り換える温度誤差」の前にヒータ温度が下降または上昇する場合。
電圧比=[R]+([R]−[R])(現在の温度−[T])/([T]−[T])
注記:R=低温ランプの電圧比
=高温ランプの電圧比
=高ランプの温度制限値(℃)
=低ランプの温度制限値(℃)
、Rは、2つのゾーンの最大電圧比(R)によって制限される。
温度が10℃変化するごとに、電圧比が再計算される。
III.PIDF制御
[0113]PIDF制御は、ヒータ温度が、システムによって設定された温度帯内にあるときに用いられる。PIDF制御値内では、全ヒータ電圧を計算するために、最高で5つの異なるパラメータが用いられる。これらの5つのパラメータは、フィードフォワード、温度プリセット、P、I、およびDである。フィードフォワードレッグは、ある設定点で温度を維持するのに必要な電圧を与える。負荷がない場合、全ヒータ電圧に寄与する構成部分だけでなければならない。フィードフォワードを追加する1つの目的は、抵抗が変化する可能性を有する異なるヒータに制御の安定性を与えることである。温度プリセットは、ガスの導入または圧力のランプ中、ヒータに大きな負荷が存在し得るとき、ヒータに瞬間的な電圧の変化を与えるために、プロセスレシピにおいて利用可能である。Pレッグは、温度誤差と利得とを乗算することで求められ、Iレッグは、全温度誤差と利得とを乗算することで求められ、Dレッグは、温度誤差の傾きと利得とを乗算することで求められる。Iレッグは、温度が設定点付近にあるとき、ほぼ定常状態の状況でのみ用いられる。Iレッグは、温度プリセットがプロセス中に用いられるとき、全電圧計算において用いられない。
[0114]PIDF制御中の内側ゾーンヒータの電圧は、表2の式により求められる。Dレッグは、全電圧から減算されるのに対して、他のレッグは加算される。個々のレッグの式は、いくつかの例の計算で示される。Iレッグおよび温度プリセットレッグは、互いを除いたものである。Iレッグは、温度プリセットがゼロのときのみ全電圧に寄与する。温度プリセットがゼロでなければ、Iレッグは用いられない。
[0115]PIDF制御中の外側ゾーンヒータ電圧は、内側ゾーンPID制御出力電圧と電圧比(電力相関)の積によって求められる。電圧比(電力相関)は、実プロセス条件、異なる製造元からのヒータ、およびホットアイドル条件に基づいて較正された表またはリストであってもよい。
[0116]
Figure 0004953572
[0117]例:
(内側)ヒータPID電圧=(49.1%+3.4%+3.0%+0%)−2.0%=53.5%=>107VAC
フィードバックレッグ=750((0.655/(2000+20002^2)=>49.1%
Pレッグ=0.8(142.730/(2000+20002^2)=>3.4%
(外側)ヒータ電圧=1.15107=>123VAC
電力比=1.5
*注記:計算中の矢印は、示された計算値が10の大きさ異なることを示す。
[0118]
Figure 0004953572
[0119]PIDF制御に対して、電圧比は、ヒータ温度範囲に依存する。
[0120]A.設定温度(℃)が[T]より低ければ、
電圧比=[R
注記:R=低温ランプの電圧比
=低ランプの温度制限値(℃)
[0121]B.設定温度(℃)が[T]と[T]の間にあれば、
電圧比=[R]+([R]−[R])(設定温度−[T])/([T]−[T])
注記:R=低温ランプの電圧比
=高温ランプの電圧比
=高ランプの温度制限値(℃)
=低ランプの温度制限値(℃)
[0122]C.電圧比−レシピ(プロセス/クリーン)において:
PID制御を切り換えるためのプロセス温度±温度誤差内
電圧比=レシプのプロセス温度での電圧比。電圧比設定は、2つのゾーンの最大電圧比(R)によって制限される。
[0123]表4は、加熱、スタンバイ/プロセス、および冷却のヒータパラメータを記述する。
[0124]
Figure 0004953572
[0125]表5は、チャンバクリーンレシプのヒータパラメータを記述する。
[0126]
Figure 0004953572
[0127]図14は、サセプタ155と、図7の第2の加熱素子260の上部にある図6の第1の加熱素子の平面断面図を示す。第1の加熱素子250は、一実施形態において、鏡像のような方法で示す2つの対向するコイル部分230Aおよび230Bから構成される。第1の加熱素子250のコイル部分230Aおよび230Bは、線A−A(図4)で画成された平面においてサセプタ155の本体に形成される。第1の加熱素子250のコイル部分230Aおよび230Bは、端子216Aおよび216Bのそれぞれに結合されて、伝導リード線215Aおよび215Bをそれぞれ介して電源にコイル部分を接続する。一実施形態において、コイル部分230Aおよび230Bは、電源の電流要求およびヒータの温度範囲に適合可能な材料から作られる。
[0128]図7の第2の加熱素子260は、第1の加熱素子250の平面のすぐ下方で実質的にその平面と平行な平面にある。この実施形態において、第2の加熱素子260は、サセプタ155の本体に形成されるが、サセプタ155の表面から離れた位置にある。第2の加熱素子260は、一実施形態において、線B−B(図4)でほぼ画成された平面に鏡像の方法で形成された対向するコイル部分232Aおよび232Bを含む。第2の加熱素子260のコイル部分232Aおよび232Bは、端子221Aおよび221Bのそれぞれに結合された、伝導リード線220Aおよび220Bを介して電源にコイル部分を接続する。一実施形態において、対向するコイル部分232Aおよび232Bは、端子221Aおよび221Bで約3〜5mmだけ分離される。この距離は、コイル部分間の任意の実効「コールドゾーン」を低減するように短くすることができる。
[0129]図14に示す実施形態において、第2の加熱素子260のコイル部分232Aおよび232Bは、軸217の周りに互いに対向し、第1の加熱素子250のコイル部分230Aおよび230Bもまた、軸217の周りに互いに対向する。一実施形態において、コイル部分232Aおよび232Bは、コイル部分230Aおよび230Bに対して約0°から約180°回転されてもよく、および/または約5mmから約50mmへ線形距離をずらしてもよい。別の実施形態において、コイル部分232Aおよび232Bは、コイル部分230Aおよび230Bに対して約90°から約270°回転されてもよい。別の実施形態において、加熱素子コイルは、例えば、互いの上に直接存在してもよい。ヒータ150のシャフト158に平行なC−Cと符号付けされた平面402がある(図4を参照)。C−Cと符号付けされた平面402は、第1の加熱素子250のコイル部分230Aおよび230Bと、第2の加熱素子260のコイル部分232Aおよび232Bとを交差させる。
[0130]前述したように、第1の加熱素子250および/または第2の加熱素子260は、抵抗率、ひいては、任意の所与の電流フローの熱出力を調節するために変更された断面領域を有してもよい。断面領域が増大した場合、抵抗が低下し、熱出力は、所与の電流フローに対して下げられる。断面領域が減少した場合、抵抗が増大し、所与の電流フローに対して、熱出力が増大する。抵抗および熱出力は、所望の温度プロファイルを与えるのに必要なように調節することができる。
[0131]図15は、第1の加熱素子250および第2の加熱素子260を介してC−Cの符号が付与された平面402に沿って切り取られた断面図を示す。図15の中央の点として、軸217が示される。図示するように、軸217の上方には、対向するコイル部分230Aおよび230Bと、端子216Aおよび216Bとを有する第1の加熱素子250がある。軸217の下方には、対向するコイル部分232Aおよび232Bと、端子221Aおよび221Bを有する第2の加熱素子260がある。
[0132]図16は、サセプタ155の本体において第1の加熱素子250および第2の加熱素子260のレイアウトの別の実施形態を示す。この実施形態において、軸217Bにずらされた軸217Aによって示すように、第1の加熱素子250および第2の加熱素子260がずらされる。軸217Aの周りに鏡像のような方法で示す2つの対向するコイル部分230Aおよび230Bとともに形成された第1の加熱素子250が示されている。第1の加熱素子250のコイル部分230Aおよび230Bは、端子216Aおよび216Bのそれぞれに結合された、伝導リード線215Aおよび215B(図5に示す)のそれぞれを介して、電源にコイル部分230Aおよび230Bを接続する。
[0133]第1の加熱素子250よりサセプタ(図示せず)から離れた位置にあるサセプタ155の本体に、第2の加熱素子260が形成される。言い換えれば、図16に示すように、第1の加熱素子250は、第2の加熱素子260の上部にある。第2の加熱素子260は、軸217Bの周りに鏡像のように形成された対向するコイル部分232Aおよび232Bを含む。第2の加熱素子260のコイル部分232Aおよび232Bは、端子221Aおよび221Bのそれぞれに結合されて、伝導リード線220Aおよび220B(図5に示す)を介して、電源にコイル部分232A、232Bを接続する。
[0134]図14と同様に、第1の加熱素子250および/または第2の加熱素子260は、サセプタ(図示せず)の表面での温度分布の要求に応じて、変更された断面領域を有することができる。第1の加熱素子250および/または第2の加熱素子260の断面領域が増大すると、抵抗が低下し、ひいては、所与の電流フローでの熱出力が低減する。更に、第1の加熱素子250および/または第2の加熱素子260の断面領域が低減すると、抵抗が上がり、任意の所与の電流フローの熱出力が上がる。断面領域および抵抗は、所望の熱出力およびヒータ150の温度分布を達成するために調節することができる。
[0135]図16を参照すると、D−Dで符号付けされた平面404が、ヒータ150のシャフト158の長手方向の軸に平行である。D−Dで符号付けされた平面404は、第1の加熱素子250および第2の加熱素子260を交差させる。図17は、第1の加熱素子250が、軸217Aと軸217Bとの間の距離だけ第2の加熱素子260からどのようにずらされるかを示す、第1の加熱素子250および第2の加熱素子260に沿って、D−Dで符号付けされた平面404で切り取られた断面図を示す。第1の加熱素子は、軸217Aの周りに対向するコイル部分230Aおよび230Bを有し、端子216Aおよび216Bを有する。第2の加熱素子260は、約217Aの周りに対向するコイル部分232Aおよび232Bを有し、端子221Aおよび221Bを有する。この実施形態において、第1の加熱素子250および第2の加熱素子260はずらされて、これにより、実験的なシミュレーションにおいて、コイル部分がずらされていない場合より、サセプタ(図示せず)の表面での温度分布の均一性が高まる。ギャップ407は、第1の加熱素子250の1つのコイル部分と、第2の加熱素子260の1つのコイル部分との間の水平方向の距離(図示したように)を表す。300mm直径のステージの場合、一実施形態において、約3〜約20mmの典型的なギャップ(ギャップ407)が、ステージの表面にわたって温度均一性を高めるために用いることができる。別の実施形態において、典型的なギャップは、約5〜約15mmのものであってもよい。別の実施形態において、典型的なギャップは、約7〜約10mmのものであってもよい。
[0136]図16および図17に示す実施形態において、ギャップ407は、軸217Aおよび軸217Bを実質的に平行な状態を維持しながら、第1の加熱素子250を第2の加熱素子260からずらすことによって形成される。別の実施形態において、軸217Aおよび軸217Bが約0°〜約180°の間、別の実施形態において、約15°〜約165°の間で互いにある角度をなすように、線形方向に第1の加熱素子250および第2の加熱素子260をずらすことによってギャップ407が形成されてもよい。図17は、第1の加熱素子250の隣接するコイル部分間の距離として、ピッチp418を示す。一実施形態において、ギャップ407は、ピッチp418の約0.3〜0.7倍のものであってもよい。別の実施形態において、ギャップ407は、ピッチp418の約半分のものであってもよい。一実施形態において、ギャップ407は、約5から15mmのものであってもよい。別の実施形態において、ギャップ407は、約7から約10mmのものであってもよい。
[0137]図18は、第1の加熱素子250および第2の加熱素子260の別の実施形態を示す。説明しやすいように、第1の加熱素子250には斜線を付けて示している。第1の加熱素子250は、正方形のコイル構成で示されているが、他のコイル構成または他のタイプの構成も、この実施形態での使用が考慮される。第1の加熱素子250は、端子216Aおよび216Bを含む。
[0138]第2の加熱素子260は、端子221Aおよび221Bを含む。また、第2のヒータ素子は、正方向のコイル構成で示されているが、他のコイル構成および他のタイプの構成も、この実施形態での使用が考慮される。一実施形態において、第1の加熱素子250および第2の加熱素子260は、第1の加熱素子250と第2の加熱素子260との間に常にギャップが維持されるように交差しない。一実施形態において、第1の加熱素子250と第2の加熱素子との間のギャップ408は、一定の距離に維持される。別の実施形態において、第1の加熱素子250と第2の加熱素子260との間のギャップ408が変更されて、要求に応じて、熱出力および温度分布を変更する。
[0139]E−Eで符号付けされた平面406は、ヒータ150のシャフト158の線形軸に平行である。E−Eで符号付けされた平面406は、第1の加熱素子250および第2の加熱素子260を交差する。一実施形態において、図18に示すように、第1の加熱素子250は、第2の加熱素子260の上部にある。
[0140]図19は、図18のE−Eで符号付けされた平面406の断面図を示す。この断面図は、第2の加熱素子260の上方に第1の加熱素子250を示す。第1の加熱素子250は、第2の加熱素子260からずらされて示される。第1の加熱素子250の1つのコイル部分と第2の加熱素子260の1つのコイル部分との間に、水平方向の距離として(図示するように)ギャップ408が示されている。図19に、第1の加熱素子250の隣接するコイル間の距離として、ピッチp428が示されている。一実施形態において、ギャップ408は、第1の加熱素子250のピッチp428の約0.3〜約0.7倍の距離を有する。別の実施形態において、ギャップ408は、第1の加熱素子のピッチp428の約0.5倍の距離を有する。
[0141]一実施形態において、第1の加熱素子250および/または第2の加熱素子260の断面領域が変更されて、第1の加熱素子250および/または第2の加熱素子260の抵抗および熱出力を変更することができる。加熱素子250、260の断面領域が増大すれば、抵抗が低下し、ひいては、熱出力は、任意の所与の電流フローに対して低減する。逆に、断面領域が低減すれば、抵抗は上がり、ひいては、熱出力は、任意の所与の電流フローに対して上がる。第1の加熱素子250および/または第2の加熱素子260の断面領域、抵抗、および熱出力は、それぞれの長さに沿って必要に応じて調節して、ヒータ150から所望の熱出力および温度分布を達成することができる(図1を参照)。
[0142]図20は、サセプタ155の本体における第1の加熱素子250および第2の加熱素子260のレイアウトの別の実施形態を示す。この実施形態において、第1の加熱素子250および第2の加熱素子260は、h272で示すようにずらされている。軸217の周りに鏡像のように示す2つの対向するコイル部分230Aおよび230Bとともに形成された第1の加熱素子250が示されている。第1の加熱素子250のコイル部分230Aおよび230Bは、端子216Aおよび216Bのそれぞれに結合された、伝導リード線215Aおよび215B(図5に示す)のそれぞれを介して、電源にコイル部分230A、230Bを接続する。
[0143]第2の加熱素子260は、第1の加熱素子250よりサセプタ(図示せず)の表面から離れた位置でサセプタ155の本体に形成される。言い換えれば、図20に示すように、第1の加熱素子250は、第2の加熱素子260の上部にある。第2の加熱素子260は、軸217の周りに鏡像のように形成された対向するコイル部分232Aおよび232Bを含む。第2の加熱素子260のコイル部分232Aおよび232Bは、端子221Aよび221Bのそれぞれに結合されて、伝導リード線220Aおよび220B(図5に示す)を介して、電源にコイル部分232A、232Bを接続する。
[0144]図14のように、第1の加熱素子250および/または第2の加熱素子260は、上述したように、サセプタ(図示せず)の表面での温度分布の要求に応じて変更された断面領域を有することができる。
[0145]図21は、第1のヒータおよび第2のヒータの温度プロファイルを示す。第1のヒータ、例えば、図14および図15に示すもののようなヒータの第1の温度プロファイル2110は、一方側から中央、反対側まで表面にわたって一様に粗い温度プロファイルを有する。第2のヒータ、例えば、図16、図17、図18、図19、および/または図20に示すようなヒータの第2の温度プロファイル2120は、一方側から中央、反対側まで概して滑らかな温度プロファイルを有する。図21に示すように、第1の温度プロファイル2110を有する第1のヒータの半径は、150mmである。第1の温度プロファイル2110は、約535度から約590度の範囲である。第2の温度プロファイル2120は、約150mmの半径を有する第2のヒータに対応する。第2の温度プロファイル2120は、約555℃から約600℃の温度範囲を有する。
[0146]以下、図22を参照すると、第1の加熱素子250および第2の加熱素子260の別の実施形態が示されている。この実施形態において、第1の加熱素子250は、軸217Aと、第1の加熱素子250の同心弧状部分の中心422とを有する。第2の加熱素子260は、軸217Bと、第2の加熱素子260の同心弧状部分の中心420を有する。第1の加熱素子250の中心422は、第2の加熱素子260の中心420から線形オフセット424だけずらされている。更に、第1の加熱素子250の軸217Aは、第2の加熱素子260の軸217Bから角度α430だけ角度がずらされている。一実施形態において、オフセット角度α430は、約0°〜約180°の間である。別の実施形態において、オフセット角度α430は、約15°〜約165°の間である。別の実施形態において、オフセット角度α430は、約30°〜約150°の間である。第1の加熱素子250の隣接するコイルの間の距離として、図22にピッチp438が示されている。一実施形態において、線形オフセット424は、ピッチp438の約0.3〜約0.7倍である。別の実施形態において、線形オフセット424は、ピッチp438の約半分である。別の実施形態において、線形オフセット424は、約1mm〜約50mmの間である。別の実施形態において、線形オフセット424は、約5mm〜約25mmの間である。別の実施形態において、線形オフセット424は、約10mm〜約15mmの間である。
[0147]先の記載は、CVDシステムにおいて使用するためのマルチゾーンシングルウェハヒータの使用に主に関係する。本発明は、デュアルゾーンヒータ装置を含むように記載されてきた。追加の加熱素子および加熱素子に関連する温度表示器が、本発明の趣旨または範囲から逸脱することなく含まれてもよいことを認識されたい。また、本発明は、CVDリアクタ、システム、または方法に限定されるものではなく、正確な温度制御が保証されるさまざまな他への応用において使用することも可能であることを認識されたい。
[0148]前述した詳細な記載において、本発明は、特定の実施形態を参照しながら記載される。しかしながら、さまざまな変形および変更は、特許請求の範囲に示すように、本発明のより広い趣旨および範囲から逸脱することなく行われてもよいことは明らかである。したがって、本願明細書および図面は、制限的な意味ではなく例示的に見なされるべきものである。
本発明の実施形態による「ウェハプロセス」構成の反応チャンバ内のヒータを示すCVDシステムの横断面図である。 本発明の実施形態による「ウェハプロセス」構成のリアクタチャンバ内のヒータを示す図1のCVDシステムの横断面図である。 本発明の実施形態による「ウェハ装填」構成のリアクタチャンバ内のヒータを示す図1のCVDシステムの横断面図である。 本発明の実施形態によるCVDシステムのヒータ部分の一部分を拡大した2分の1断面図である。 本発明の実施形態によるCVDシステムのヒータの底面図である。 本発明の実施形態による図4の線A−Aに沿って切り取られたCVDシステムのヒータのステージまたはサセプタの平面図である。 本発明の実施形態による図4の線B−Bに沿って切り取ったCVDシステムのヒータのステージまたはサセプタの平面図である。 本発明の実施形態による3つのゾーンを示すCVDシステムのヒータのステージまたはサセプタの平面略図である。 本発明の実施形態によるヒータの電力比とステージまたはサセプタの半径との関係を示すグラフ図である。 本発明の実施形態によるチャンバ壁の上部に結合された2つのパイロメータを示すCVDシステムの一部分の拡大断面図である。 本発明の実施形態によるそれぞれの加熱ゾーンの上方にある2つのパイロメータを示すCVDシステムのチャンバの平面図である。 本発明の実施形態によるCVDチャンバにおけるウェハの処理方法のフローチャートである。 ヒータの加熱素子に供給された電力を制御するためにコントローラを有する本発明のシステムの実施形態のブロック図である。 図7の第2の加熱素子の上部に示す図6の第1の加熱素子の一実施形態の平面図である。 図14の平面C−Cに沿って切り取られた断面図である。 図7の第2の加熱素子の最上部に示す図6の第1の加熱素子の一実施形態の平面図である。 図16の平面D−Dに沿って切り取られた断面図である。 ヒータの第2の加熱素子の上部に示すヒータの第1の加熱素子の一実施形態の平面図である。 図18の平面E−Eに沿って切り取られた断面図である。 ヒータの第2の加熱素子の上部に示すヒータの第1の加熱素子の一実施形態の平面図である。 第1および第2のヒータの温度プロファイルを示す。 ヒータの第2の加熱素子の最上部に示すヒータの第1の加熱素子の一実施形態の平面図である。
符号の説明
100…プロセスチャンバ本体、102…通路、105…入口ポート、145…反応チャンバ、150…加熱ヒータ、155…サセプタ、156…ウェハパケット、158…シャフト、170…チャンバ蓋、175…ガス分配プレート、180…穿孔面板、190…リフトプレート、195…リフトピン、198…開口、200…第1のパイロメータ、205…第2のパイロメータ、215a、215b、220a、220b…伝導リード線、230a、230b…コイル部分、250…第1の加熱素子、260…第2の加熱素子。

Claims (74)

  1. ウェハを支持するための領域を有する表面と本体とを備えるステージと、
    前記ステージに結合されたシャフトと、
    前記ステージの本体の第1の平面内において第1の軸に対して線対称で、かつ、コイル形状で配置された第1の加熱素子と、前記コイル形状は、前記第1の軸に対して垂直方向で互いに同じ距離離れている複数の隣接するコイル部分を有しており、
    前記ステージの本体の前記第1の平面より前記ステージの表面から離れた距離にある前記ステージの本体の第2の平面内において第2の軸に対して線対称で、かつ、コイル形状で配置された第2の加熱素子と、
    を備え、
    前記第2の軸が、前記本体の前記第1の平面に実質的に平行な平面において前記第1の軸からずらされており、
    前記第2の軸と前記第1の軸とのオフセットは、
    (a)前記第1の加熱素子の前記隣接するコイル部分間の距離の0.3〜0.7倍であること、
    (b)前記隣接するコイル部分間の距離の半分であること、
    (c)5mm〜15mmの範囲であること、
    (d)7mm〜10mmの範囲であること、
    (e)1mm〜50mmの範囲であること、
    (f)5mm〜25mmの範囲であること、
    (g)10mm〜15mmの範囲であること、
    のうちの一つの線形オフセットである装置。
  2. 前記第1の加熱素子が、第1の抵抗を有する第1の部分と、前記第1の抵抗と異なる第2の抵抗を有する第2の部分とを備える抵抗加熱素子である、請求項1に記載の装置。
  3. 前記第1の加熱素子の前記第2の部分が、前記第1の加熱素子の前記第1の部分より前記領域の中点から離れた距離にある前記ステージの領域内に配置される、請求項2に記載の装置。
  4. 前記第2の加熱素子が、第1の抵抗を有する第1の部分と、前記第1の抵抗と異なる第2の抵抗を有する第2の部分とを備える抵抗加熱素子である、請求項1に記載の装置。
  5. 前記第2の加熱素子の前記第2の部分が、前記第2の加熱素子の前記第1の部分より前記領域の中点から離れた距離にある前記ステージの領域内に配置される、請求項4に記載の装置。
  6. 前記第1の加熱素子が、前記第2の加熱素子が占める前記ステージの領域と実質的に同じサイズの前記ステージの領域を占める、請求項1に記載の装置。
  7. 前記ステージが、ウェハを支持するための第1の表面と、第2の表面とを備え、前記シャフトが、前記シャフトの長さを通る内部開口を画成する一部分を有し、
    前記シャフトが、中点に実質的に対応する点で前記ステージの前記第2の表面に結合され、前記第1の加熱素子および前記第2の加熱素子の各々への電源リード線が、前記開口に配置される、請求項1に記載の装置。
  8. 前記ステージ本体が、中点が前記表面に垂直な軸に対応するように、実質的に円筒状であり、前記ステージの前記領域の第1の部分が、前記軸の周りに第1の半径によって画成され、前記領域の第2の部分が、前記第1の半径より大きい前記軸の周りの第2の半径によって画成される、請求項1に記載の装置。
  9. 前記第1の加熱素子が、前記ステージの前記領域の前記第1の部分と対応する領域の第1の抵抗と、前記ステージの前記領域の前記第2の部分と対応する領域の第2の抵抗とを備える抵抗加熱素子であり、
    前記第2の加熱素子が、前記ステージの前記領域の前記第1の部分と対応する領域の第1の抵抗と、前記ステージの前記領域の前記第2の部分と対応する領域の第2の抵抗とを備える抵抗加熱素子である、請求項8に記載の装置。
  10. 前記第1の加熱素子の前記第1の抵抗の抵抗値が、前記第1の加熱素子の前記第2の抵抗の抵抗値より小さく、前記第2の加熱素子の前記第1の抵抗の抵抗値が、前記第2の加熱素子の前記第2の抵抗の抵抗値より大きい、請求項9に記載の装置。
  11. 前記第1の加熱素子の電力密度が、前記ステージの前記領域の前記第1の部分に対応する領域の前記第2の加熱素子の電力密度および前記ステージの前記領域の前記第2の部分に対応する領域の前記第2の加熱素子の電力密度より大きい、請求項8に記載の装置。
  12. 前記第1の加熱素子が、前記第1の軸によって分離された2つのセグメントを備え、
    前記第2の加熱素子が、前記第2の軸によって分離された2つのセグメントを備え、前記第1の軸および前記第2の軸が、0°〜180°の間の前記表面の平面における角度を画成するように、平行であるか交差しているかの少なくともいずれか1つの状態である、請求項1に記載の装置。
  13. 前記ステージ本体は、中点が前記表面に垂直な軸に対応するように、実質的に円筒状であり、前記ステージの前記領域の第1の部分が、前記軸の周りに第1の半径によって画成され、前記領域の第2の部分が、前記第1の半径より大きい前記軸の周りの第2の半径によって画成され、
    前記第1の加熱素子の電力密度が、前記ステージの前記領域の前記第1の部分に対応する領域の前記第2の加熱素子の電力密度および前記ステージの前記領域の前記第2の部分に対応する領域の前記第2の加熱素子の電力密度より大きい、請求項12に記載の装置。
  14. 前記ステージが、750℃を超える温度を生成可能な材料から構成される、請求項1に記載の装置。
  15. 前記ステージおよび前記シャフトが、窒化アルミニウムを備える、請求項14に記載の装置。
  16. 前記ステージが、窒化アルミニウムを備え、140W/mK〜200W/mKの範囲の熱伝導率を有し、前記シャフトが、窒化アルミニウムを含み、60W/mK〜100W/mKの範囲の熱伝導率を有する、請求項15に記載の装置。
  17. ウェハを支持するための前記領域が、60°〜80°の角度で前記ステージの表面に押し下げられたウェハパケットを含む、請求項1に記載の装置。
  18. チャンバと、
    ウェハを支持するための領域と、本体とを有する表面を含むチャンバ内に配置されたステージと、前記ステージに結合されたシャフトと、前記ステージの前記領域の第1の部分内と、前記ステージの前記本体の第1の平面内において第1の軸に対して線対称で、かつ、コイル形状で配置された第1の加熱素子と、前記コイル形状は、前記第1の軸に対して垂直方向で互いに同じ距離離れている複数の隣接するコイル部分を有しており、前記ステージの前記領域の第2の部分内と、前記ステージの前記本体の第2の平面内において第2の軸に対して線対称で、かつ、コイル形状で配置された第2の加熱素子とを備える抵抗ヒータとを備え、前記ヒータの前記本体の前記第2の平面が、前記本体の前記第1の平面より前記ステージの前記表面から離れた距離にあり、
    前記第1の加熱素子の電力密度が、前記ステージ領域の前記第1の部分に対応する領域の前記第2の加熱素子の電力密度より大きく、前記第1の加熱素子の電力密度が、前記ステージ領域の前記第2の部分に対応する領域の前記第2の加熱素子の電力密度より小さく、
    更に、前記第2の軸が、前記ステージの前記本体の前記第1の平面に実質的に平行な平面において前記第1の軸からずらされており、
    前記第2の軸と前記第1の軸とのオフセットは、
    (a)前記第1の加熱素子の前記隣接するコイル部分間の距離の0.3〜0.7倍であること、
    (b)前記隣接するコイル部分間の距離の半分であること、
    (c)5mm〜15mmの範囲であること、
    (d)7mm〜10mmの範囲であること、
    (e)1mm〜50mmの範囲であること、
    (f)5mm〜25mmの範囲であること、
    (g)10mm〜15mmの範囲であること、
    のうちの一つの線形オフセットであるリアクタ。
  19. 前記ステージ本体が、中点が前記表面に垂直な軸に対応するように、実質的に円筒状であり、前記第2のステージ領域が、前記第1のステージ領域より中点から離れた距離に配置される、請求項18に記載のリアクタ。
  20. 前記第1の加熱素子が、一対のコイルの第1の部分の周りに配置され、前記第1の部分が、前記第1の軸によって分離された2つのセグメントによって画成され、前記第1の部分の第1のセグメントに第1のコイルが配置され、前記第1の部分の第2のセグメントに第2のコイルが配置され、前記第1のコイルが、前記第1の軸を介して、前記第2のコイルに結合され、
    前記第2の加熱素子が、一対のコイルの第2の部分の周りに配置され、前記第2の部分が、前記第2の軸によって分離された2つのセグメントによって画成され、前記第2の部分の第1のセグメントに第1のコイルが配置され、前記第2の部分の第2のセグメントに第2のコイルが配置され、前記第1のコイルが、前記第2の軸を介して、前記第2のコイルに結合され、前記第1の軸および前記第2の軸が、0°〜180°の間の表面の平面に角度を画成するように、平行であるか交差しているかの少なくともいずれか1つの状態である、請求項18に記載のリアクタ。
  21. 前記ステージの第1の温度を測定するように位置されたシャフト内に配置された第1の温度センサと、
    前記ステージの前記領域の前記第1の部分および前記ステージの前記領域の第2の部分の1つに対応する前記ステージの領域において第2の温度を測定するように位置された第2の温度センサと、
    を更に備える、請求項18に記載のリアクタ。
  22. 前記第1の温度センサが、熱電対である、請求項21に記載のリアクタ。
  23. 前記第2の温度センサが、パイロメータである、請求項21に記載のリアクタ。
  24. 前記チャンバが最上面を備え、前記パイロメータが、チャンバの前記最上面の窓に配置される、請求項23に記載のリアクタ。
  25. 前記シャフトが、前記シャフトの長さを通る内部開口を画成する一部分を有し、前記シャフトにある開口を介して、前記第1の加熱素子および前記第2の加熱素子に結合された電源を更に備える、請求項18に記載のリアクタ。
  26. 前記第1の加熱素子および前記第2の加熱素子の温度を制御するために、前記電源に結合されたコントローラを更に備える、請求項25に記載のリアクタ。
  27. 前記コントローラが、前記第1の加熱素子前記第2の加熱素子の温度との差を±3内に制御する、請求項26に記載のリアクタ。
  28. 前記コントローラが、前記第1の温度センサおよび前記第2の温度センサの少なくとも2つに結合される、請求項27に記載のリアクタ。
  29. 前記ヒータが、750℃を超える温度を生成可能な材料から構成される、請求項18に記載のリアクタ。
  30. 前記ステージが、窒化アルミニウムから構成され、140W/mK〜200W/mKの範囲の熱伝導率を有する、請求項29に記載のリアクタ。
  31. 前記ヒータの本体が、底面と、前記本体を通る開口を画成し、前記表面に実質的に垂直な部分とを有し、
    前記ヒータの前記本体を通る開口内に配置される第1の端部と、前記ヒータの前記本体の前記底面の下方に延在する第2の端部とを有するリフトピンと、
    リアクタチャンバ内に第1の位置と第2の位置との間で前記ヒータを移動させるように、前記シャフトに結合されたリフタアセンブリと、
    前記リフタアセンブリに結合され、前記チャンバ内に一部分が配置されるリフトプレートと、
    を備え、
    前記部分が、前記シャフトに垂直であり、前記ステージの前記本体の上面に実質的に平行な方向に延在する表面を含むチャンバに配置することで、前記ヒータが前記第1の位置にあるとき、前記リフトピンが前記リフトプレートに接触する、請求項18に記載のリアクタ。
  32. 前記リフトプレートが、750℃を超える温度を生成可能な材料から構成される、請求項31に記載のリアクタ。
  33. 前記リフトプレートが、窒化アルミニウムから構成され、140W/mK〜200W/mKの範囲の熱伝導率を有する、請求項32に記載のリアクタ。
  34. 前記リフトピンが、サファイアおよび窒化アルミニウムの1つから構成される、請求項31に記載のリアクタ。
  35. 前記本体を通る前記開口が、前記リフトピンのヘッドを支持するための第1の直径を有する第1の部分と、前記第1の直径より小さい第2の直径を有する第2の部分とを有する、請求項34に記載のリアクタ。
  36. ウェハを支持するための前記領域が、60°〜80°の角度で前記ステージの表面に押し下げられたウェハパケットを含む、請求項18に記載のリアクタ
  37. ウェハを支持するための領域および本体を有する表面を含むステージと、前記ステージに結合されたシャフトと、前記ステージの前記本体の第1の平面内において第1の軸に対して線対称で、かつ、コイル形状で配置された第1の加熱素子と、前記コイル形状は、前記第1の軸に対して垂直方向で互いに同じ距離離れている複数の隣接するコイル部分を有しており、前記ステージの前記本体の第2の平面内において第2の軸に対して線対称で、かつ、コイル形状で配置された第2の加熱素子とを備える抵抗ヒータを備え、前記ヒータの前記本体の前記第2の平面が、前記本体の前記第1の平面より前記ステージの前記表面から離れた距離にあり、前記第2の軸が、前記ステージの前記本体の前記第1の平面において実質的に平行な平面において前記第1の軸からずらされ、
    前記第2の軸と前記第1の軸とのオフセットは、
    (a)前記第1の加熱素子の前記隣接するコイル部分間の距離の0.3〜0.7倍であること、
    (b)前記隣接するコイル部分間の距離の半分であること、
    (c)5mm〜15mmの範囲であること、
    (d)7mm〜10mmの範囲であること、
    (e)1mm〜50mmの範囲であること、
    (f)5mm〜25mmの範囲であること、
    (g)10mm〜15mmの範囲であること、
    のうちの一つの線形オフセットであり、
    前記ステージの第1の温度を測定するように配置されたシャフト内に配置された第1の温度センサを備え、
    前記第1の加熱素子および前記第2の加熱素子に結合された電源を備える、化学気相堆積装置の加熱システム。
  38. 前記第1の加熱素子の電力密度が、前記ステージの前記領域の前記第1の部分に対応する領域の前記第2の加熱素子の電力密度より大きく、前記第1の加熱素子の電力密度が、前記ステージの前記領域の前記第2の部分に対応する領域の前記第2の加熱素子の電力密度より小さく、
    前記ステージ本体は、中点が前記表面に垂直な軸に対応するように、実質的に円筒状であり、前記ステージの前記領域の第1の部分が、前記軸の周りに第1の半径によって画成され、前記領域の第2の部分が、前記第1の半径より大きい前記軸の周りの第2の半径によって画成される、請求項37に記載のシステム。
  39. 前記第1の加熱素子が、一対のコイルの第1の部分の周りに配置され、前記第1の部分が、前記第1の軸によって分離された2つのセグメントによって画成され、前記第1の部分第1のセグメントに第1のコイルが配置され、前記第1の部分の第2のセグメントに第2のコイルが配置され、前記第1のコイルが、前記第1の軸を介して、前記第2のコイルに結合され、
    前記第2の加熱素子が、一対のコイルの第2の部分の周りに配置され、前記第2の部分が、前記第2の軸によって分離された2つのセグメントによって画成され、前記第2の部分の第1のセグメントに第1のコイルが配置され、前記第2の部分の第2のセグメントに第2のコイルが配置され、前記第1のコイルが、前記第2の軸を介して、前記第2のコイルに結合され、前記第1の軸および前記第2の軸が、0°〜180°の間の表面の平面に角度を画成するように、平行であるか交差しているかの少なくともいずれか1つの状態である、請求項38に記載のシステム。
  40. 前記第1の軸および前記第2の軸の交点が、少なくとも90°の前記表面の平面における角度を画成する、請求項39に記載のシステム。
  41. 前記ステージの前記領域の第1の部分および前記ステージの前記領域の第2の部分の1つに対応する第2の温度を測定するように位置された第2の温度センサと、前記ステージの前記表面領域の前記第1の部分および前記ステージの前記表面領域の第2の部分の他方に対応する領域において第3の温度を測定するように位置された第3の温度センサとを更に備える、請求項38に記載のシステム。
  42. 前記ヒータの前記シャフトが、前記シャフトの長さを通る内部開口を画成する一部分を有し、前記シャフトにある開口を介して、前記第1の加熱素子および前記第2の加熱素子に結合された電源を更に備える、請求項38に記載のシステム。
  43. 前記第1の加熱素子および前記第2の加熱素子の温度を制御するために、前記電源に結合されたコントローラを更に備える、請求項38に記載のシステム。
  44. 前記コントローラが、前記第1の加熱素子前記第2の加熱素子の温度との差を±2.5内に制御する、請求項43に記載のシステム。
  45. 前記コントローラが、前記第1の温度センサ、前記第2の温度センサ、および第3の温度センサの少なくとも2つに結合される、請求項44に記載のシステム。
  46. 前記第1の温度センサが熱電対であり、前記第2の温度センサおよび前記第3の温度センサが、それぞれパイロメータである、請求項45に記載のシステム。
  47. 前記第2の温度センサが、化学気相堆積チャンバの外面にある第1の窓に配置され、前記第3の温度センサが、前記チャンバの外面にある第2の窓に配置される、請求項41に記載のシステム。
  48. 前記チャンバ内にプロセスガスを分配するために、前記チャンバの内面に結合されたマニホールドを更に備え、前記マニホールドが、前記ステージの表面より上に位置され、前記第1の窓および前記第2の窓の1つの幅の3倍の厚みを有する、請求項47に記載のシステム。
  49. 前記ヒータの本体が、底面と、前記本体を通る開口を画成し、前記表面に実質的に垂直な部分とを有し、
    前記ヒータの前記本体を通る開口内に配置される第1の端部および前記ヒータの前記本体の前記底面の下方に延在する第2の端部とを有するリフトピンと、
    リアクタチャンバ内に第1の位置と第2の位置との間で前記ヒータを移動させるように、前記シャフトに結合されたリフトアセンブリと、
    前記リフトアセンブリに結合され、前記チャンバ内に一部分が配置されるリフトプレートと、
    を備え、
    前記部分が、前記シャフトに垂直であり、前記ステージの前記本体の上面に実質的に平行な方向に延在する表面を含むチャンバに位置することで、前記ヒータが前記第1の位置にあるとき、前記リフトピンが前記リフトプレートに接触する、請求項37に記載のシステム。
  50. 前記本体を通る前記開口が、前記リフトピンのヘッドを支持するための第1の直径を有する第1の部分と、前記第1の直径より小さい第2の直径を有する第2の部分とを有する、請求項49に記載のシステム。
  51. 抵抗ヒータのステージの本体の第1の平面内において第1の軸に対して線対称で、かつ、コイル形状で位置する第1の抵抗加熱素子と、前記コイル形状は、前記第1の軸に対して垂直方向で互いに同じ距離離れている複数の隣接するコイル部分を有しており、前記ステージの前記本体の第2の平面内において第の軸に対して線対称で、かつ、コイル形状で位置する第2の抵抗加熱素子に電力を供給するステップであって、前記第2の軸が、前記第1の平面および前記第2の平面の少なくとも1つに実質的に平行な第3の平面にある前記第1の軸からずらされて配置されるステップと、
    前記ステージの少なくとも2つの領域において、前記第1の抵抗加熱素子および前記第2の抵抗加熱素子の少なくとも1つの抵抗値を変更するステップを含み、
    前記第2の軸と前記第1の軸とのオフセットは、
    (a)前記第1の加熱素子の前記隣接するコイル部分間の距離の0.3〜0.7倍であること、
    (b)前記隣接するコイル部分間の距離の半分であること、
    (c)5mm〜15mmの範囲であること、
    (d)7mm〜10mmの範囲であること、
    (e)1mm〜50mmの範囲であること、
    (f)5mm〜25mmの範囲であること、
    (g)10mm〜15mmの範囲であること、
    のうちの一つの線形オフセットである方法。
  52. 前記抵抗値を変更するステップが、少なくとも1つの第1の抵抗値および第2の抵抗値を有する抵抗加熱素子を与える工程を含む、請求項51に記載の方法。
  53. 前記抵抗値を変更するステップが、前記ステージの少なくとも2つの領域において、前記第1の抵抗加熱素子の抵抗値および前記第2の抵抗加熱素子の抵抗値を変更する工程を含む、請求項51に記載の方法。
  54. 前記抵抗ヒータが、ウェハを支持するための領域を有する表面および本体を含むステージと、前記ステージの前記本体の第1の平面内に形成された第1の加熱素子と、前記ステージの前記本体の第2の平面内に形成された第2の加熱素子と、
    を備え、
    前記第2の平面が、前記第1の加熱素子より前記表面から離れた距離に配置され、前記抵抗値を変更するステップが、
    前記第1の加熱素子を用いて、第1の半径より大きい中点からの第2の半径によって画成される第2の領域より、中点からの第1の半径によって画成される前記ステージの領域に大きな抵抗値を与えるステップと、
    前記第2の加熱素子を用いて、前記第1の領域より前記第2の領域により大きな抵抗値を与えるステップと、
    を含む、請求項51に記載の方法。
  55. 前記抵抗ヒータが、ウェハを支持するための領域を有する表面を含むステージを備え、
    前記抵抗加熱素子に供給された電力を調整することによって、前記ステージの前記表面の温度を制御するステップを更に含む、請求項51に記載の方法。
  56. 前記ステージの底面から延在するシャフト内に配置され、前記ステージの第1の温度を測定するように位置された第1の温度センサと、中点からの第1の半径によって画成された前記ステージの第1の領域および前記中点からの第2の半径によって画成された第2の領域において第2の温度を測定するように位置された第2の温度センサの少なくとも2つの温度センサで温度を測定するステップと、
    前記第1の温度センサによって測定された温度と、前記第2の温度センサによって測定された温度とを比較するステップとを更に含む、請求項55に記載の方法。
  57. 前記温度を制御するステップが、前記比較された温度を750℃の±2.5℃以内に制御する工程を含む、請求項56に記載の方法。
  58. リアクタのチャンバに抵抗ヒータを設けるステップであって、前記抵抗ヒータが、ウェハを支持するための領域を有する表面および本体を含むチャンバ内に配置されたステージと、第1の電力密度および第2の電力密度を有し、前記ステージの前記本体の第1の平面内において第1の軸に対して線対称で、かつ、コイル形状で形成された第1の加熱素子と、前記コイル形状は、前記第1の軸に対して垂直方向で互いに同じ距離離れている複数の隣接するコイル部分を有しており、第1の電力密度および第2の電力密度を有し、前記ステージの前記本体の第2の平面内において第2の軸に対して線対称で、かつ、コイル形状で形成された第2の加熱素子とを含み、前記第2の平面が、前記第1の加熱素子より前記表面から離れた距離に配置され、前記第2の軸が、前記第1の平面および前記第2の平面の少なくとも1つに対して実質的に平行な平面において前記第1の軸からずらされて配置されるステップと、
    前記第1の加熱素子および前記第2の加熱素子へ電力を供給するステップと、
    を含み、
    前記第2の軸と前記第1の軸とのオフセットは、
    (a)前記第1の加熱素子の前記隣接するコイル部分間の距離の0.3〜0.7倍であること、
    (b)前記隣接するコイル部分間の距離の半分であること、
    (c)5mm〜15mmの範囲であること、
    (d)7mm〜10mmの範囲であること、
    (e)1mm〜50mmの範囲であること、
    (f)5mm〜25mmの範囲であること、
    (g)10mm〜15mmの範囲であること、
    のうちの一つの線形オフセットである方法。
  59. 前記第1の加熱素子を用いて、第1の半径より大きい中点からの第2の半径によって画成される第2の領域より、中点からの第1の半径によって画成される前記ステージの領域に大きな電力密度を与えるステップと、
    前記第2の加熱素子を用いて、前記第1の領域より前記第2の領域により大きな電力密度を与えるステップと、
    を含む、請求項58に記載の方法。
  60. 前記抵抗加熱素子に供給される電力を調整することによって、前記ステージの前記表面の温度を制御するステップを更に含む、請求項58に記載の方法。
  61. 前記ステージの底面から延在するシャフト内に配置され、前記ステージの第1の温度を測定するように配置された第1の温度センサと、中点からの第1の半径によって画成された前記ステージの第1の領域および前記中点からの第2の半径によって画成された第2の領域において第2の温度を測定するように配置された第2の温度センサの少なくとも2つの温度センサで温度を測定するステップを更に含む、請求項60に記載の方法。
  62. 前記温度を制御するステップが、前記第の温度測定値および前記第の温度測定値が、750℃の温度で±3℃以内になるように、前記ステージの温度を制御する工程を更に含む、請求項61に記載の方法。
  63. 前記第1の加熱素子及び前記第2の加熱素子は、それぞれ正方形のコイル構成である請求項1に記載の装置。
  64. 前記第2のコイルが、前記第1の軸に関して前記第1のコイルの鏡像である請求項1に記載の装置。
  65. 前記第2の軸と前記第1の軸とのオフセットは、さらに、前記第1の軸と前記第2の軸との間が15°〜165°、30°〜150°、及び0°〜180°のうちの一つの範囲となる角度オフセットを含む請求項1に記載の装置。
  66. 前記第1の加熱素子及び前記第2の加熱素子は、それぞれ正方形のコイル構成である請求項18に記載のリアクタ。
  67. 前記第2のコイルが、前記第1の軸に関して前記第1のコイルの鏡像である請求項18に記載のリアクタ。
  68. 前記第2の軸と前記第1の軸とのオフセットは、さらに、前記第1の軸と前記第2の軸との間が15°〜165°、30°〜150°、及び0°〜180°のうちの一つの範囲となる角度オフセットを含む請求項18に記載のリアクタ。
  69. 前記第1の加熱素子及び前記第2の加熱素子は、それぞれ正方形のコイル構成である請求項37に記載の化学気相堆積装置の加熱システム。
  70. 前記第2のコイルが、前記第1の軸に関して前記第1のコイルの鏡像である請求項37に記載の化学気相堆積装置の加熱システム。
  71. 前記第2の軸と前記第1の軸とのオフセットは、さらに、前記第1の軸と前記第2の軸との間が15°〜165°、30°〜150°、及び0°〜180°のうちの一つの範囲となる角度オフセットを含む請求項37に記載の化学気相堆積装置の加熱システム。
  72. 前記第1の加熱素子及び前記第2の加熱素子は、それぞれ正方形のコイル構成である請求項51または58に記載の方法。
  73. 前記第2のコイルが、前記第1の軸に関して前記第1のコイルの鏡像である請求項51または58に記載の方法。
  74. 前記第2の軸と前記第1の軸とのオフセットは、さらに、前記第1の軸と前記第2の軸との間が15°〜165°、30°〜150°、及び0°〜180°のうちの一つの範囲となる角度オフセット角度を含む請求項51または58に記載の方法。
JP2004541591A 2002-09-19 2003-09-19 マルチゾーン抵抗ヒータ Expired - Fee Related JP4953572B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/246,865 US6617553B2 (en) 1999-05-19 2002-09-19 Multi-zone resistive heater
US10/246,865 2002-09-19
PCT/US2003/029689 WO2004032187A2 (en) 2002-09-19 2003-09-19 Multi-zone resitive heater

Publications (2)

Publication Number Publication Date
JP2006500789A JP2006500789A (ja) 2006-01-05
JP4953572B2 true JP4953572B2 (ja) 2012-06-13

Family

ID=32068123

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004541591A Expired - Fee Related JP4953572B2 (ja) 2002-09-19 2003-09-19 マルチゾーン抵抗ヒータ

Country Status (7)

Country Link
US (1) US6617553B2 (ja)
EP (1) EP1540709A2 (ja)
JP (1) JP4953572B2 (ja)
KR (2) KR101240774B1 (ja)
CN (1) CN1695230A (ja)
AU (1) AU2003294223A1 (ja)
WO (1) WO2004032187A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190103403A (ko) * 2017-02-28 2019-09-04 닛폰 하츠죠 가부시키가이샤 기판 지지 유닛 및 기판 지지 유닛을 가지는 성막장치

Families Citing this family (315)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7403834B2 (en) * 2003-05-08 2008-07-22 Regents Of The University Of California Methods of and apparatuses for controlling process profiles
US7132628B2 (en) * 2004-03-10 2006-11-07 Watlow Electric Manufacturing Company Variable watt density layered heater
US7415312B2 (en) * 2004-05-25 2008-08-19 Barnett Jr James R Process module tuning
US20050274324A1 (en) * 2004-06-04 2005-12-15 Tokyo Electron Limited Plasma processing apparatus and mounting unit thereof
CN1954095B (zh) * 2004-07-05 2010-06-09 东京毅力科创株式会社 处理装置和加热器单元
WO2006063427A1 (en) * 2004-12-16 2006-06-22 Atlantic Business Centre Of Excellence And Commercialization Of Innovation Ltd. Method and apparatus for monitoring materials
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
US9892941B2 (en) * 2005-12-01 2018-02-13 Applied Materials, Inc. Multi-zone resistive heater
US20070125762A1 (en) * 2005-12-01 2007-06-07 Applied Materials, Inc. Multi-zone resistive heater
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
KR20080015466A (ko) * 2006-01-31 2008-02-19 동경 엘렉트론 주식회사 기판 처리 장치 및 그것에 이용되는 기판 탑재대,플라즈마에 노출되는 부재
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
US20080197125A1 (en) * 2007-02-16 2008-08-21 Applied Materials, Inc. Substrate heating method and apparatus
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US7981777B1 (en) 2007-02-22 2011-07-19 Novellus Systems, Inc. Methods of depositing stable and hermetic ashable hardmask films
US8168926B2 (en) * 2007-03-26 2012-05-01 Ngk Insulators, Ltd. Heating device
US7942969B2 (en) 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
US8198567B2 (en) * 2008-01-15 2012-06-12 Applied Materials, Inc. High temperature vacuum chuck assembly
JP5426892B2 (ja) * 2008-02-08 2014-02-26 日本碍子株式会社 基板加熱装置
US9263298B2 (en) 2008-02-27 2016-02-16 Tokyo Electron Limited Plasma etching apparatus and plasma etching method
US7820556B2 (en) * 2008-06-04 2010-10-26 Novellus Systems, Inc. Method for purifying acetylene gas for use in semiconductor processes
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US7955990B2 (en) * 2008-12-12 2011-06-07 Novellus Systems, Inc. Method for improved thickness repeatability of PECVD deposited carbon films
US8404572B2 (en) * 2009-02-13 2013-03-26 Taiwan Semiconductor Manufacturing Co., Ltd Multi-zone temperature control for semiconductor wafer
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20110073039A1 (en) * 2009-09-28 2011-03-31 Ron Colvin Semiconductor deposition system and method
US8563414B1 (en) 2010-04-23 2013-10-22 Novellus Systems, Inc. Methods for forming conductive carbon films by PECVD
US10138551B2 (en) 2010-07-29 2018-11-27 GES Associates LLC Substrate processing apparatuses and systems
JP5692850B2 (ja) * 2010-12-28 2015-04-01 東京エレクトロン株式会社 薄膜形成方法、薄膜形成装置及びプログラム
JP5712054B2 (ja) * 2011-05-31 2015-05-07 日本発條株式会社 シャフト付きヒータユニットおよびシャフト付きヒータユニットの製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI505400B (zh) * 2011-08-26 2015-10-21 Lg Siltron Inc 基座
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
EP2770442A3 (en) 2013-02-20 2014-09-17 Hartford Steam Boiler Inspection and Insurance Company Dynamic outlier bias reduction system and method
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US10125422B2 (en) 2013-03-27 2018-11-13 Applied Materials, Inc. High impedance RF filter for heater with impedance tuning device
US20150060527A1 (en) * 2013-08-29 2015-03-05 Weihua Tang Non-uniform heater for reduced temperature gradient during thermal compression bonding
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9698041B2 (en) 2014-06-09 2017-07-04 Applied Materials, Inc. Substrate temperature control apparatus including optical fiber heating, substrate temperature control systems, electronic device processing systems, and methods
KR102164611B1 (ko) 2014-07-02 2020-10-12 어플라이드 머티어리얼스, 인코포레이티드 매립형 광섬유들 및 에폭시 광학 확산기들을 사용하는 기판들의 온도 제어를 위한 장치, 시스템들, 및 방법들
KR102163083B1 (ko) * 2014-07-02 2020-10-07 어플라이드 머티어리얼스, 인코포레이티드 홈 라우팅 광섬유 가열을 포함하는 온도 제어 장치, 기판 온도 제어 시스템들, 전자 디바이스 처리 시스템들 및 처리 방법들
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9888528B2 (en) * 2014-12-31 2018-02-06 Applied Materials, Inc. Substrate support with multiple heating zones
JP6450608B2 (ja) * 2015-03-05 2019-01-09 高周波熱錬株式会社 加熱方法及び加熱装置並びにプレス成形品の作製方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9826574B2 (en) * 2015-10-28 2017-11-21 Watlow Electric Manufacturing Company Integrated heater and sensor system
JP1575661S (ja) * 2015-11-24 2017-05-08
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10741428B2 (en) * 2016-04-11 2020-08-11 Applied Materials, Inc. Semiconductor processing chamber
US10973088B2 (en) 2016-04-18 2021-04-06 Applied Materials, Inc. Optically heated substrate support assembly with removable optical fibers
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
JP6611666B2 (ja) * 2016-05-16 2019-11-27 東京エレクトロン株式会社 載置台システム、基板処理装置及び温度制御方法
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
JP6767833B2 (ja) * 2016-09-29 2020-10-14 日本特殊陶業株式会社 加熱装置
JP2018063974A (ja) * 2016-10-11 2018-04-19 東京エレクトロン株式会社 温度制御装置、温度制御方法、および載置台
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
EP3357576B1 (en) * 2017-02-06 2019-10-16 Sharp Life Science (EU) Limited Microfluidic device with multiple temperature zones
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
JP6341457B1 (ja) * 2017-03-29 2018-06-13 Toto株式会社 静電チャック
CN108728828A (zh) * 2017-04-20 2018-11-02 中微半导体设备(上海)有限公司 Cvd设备及其温度控制方法与发热体
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11236422B2 (en) * 2017-11-17 2022-02-01 Lam Research Corporation Multi zone substrate support for ALD film property correction and tunability
CN212542359U (zh) 2017-11-21 2021-02-12 沃特洛电气制造公司 一种支撑基座
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) * 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11908715B2 (en) 2018-07-05 2024-02-20 Lam Research Corporation Dynamic temperature control of substrate support in substrate processing system
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
WO2020153079A1 (ja) * 2019-01-25 2020-07-30 日本碍子株式会社 セラミックヒータ
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
TW202113121A (zh) 2019-05-29 2021-04-01 美商蘭姆研究公司 藉由高功率脈衝低頻率射頻產生的高選擇性、低應力、且低氫之類鑽石碳硬遮罩
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1013750S1 (en) * 2020-09-18 2024-02-06 Ksm Component Co., Ltd. Ceramic heater
USD1012998S1 (en) * 2020-09-18 2024-01-30 Ksm Component Co., Ltd. Ceramic heater
USD1012997S1 (en) * 2020-09-18 2024-01-30 Ksm Component Co., Ltd. Ceramic heater
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
JP7321990B2 (ja) * 2020-11-30 2023-08-07 日本碍子株式会社 セラミックヒータ
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
CN112947634B (zh) * 2021-02-01 2022-12-30 泉芯集成电路制造(济南)有限公司 一种热盘温度调整方法及一种热盘装置
KR20230161437A (ko) * 2021-03-26 2023-11-27 가부시키가이샤 코쿠사이 엘렉트릭 처리 장치, 프로그램 및 반도체 장치의 제조 방법
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03235325A (ja) * 1990-02-13 1991-10-21 Toshiba Corp 半導体気相成長装置
JPH05326112A (ja) * 1992-05-21 1993-12-10 Shin Etsu Chem Co Ltd 複層セラミックスヒーター
KR100280772B1 (ko) 1994-08-31 2001-02-01 히가시 데쓰로 처리장치
US5844205A (en) 1996-04-19 1998-12-01 Applied Komatsu Technology, Inc. Heated substrate support structure
US5772773A (en) 1996-05-20 1998-06-30 Applied Materials, Inc. Co-axial motorized wafer lift
JP3477062B2 (ja) * 1997-12-26 2003-12-10 京セラ株式会社 ウエハ加熱装置
JP3515900B2 (ja) * 1998-05-06 2004-04-05 京セラ株式会社 セラミックヒータ
US6423949B1 (en) * 1999-05-19 2002-07-23 Applied Materials, Inc. Multi-zone resistive heater
JP2001102157A (ja) * 1999-10-01 2001-04-13 Ngk Insulators Ltd セラミックスヒータ
US6652655B1 (en) * 2000-07-07 2003-11-25 Applied Materials, Inc. Method to isolate multi zone heater from atmosphere
JP3615694B2 (ja) * 2000-08-08 2005-02-02 京セラ株式会社 ウェハ加熱部材及びこれを用いたウェハの均熱化方法
JP4328003B2 (ja) * 2000-10-19 2009-09-09 日本碍子株式会社 セラミックヒーター
WO2002034451A1 (en) * 2000-10-25 2002-05-02 Tokyo Electron Limited Method of and structure for controlling electrode temperature

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190103403A (ko) * 2017-02-28 2019-09-04 닛폰 하츠죠 가부시키가이샤 기판 지지 유닛 및 기판 지지 유닛을 가지는 성막장치
US11201040B2 (en) 2017-02-28 2021-12-14 Nhk Spring Co., Ltd. Substrate supporting unit and film forming device having the substrate supporting unit

Also Published As

Publication number Publication date
US20030062359A1 (en) 2003-04-03
KR20110039368A (ko) 2011-04-15
WO2004032187A3 (en) 2004-07-01
WO2004032187A2 (en) 2004-04-15
KR20050054952A (ko) 2005-06-10
AU2003294223A8 (en) 2004-04-23
AU2003294223A1 (en) 2004-04-23
KR101158378B1 (ko) 2012-06-22
KR101240774B1 (ko) 2013-03-07
US6617553B2 (en) 2003-09-09
EP1540709A2 (en) 2005-06-15
CN1695230A (zh) 2005-11-09
JP2006500789A (ja) 2006-01-05

Similar Documents

Publication Publication Date Title
JP4953572B2 (ja) マルチゾーン抵抗ヒータ
US6423949B1 (en) Multi-zone resistive heater
US7311782B2 (en) Apparatus for active temperature control of susceptors
US6342691B1 (en) Apparatus and method for thermal processing of semiconductor substrates
US7033444B1 (en) Plasma processing apparatus, and electrode structure and table structure of processing apparatus
KR100793329B1 (ko) 웨이퍼들을 열처리하기 위한 방법들 및 장치
US6744018B2 (en) Substrate processing apparatus and method for manufacturing semiconductor device
EP1544900A1 (en) Heat treatment apparatus
GB2279366A (en) Semiconductor processing apparatus
KR20100110822A (ko) 열처리 장치 및 그 제어 방법
KR102076643B1 (ko) 기판 처리 장치 및 반도체 장치의 제조 방법
KR100443122B1 (ko) 반도체 소자 제조장치용 히터 어셈블리
US6924231B2 (en) Single wafer processing method and system for processing semiconductor
EP1135659B1 (en) Apparatus and method for thermal processing of semiconductor substrates
JP2006114638A (ja) 熱処理装置、熱処理方法及び昇温レートの算出方法

Legal Events

Date Code Title Description
A072 Dismissal of procedure [no reply to invitation to correct request for examination]

Free format text: JAPANESE INTERMEDIATE CODE: A072

Effective date: 20051011

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060911

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20091106

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091117

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100217

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110329

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110628

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110705

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110727

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110803

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110809

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110920

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111215

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111222

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120117

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120221

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120313

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150323

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees