TWI464790B - Film forming method and substrate processing device - Google Patents

Film forming method and substrate processing device Download PDF

Info

Publication number
TWI464790B
TWI464790B TW096140591A TW96140591A TWI464790B TW I464790 B TWI464790 B TW I464790B TW 096140591 A TW096140591 A TW 096140591A TW 96140591 A TW96140591 A TW 96140591A TW I464790 B TWI464790 B TW I464790B
Authority
TW
Taiwan
Prior art keywords
film
processing chamber
titanium
gas
plasma
Prior art date
Application number
TW096140591A
Other languages
English (en)
Other versions
TW200837813A (en
Inventor
Kensaku Narushima
Fumitaka Amano
Satoshi Wakabayashi
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW200837813A publication Critical patent/TW200837813A/zh
Application granted granted Critical
Publication of TWI464790B publication Critical patent/TWI464790B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

成膜方法及基板處理裝置
本發明係有關在半導體晶圓、FPD(Flat Panel Display)基板等的被處理基板上成膜既定之膜的成膜方法及基板處理裝置。
CMOS電晶體等的半導體裝置,具有配線層與基板、配線層與配線層等的連接構造。具體上例如第17圖所示,在Si基板(Si晶圓)之p/n雜質擴散層(擴散層)10與第一配線之間,形成有接觸孔20,在第一配線與第二配線之間形成有導孔30。在此種接觸孔20及導孔30,埋置有鎢和銅等的金屬,且電性連接有Si基板和配線層。近年來在該金屬埋置前,在接觸孔20及導孔30,成膜Ti/TiN層積膜等的阻障層,形成有阻障層22、32。
以往對於此種Ti膜和TiN膜的形成是採用物理式蒸鍍(PVD)法。然而在促進半導體裝置之微細化及高積體化的今日,接觸孔和導孔之縱橫比(口徑與深度之比)極大。因此,在阻障層的形成多數採用階梯覆蓋(step coverage)佳的化學式蒸鍍(CVD)法。
然而由於與擴散層10及接觸孔20內的金屬之接觸電阻下降,因此例如在阻障層22與擴散層10之間,介設TiSix 膜(鈦矽化物膜)等的合金層12,來調整阻障層22與擴散層10之界面的功作函數,藉此希望根據該功作函 數差降低肖特基障壁。
對於此種TiSix 膜的形成例如可採用電漿CVD法。該方法使用TiCl4 作為原料氣體之同時,使用H2 氣體等作為還原氣體,以溫度650℃左右來成膜Ti膜,同時將該一部分與Si基板一起反應自我整合形成合金層12。
其他也提案一種於複數個製程中來分割既定膜厚的Ti膜所成膜之方法。例如在下記日本專利文獻1揭示電漿SFD(Sequential Flow Deposition)作為此種的分割成膜方法。藉由該處理方法,例如即使在450℃以下的低溫下來成膜,亦可防止Ti膜剝離,結果就能形成良好膜質的Ti膜。
專利文獻1:日本特開2004-232080號公報
然而近年來提高主要以半導體裝置之高速化為目的的成膜溫度之低溫化的要求。因此,即使形成接觸孔的阻障層,最好還是將被處理基板維持在低溫(例如450℃以下)。可是使用習知的電漿CVD法在低溫下形成Ti膜,Ti膜中會殘留多量的Cl,其結果會有使Ti膜之電阻值上昇的問題。
此點,根據利用電漿SFD處理的Ti膜形成處理,即使在低溫下亦能形成Cl殘留極少的良質Ti膜。然而,有關例如在650℃以上的高溫下實行該電漿SFD處理所形 成的Ti膜,對於與Si基板一起反應,進行良好的矽化物化,且對於能形成良好的TiSix 膜,例如在450℃以下的低溫下實行形成的Ti膜,會有難以矽化物化,且難以形成良好TiSix 膜的問題。
於是,本發明是有鑑於此種問題的所完成發明,其目的在於提供一種即使在低溫下,亦能有效形成含有良質Ti膜的阻障層,而且可在該Ti膜與基層之界面區域自我整合形成TiSix 膜的成膜方法等。
用以解決上述課題,藉由本發明的某一觀點,提供一種成膜方法,係在處理室內對被處理基板形成鈦膜或鈦化合物膜的成膜方法,其特徵為:具有:在前述被處理基板形成鈦矽化物膜的鈦矽化物膜形成製程、和在前述鈦矽化物膜上形成鈦膜的鈦膜形成製程;在前述鈦矽化物膜形成製程中,重覆複數次將鈦化合物氣體導入前述處理室,使前述鈦化合物氣體吸附在前述被處理基板之表面的第1製程、和停止前述鈦化合物氣體導入前述處理室,除去殘留在前述處理室內的前述鈦化合物氣體的第2製程、和邊將氫氣導入前述處理室、邊在前述處理室內產生電漿,還原吸附在前述被處理基板之含有矽表面的前述鈦化合物氣體之同時,與前述含有矽表面的矽一起反應形成鈦矽化物膜的第3製程;在前述鈦膜形成製程中,重覆複數次邊將前述鈦化合物氣體與前述氫氣導入前述處理室、邊在前述處 理室內產生電漿,在前述被處理基板上形成鈦膜的第4製程、和邊維持前述電漿、邊停止前述鈦化合物氣體導入前述處理室,將前述鈦膜進行電漿退火的第5製程。
又,一種基板處理裝置,係具備:對被處理基板施行膜形成處理的處理室、和對前述處理室內至少選擇性供給鈦化合物氣體、還原氣體及氬氣的氣體供給手段、和在前述處理室內產生電漿的電漿產生手段、和調整載置在前述處理室內的前述被處理基板之溫度的溫度調整手段、和控制前述氣體供給手段、前述電漿產生手段及前述溫度調整手段之動作的控制部的基板處理裝置,其特徵為:前述控制部,係重覆複數次將鈦化合物氣體導入前述處理室,使前述鈦化合物氣體吸附在前述被處理基板之表面的第1製程、和停止前述鈦化合物氣體導入前述處理室,除去殘留在前述處理室內的前述鈦化合物氣體的第2製程、和邊將氫氣導入前述處理室、邊在前述處理室內產生電漿,還原吸附在前述被處理基板之含有矽表面的前述鈦化合物氣體之同時,與前述含有矽表面的矽一起反應形成鈦矽化物膜的第3製程,作為在前述被處理基板形成鈦矽化物膜的鈦矽化物膜形成處理,且重覆複數次邊將前述鈦化合物氣體與前述氫氣導入前述處理室、邊在前述處理室內產生電漿,在前述被處理基板上形成鈦膜的第4製程、和邊維持前述電漿、邊停止前述鈦化合物氣體導入前述處理室,將前述鈦膜進行電漿退火的第5製程,作為在前述鈦矽化物膜上形成鈦膜的鈦膜形成處理。
藉由本發明,重覆複數次在前述被處理基板的含有矽表面上層積鈦矽化物膜的第1~3製程,就能形成既定膜厚的鈦矽化物膜。又,由於即使在低溫下,亦可在第3製程中,將鈦化合物藉由氫電漿還原作用,有效還原鈦,因此能將混入鈦矽化物膜中的Cl等之雜質抑制在極低濃度。
又,在前述第3製程中,最好氬氣不導入前述處理室。這樣的話,就能防止氬原子衝突到形成在被處理基板的含有矽表面之鈦矽化物膜的表面。藉此,鈦矽化物膜的表面形態(morphology)保持良好的狀態。
進而重覆複數次第1~3製程,形成既定膜厚之鈦矽化物膜後,進行重覆複數次第4~5製程的鈦膜形成製程,藉此就能在鈦矽化物膜之上形成鈦膜。該鈦膜形成製程是前述電漿SFD的處理方法,由於藉由該處理,可得到較高的成膜速率,因此能在短時間內,形成包含鈦矽化物膜與鈦膜的阻障層。而且,藉由該鈦膜形成處理,即使在低溫下也能形成雜質極少的鈦膜。
在前述鈦矽化物膜形成製程及前述鈦膜形成製程中,最好將前述被處理基板的溫度調整到450℃以下。像這樣藉由本發明,就能減低半導體裝置的熱預算(Thermal Budget),防止因高溫處理所致的電性質(electrical property)劣化。
最好在前述第1製程中,氫氣與前述鈦化物氣體一起導入前述處理室,在前述第2製程中,繼續將前述氫氣導 入前述處理室。藉此,作為用以從處理室除去鈦化合物氣體的沖洗氣體,可使用氫氣。
在前述鈦膜形成製程中,至少在前述處理室內形成電漿的期間,對前述處理室導入氬氣,促進鈦化合物氣體的分解之同時,達到電漿的穩定化,提高成膜處理的效率。
上述之成膜方法,亦可更具有在前述鈦膜上形成氮化鈦膜的氮化鈦膜形成製程,在前述氮化鈦膜形成製程中,重覆複數次邊將前述鈦化合物氣體與前述氫氣導入前述處理室、邊在前述處理室內產生電漿,在前述被處理基板上形成鈦膜的第6製程、和停止前述鈦化合物氣體導入前述處理室,除去殘留在前述處理室內的前述鈦化合物氣體的第7製程、和邊將前述氮化合物氣體導入前述處理室、邊在前述處理室內產生電漿,氮化前述鈦膜的第8製程。
又,在上述之基板處理裝置中,最好前述氣體供給手段係進一步對前述處理室內選擇性供給氮化合物氣體,前述控制部,係重覆複數次邊將前述鈦化合物氣體與前述氫氣導入前述處理室、邊在前述處理室內產生電漿,在前述被處理基板上形成鈦膜的第6製程、和停止前述鈦化合物氣體導入前述處理室,除去殘留在前述處理室內的前述鈦化合物氣體的第7製程、和邊將前述氮化合物氣體導入前述處理室、邊在前述處理室內產生電漿,氮化前述鈦膜的第8製程,作為在前述鈦鏌上形成氮化鈦膜的氮化鈦膜形成處理。
藉由本發明,重覆複數次使氮化鈦層積前述鈦膜的第 6~8製程,就能形成既定膜厚的氮化鈦膜。而且,藉由該氮化鈦膜形成處理,即使在低溫下也能形成Cl等之雜質極少的氮化鈦膜。因此不必提高處理溫度。
在前述氮化鈦膜形成製程中,最好前述被處理基板的溫度調整到450℃以下。只要是這樣的溫度,就能減低半導體裝置的熱預算,防止因高溫處理所致的電性質劣化。
在前述氮化鈦膜形成製程中,至少在前述處理室內形成電漿的期間,對前述處理室導入氬氣,促進鈦化合物氣體之分解的同時,達到電漿的穩定化,提高成膜處理的效率。又,由於也促進氮化合物氣體的分解,因此能有效的氮化鈦膜,形成良質的氮化鈦膜。
可採用TiCl4 氣體作為前述鈦化合物氣體,採用NH3 氣體作為前述氮化合物氣體。藉由使用該些氣體,即使在低溫下,亦可效率佳的形成良質的鈦矽化物膜、鈦膜及氮化鈦膜。
又藉由本發明,即可在一個處理室實施鈦矽化物膜形成処理、鈦膜形成処理及氮化鈦膜形成処理。亦即,由於不必每個處理都需要準備處理室,因此基板處理裝置可小型化。又,可連續在一個處理室實施各處理,例如就不需要在處理室內進行被處理基板的定位處理和處理室的搬運處理。進而由於能依然保持處理室內的真空狀態連續處理,因此能經常在清淨的環境下進行成膜處理。
藉由本發明,即使在低溫下,也能效率佳的形成包含良質的Ti膜之阻障層,而且可在該Ti膜與基層的界面區域自我整合形成TiSix 膜。
[用以實施發明的最佳形態]
以下邊參照所附圖面、邊針對本發明之最佳的實施形態做詳細說明。再者,在本詳細說明書及圖面中,有關實際上具有同一機能構造的構成要素,係附上同一符號,藉此省略重複說明。
(基板處理裝置之構造例)
邊參照圖面邊說明有關本發明之實施形態的基板處理裝置的構造例。第1圖是表示有關本實施形態的基板處理裝置之一例的概略構造圖。如第1圖所示,基板處理裝置100係具有:形成略多角形(例如六角形)的共通搬運室102、構成可真空吸引的複數個(例如四個)處理室104A~104D、構成可真空吸引的兩個加載互鎖真空室108A、108B、略長方形的搬入側搬運室110、載置可收容複數片晶圓W的晶匣的複數個(例如三個)導入口112A~112C、以及廻轉晶圓W,光學式求得該偏心量,進行定位的定方位器114。
處理室104A~104D分別隔著閘閥106A~106D連結在共通搬運室102的周圍。在各處理室104A~104D設有 載置被處理基板例如半導體晶圓(以下也稱「晶圓」)W的載置台105A~105D。各處理室104A~104D可分別對載置在載置台105A~105D的晶圓W,施行既定的處理。
在共通搬運室102內具有保持晶圓W的兩個擷取部116A、116B,並設有構成可彎曲及廻旋的搬運機構116。在共通搬運室102係隔著兩個加載互鎖真空室108A、108B連結有搬入側搬運室110。加載互鎖真空室108A係隔著閘閥107A連接共通搬運室102與搬入側搬運室110,加載互鎖真空室108B係隔著閘閥107B連接共通搬運室102與搬入側搬運室110。
再者,共通搬運室102與兩個加載互鎖真空室之內的任一方,例如與加載互鎖真空室108A之連結部的搬運口109A,係作為將晶圓W搬入共通搬送室102內專用的搬入口使用,與他方的加載互鎖真空室108B之連結部的搬運口109B,係作為將晶圓W從共通搬運室102搬出外面專用的搬出口使用。
在上述搬入側搬運室110例如連結有三個導入口112A~112C及定方位器114。又,在搬入側搬運室110內具有保持晶圓W的兩個擷取部118A、118B,並設有構成可彎曲、廻旋、昇降及直線移動的搬入側搬運機構118。
而且,在基板處理裝置100連接控制部200,成為藉由該控制部200來控制基板處理裝置100的各部。
(控制部之構造例)
邊參照圖面邊說明基板處理裝置100之控制部200的構造例。第2圖是表示控制部(系統控制器)200之構造的方塊圖。如第2圖所示,控制部200係具備:裝置控制部(EC:Equipment Controller)300、複數個模組控制部(MC:Module Controller)230A、230B、230C…、以及分別連接EC300與各MC230A、230B、230C…、的交換集線器(HUB)220。
控制部200係從EC300例如隔著LAN(Local Area Network)202連接到用來管理設置有基板處理裝置100之工廠整體的製造製程之MES(Manufacturing Execution System)204。MES204係例如藉由電腦所構成。MES204係與控制部200連繫,將有關工廠之製程的即時資訊回授到重要業務系統(未圖示)之同時,考慮工廠整體的負擔等執行有關製程的判斷。
EC300係構成統括MC230A、230B、230C…,來控制基板處理裝置100整體之動作的主控制部(主控制部)。交換集線器220係對應來自EC300的控制訊號,來切換作為EC300之連接目的端的MC230A、230B、230C…。
各MC230A、230B、230C…係構成分別控制基板處理裝置100的共通搬運室102、處理室104A~104D、加載互鎖真空室108A、108B、搬運室110、定方位器114等之各模組的動作之副控制部(從動控制部)。各MC230A、230B、230C…係分別藉由DIST(Distribution)埠板 234A、234B、234C…,經由例如GHOST網路206連接到各I/O(輸出/輸入)模組236A、236B、236C…。GHOST網路206係藉由搭載在EC300所具有之MC板之稱為GHOST(General High-Speed Optimum Scalable Transceiver)的LSI所實現的網路。在GHOST網路206最大可連接三十一個I/O模組。再者,在GHOST網路206中,MC相當於主動,I/O模組相當於從動。
各I/O模組236A、236B、236C…係由分別連接到處理室104A~104D等之各模組的各構成要素(以下稱為「終端裝置」)的複數個I/O部238A、238B、238C…所構成,執行對各終端裝置的控制訊號及來自各終端裝置的輸出訊號之傳達。例如作為處理室104的終端裝置,舉例有:控制被導入到處理室104內之氣體的流量的質量流量控制器、控制從處理室104進行排氣的APC閥等。
在各GHOST網路206也連接控制I/O部238A、238B、238C…之數位訊號、類比訊號、串列訊號的輸入/輸出的I/O埠板(未圖示)。
在此,邊參照圖面邊說明第2圖所示的EC300之構造例。第3圖是表示EC300之構造例的方塊圖。如第3圖所示,EC300係具備:構成EC本體的CPU(中央處理裝置)310、設置為了CPU310所執行的各種資料處理所使用之記憶區等的RAM(Random Access Memory)320、以顯示操作畫面和選擇畫面等的液晶顯示器等所構成的顯示手段330、可執行因操作人員所致的製程配方之輸入或 編集等各種資料之輸入以及對既定的記憶媒體之製程配方(process recipe)或處理邏輯(process logic)之輸出等各種資料之輸出等的輸出入手段340、對基板處理裝置100報知發生漏電等異常時的警報器(例如蜂鳴器)等的報知手段350。
又,EC300係具備:記憶著用以實行基板處理裝置100之各種處理的處理程式之程式資料記憶手段360、記憶著供實行處理程式所需要的資訊(資料)之處理資料記憶手段370。程式資料記憶手段360、處理資料記憶手段370,例如建構在硬碟(HDD)等的記憶區域。CPU310是配合需要從程式資料記憶手段360、處理資料記憶手段370讀出所要的程式、資料等,來實行各種處理程式。
上述CPU310與RAM320、顯示手段330、輸出入手段340、報知手段350、程式資料記憶手段360、處理資料記憶手段370等,是藉由控制匯流排、資料匯流排等滙流排線連接。在該匯流排線也連接上述交換集線器220等。
在此,針對藉由如上所述之構造的控制部200之基板處理裝置100的控制例做說明。在各處理室104A~104D中,例如對Si晶圓W施行COR(Chemical Oxide Removal)處理、PHT(Post Heat Treatment)處理、Ti膜形成處理、TiN膜形成處理等之製程處理的情形下,EC300的CPU310是讀出由程式資料記憶手段360的製程處理程式364所實行的處理程式,根據由處理資料記憶手 段370的製程處理資訊374所實行的處理之製程配方之處理資訊來實行各處理。再者,COR處理與PHT處理為異物除去處理之一種。又,有關各處理的詳細於後敘述。
CPU310係經由對應各處理程式來控制交換集線器220及處理室104A~104D的各個MC230、GHOST網路206及I/O模組236的I/O部238,對所要的終端裝置發送控制訊號,藉此實行各處理。
像這樣在第2圖所示的控制部(系統控制器)200中,複數個終端裝置不直接連接在EC300,連接在該複數個終端裝置的I/O部被模組化,構成I/O模組。由於該I/O模組係經由MC及交換集線器220連接到EC300,因此可簡化通訊系統。
又,由於EC300之CPU310發送的控制訊號,包括連接到所要的終端裝置的I/O部之位址,以及包括該I/O部的I/O模組的位址,因此交換集線器220係參照控制訊號的I/O模組之位址,且MC之GHOST參照控制訊號的I/O部之位址,藉此交換集線器220和MC可以不必對CPU310執行控制訊號之發送端的查詢,藉此就能實現控制訊號的流暢傳達。
(處理室之構造例)
其次,說明第1圖所示之基板處理裝置100的處理室之構造例。基板處理裝置100,係構成可連續實行在減壓下不使用電漿除去Si晶圓上之自然氧化膜等之異物的異 物除去處理、在已施行該異物除去處理的Si晶圓之Si表面上形成Ti膜的Ti膜形成處理、以及在Ti膜之上形成TiN膜的TiN膜形成處理。
在本實施形態中,例如構成以處理室104A~104D之中的任一室,作為進行異物除去處理的異物除去處理室,且構成以其他一室作為施行Ti膜形成處理(也包括Ti膜之自我整合的矽化物化)及TiN膜形成處理的Ti膜/TiN膜形成處理室。又,在將異物除去處理分成生成物生成處理(例如COR處理)與生成物除去處理(例如PHT處理)的兩階段之情形下,構成以處理室104A~104D中的任兩室,作為生成物生成處理室與生成物除去處理室。再者,也可在另一個處理室進行Ti膜形成處理與TiN膜形成處理。像這樣,對應在基板處理裝置100實行的處理內容,決定各處理室104A~104D的構造。
在此,於第4圖所示,例如將形成有接觸孔的Si晶圓W導入基板處理裝置100,對該Si晶圓W連續實行作為如上述的異物除去處理的COR處理、PHT處理之後,連續實行Ti膜成膜處理、TiN膜成膜處理之情形的基板處理裝置100之處理室的構造例。
如第4圖所示,在有關本實施形態的基板處理裝置100中,構成處理室104A、104B,104C分別作為COR處理室、PHT處理室、Ti膜/TiN膜形成處理室。各處理室104A~104C的處理,係分別根據記憶於設置在控制部200的EC300之程式資料記憶手段360的製程處理程式 364實行。亦即,EC300的CPU310是從製程處理程式364讀出必要的處理程式,從記憶在處理資料記憶手段370的製程處理資訊(例如製程配方資訊)374讀出必要的資訊,來實行各處理。
(Ti膜成膜處理室之構造例)
其次,針對本實施形態中主要的處理室之Ti膜/TiN膜形成處理室的構成例,邊參照圖面、邊做說明。Ti膜成膜處理室,係藉由利用電漿CVD來成膜Ti膜的例如第5圖所示的電漿CVD處理室400所構成。該電漿CVD處理室400具有構成氣密的略圓筒狀的處理室411。
在處理室411之中,係以藉由設置在該中央下部的圓筒狀之支承構件413支承的狀態配置有用以水平支承晶圓W的晶座412。該晶座412係由AlN等的陶瓷所形成,在其外緣部設有用來引導晶圓W的導環414。
又,在晶座412埋置加熱器415,該加熱器415是由加熱器電源440供電,藉此將晶圓W加熱到既定溫度。亦即,加熱器415與加熱器電源440係構成溫度調整手段。在晶座412,係在加熱器415之上埋設有下部電極416,下部電極416例如接地。
在處理室411的頂壁411A,隔著絕緣構件419設有噴淋頭420。該噴淋頭420係由大致分為上部分的基體構件421與下部分的噴淋板(Shower Plate)422所構成。
在基體構件421係埋設有加熱器423,該加熱器423 是由加熱器電源441供電,藉此將噴淋頭420加熱到既定溫度。
在噴淋板422,係形成有對處理室411內吐出氣體的多數個吐出孔424。各吐出孔424係連通到形成在基體構件421與噴淋板422之間的氣體擴散空間425。在基體構件421之中央部,設有用以將處理氣體供給到氣體擴散空間425的氣體導入口426。氣體導入口426係連接到後述之氣體供給手段430之混合氣體供給管線438。
氣體供給手段430係具有:供給Ti化合物氣體之TiCl4 氣體的TiCl4 氣體供給源431、供給Ar氣體的Ar氣體供給源432、供給還原氣體之H2 氣體的H2 氣體供給源433、供給氮化合物氣體之NH3 氣體的NH3 氣體供給源434。
而且,在TiCl4 氣體供給源431連接有TiCl4 氣體供給管線431L,在Ar氣體供給源432連接有Ar氣體供給管線432L,在H2 氣體供給源433連接有H2 氣體供給管線433L,在NH3 氣體供給源434連接有NH3 氣體供給管線434L。在各氣體管線431L~434L分別設有質量流量控制器(MFC)431C~434C及隔著該質量流量控制器431C~434C的兩個閥431V~434V。
氣體混合部437,係具有混合上述的製程氣體供給到噴淋頭420的功能,在該氣體流入側,係經由各氣體管線431L~434L連接有製程氣體供給源431~434,在該氣體流出側,係經由混合氣體供給管線438連接有噴淋頭420 。
在製程時,由TiCl4 氣體、Ar氣體、H2 氣體、以及NH3 氣體之中選出的一種氣體或複數種氣體的混合氣體,係經由噴淋頭420的氣體導入口426與氣體擴散空間425,從複數個吐出孔424導入處理室411內。
像這樣雖然有關本實施形態的噴淋頭420,是以事先混合製程氣體供給到處理室411內的所謂預混型(pre-mix)所構成,但也可為以各製程氣體獨立供給到處理室411內的後混型(post-mix)所構成。
在噴淋頭420,係經由整合器442連接有高頻電源443,在成膜之際,從該高頻電源443對噴淋頭420,供給例如450kHz的高頻電力,藉此在噴淋頭420及下部電極416之間產生高頻電場,使供給到處理室411內的製程氣體電漿化,形成Ti膜或TiN膜。亦即,噴淋頭420、整合器442、高頻電源443及下部電極416係構成電漿產生手段。
在處理室411的底壁411B之中央部形成有圓形的孔417,在底壁411B係以覆蓋該孔417的方式設有向下突出的排氣室450。在排氣室450的側面連接有排氣管451,在該排氣管451連接有排氣裝置452。而且使該排氣裝置452動作,藉此就能將處理室411內減壓到既定的真空度。
在晶座412係設有可相對於晶座412之表面突沉,用來支承Si晶圓W使其昇降的三根(圖示只有兩根)的晶 圓支承銷460,該等晶圓支承銷460被固定在支承板461。而且,晶圓支承銷460,係藉由空氣汽缸等的驅動機構462透過支承板461昇降。
在處理室411的側壁411C設有:用以在與共通搬送室102之間進行Si晶圓W之搬出/搬入的搬出/搬入口418、和開關該搬出/搬入口418的閘閥G。再者,針對在如上述所構的處理室411進行之Ti膜及TiN膜的詳細形成處理於後描述。
(晶圓搬運處理之具體例)
在此,針對如第4圖所示而構成的基板處理裝置100的晶圓搬運處理做說明。在共通搬運室102內,Si晶圓W是依處理室104A、104B、104C的順序搬運並處理。因此,Si晶圓W的搬運路徑係如第4圖所示的實線箭頭。
此種晶圓搬運處理,係根據記憶於設置在控制部200的EC(裝置控制部)300之程式資料記憶手段360的搬運處理程式362實行。亦即,EC300的CPU310是從記憶在處理資料記憶手段370的搬運處理資訊(例如搬運路徑資料)372讀出必要的資訊,來實行搬運處理程式362,藉此實行Si晶圓W的搬運處理。
在此,其中一例是從設置在中央的導入口112B的匣盒(也包括載體)例如取出形成有接觸孔或導孔之處理前晶圓W,又,兩個加載互鎖真空室108A、108B之中的任一方的加載互鎖真空室,例如加載互鎖真空室108A是用 於處理前晶圓W的搬入用,他方的加載互鎖真空室108B是用於處理過晶圓W的搬出用。目前晶圓W分別被收容在各處理室104A~104C內,成形結束各個處理,或形成大致結束。
首先,針對搬入側搬運室110內的搬運處理做說明。一旦在加載互鎖真空室108B內,收容已結束在處理室104C的處理之處理過的Si晶圓W,該處理過的Si晶圓W,係藉由搬入側搬運機構118,如搬運路徑X11所示,朝中央的導入口112B搬運收容。
又,收容在中央之導入口112B之處理前的Si晶圓W,藉由搬入側搬運機構118,如搬運路徑X12所示,朝定方位器114搬運,在此調整Si晶圓W的位置後,再度藉由搬入側搬運機構118,如搬運路徑X13所示,將調整位置後的Si晶圓W,收容到他方的加載互鎖真空室108A內,且待機。以上的操作,是每當Si晶圓W的處理往前進就重覆進行。
其次,針對在共通搬運室102內的晶圓之搬運處理做說明。首先藉由搬運機構116取得收容在處理室104C之處理過的晶圓W,如搬運路徑Y11所示,將此放置在真空狀態的加載互鎖真空室108B內。其次,藉由搬運機構116取得收容在處理室104B之處理過的晶圓W,如搬運路徑Y12所示,將此搬入放置到空室狀態的處理室104C內,開始在處理室104C內的處理。
接著,藉由搬運機構116取得收容在處理室104A之 處理過的晶圓W,如搬運路徑Y13所示,將此搬入放置到空室狀態的處理室104B內,開始在處理室104B內的處理。
接著,藉由搬運機構116取得在加載互鎖真空室108A內待機之處理前的晶圓W,如搬運路徑Y14所示,將此搬入放置到上述空室狀態的處理室104A內,開始在該處理室104A內的處理。再者,在晶圓W之搬出入之際,來開關操作各閘閥106A~106C、107A、107B之中,在晶圓W之搬出入所需要的閘閥。而且,每當在各處理室104A~104C完成晶圓W的處理就重覆進行上述的操作。
(晶圓處理之具體例)
其次,針對藉由有關上述之本實施形態的基板處理裝置100實行的晶圓處理做說明。基板處理裝置100,係對具有例如第6圖所示的膜構成之Si晶圓(Si基板)500進行處理。Si晶圓500,係在裸基板502上,形成SiO2 膜等之層間絕緣膜504,藉由蝕刻形成接觸孔505,使Si表面503露出接觸孔505的底部。
在此,係舉例在如第6圖所示的Si表面503上,形成TiSix 膜(Ti矽化物膜)的情形。第7A~7D圖是說明有關本實施形態的晶圓處理的製程圖。有關本實施形態的基板處理裝置100,係搬入如第6圖所示的Si晶圓500連續實行以下所示的處理。
首先,如第7A圖所示,進行除去Si表面503上之異 物(例如蝕刻殘渣等的污染、顆粒、自然氧化膜等)的異物除去處理。藉此,例如接觸孔的底部(第7圖所示的A部),為沒有自然氧化膜等之異物的平面,均勻的面。以往該異物除去處理是實施利用Ar電漿濺鍍的蝕刻。此為藉由施加於Si晶圓的偏壓電壓來加速因電漿而離子化的Ar離子,來濺鍍蝕刻包括附著在Si晶圓之自然氧化膜的異物之技術。可是隨著近年半導體裝置的微細化,接觸孔的形狀也變得很微細,使用Ar電漿濺鍍從接觸孔底部除去異物相當困難。
於是,在本實施形態中,在減壓下實行不使用電漿的異物除去處理。該異物除去處理,係藉由例如讓包含附著在Si晶圓的自然氧化膜的異物與氣體成份化學反應,產生生成物的生成物生成處理、和利用熱處理除去產生在Si晶圓上的生成物的生成物除去處理的兩階段處理所構成。
生成物生成處理是例如COR處理,生成物除去處理是例如PHT處理。COR處理,是讓附著在Si晶圓上的異物例如自然氧化膜等的氧化膜與例如氨(NH3 )氣及氟化氫(HF)氣體等的氣體分子化學反應,產生生成物(主要為(NH4 )2 SiF6 )的處理。PHT處理,是加熱施行COR處理的Si晶圓,藉由COR處理的化學反應使產生在Si晶圓上的生成物氣化(昇華),而自Si晶圓除去的處理。
像這樣,由於COR處理與PHT處理的組合,是在減壓下不使用電漿,就能除去Si晶圓的自然氧化膜等的異 物,因此相當於在乾洗處理(乾式洗淨處理)之中純綷的化學清洗之技術。
像這樣,在本實施形態中,實行在減壓下不使用電漿的異物除去處理,藉此就能在接著連續進行的Ti膜成膜處理中,提高膜的密著性、強度。又,由於在有關本實施形態的異物除去處理不使用電漿,因此可以防止在Ti膜的基層,特別是在Si晶圓的擴散層表面承擔電漿引起的充電傷害(charge up damage),又可防止因濺鍍蝕刻所致的擴散層表面之粗糙和磨損。像這樣就能形成沒有損害的接觸構造,就能成膜具有良好之接觸電阻的膜。
其次,Si晶圓500不曝露在大氣中,在基板處理裝置100內連續進行Ti膜506的形成處理。有關本實施形態的Ti膜506之形成處理,是分成形成第一Ti膜506A及TiSix 膜507的製程、和形成第二Ti膜506B的製程的兩階段來實行。
在形成第7B圖所示的第一Ti膜506A及TiSix 膜507的製程中,是進行藉由使用原子層堆積(ALD:Atomic Layered Deposition)之手法的電漿ALD處理所致的Ti膜形成處理。在該電漿ALD處理中,是例如在處理室重覆複數次供給TiCl4 氣體等的Ti化合物氣體,在Si表面503及層間絕緣膜504的表面產生Ti化合物之吸附反應(Ti與Si的反應)的吸附製程、和邊供給H2 氣體的還原氣體邊以此形成電漿激勵來還原吸附在Si表面503及層間絕緣膜504之表面的Ti化合物的還原製程。藉此,在 基層堆積Ti,形成Ti膜。針對利用有關本實施形態的電漿ALD處理的Ti膜形成之具體的製程配方係於後描述。
藉由該方法,如第7B圖所示,在Si表面503及層間絕緣膜504的表面,以原子電位堆積有Ti,在其中層間絕緣膜504的表面,形成有第一Ti膜506A。另一方面,Si表面503的表面亦即在接觸孔的底部(第7A圖所示的A部),係已堆積的Ti與基層的Si表面503的Si進行矽化反應(矽化合物化),自我整合形成TiSix 膜507。
進行像這樣的電漿ALD處理,藉此就能以原子電位自由的控制第一Ti膜506A及TiSix 膜507的各膜厚。又,分成Ti化合物的吸附製程與還原製程重覆複數次堆積Ti,藉由就能確實的減少Ti膜中的Cl等之雜質。特別是在本實施形態中,由於藉由利用COR處理及PHT處理的異物除去處理,在Si晶圓500之Si表面503沒有附著自然氧化膜等之異物的狀態,邊連續藉由電漿ALD處理來控制原子排列、邊堆積Ti,因此能形成更平坦且均勻的第一Ti膜506A與TiSix 膜507。
又,在本實施形態中,係在形成第一Ti膜506A與TiSix 膜507之際,將製程溫度(Si晶圓的溫度)設定在較低溫,例如450℃以下的溫度範圍。像這樣將製程溫度設定在較低溫,就能減低半導體裝置的熱損。而且由於在本實施形態中,進行電漿ALD處理,因此即使將製程溫度調整到450℃以下,還是能減低包含在第一Ti膜506A與TiSix 膜507之中的Cl等的雜質濃度,形成良質的膜。
又,在本實施形態中,係在形成第一Ti膜506A與TiSix 膜507之際,形成不對處理室內導入Ar氣體。在處理室內導入Ar氣體的情形下,有Ar原子衝突到Si表面503和層間絕緣膜504的表面,使該等之膜的表面形態(morphology)惡化之虞。此點,根據本實施形態,就能將各膜層的表面形態保持在良好的狀態。因而,形成在該等膜之上的第一Ti膜506A與TiSix 膜507的膜質也會提昇。又,由於TiSix 膜507與該基層的Si表面503的界面就能平坦化,因此就能在界面整體,將肖特基障壁成為一定的高度,而形成穩定的歐姆接觸。例如,在電晶體應用此種接觸構造,藉此就能減少接觸電阻。
又,Ar氣體亦有使第一Ti膜506A與TiSix 膜507受損之虞。此時,第一Ti膜506A與TiSix 膜507的膜質劣化,阻障功能下降的同時,接觸電阻有可能上昇。進而,第一Ti膜506A與TiSix 膜507的成膜速率有下降的可能性。此點,藉由本實施形態,由於在形成第一Ti膜506A與TiSix 膜507之際,形成不對處理室內導入Ar氣體,因此可在短時間之內,形成良質的第一Ti膜506A與TiSix 膜507。
其次,如第7C圖所示,進行在第一Ti膜506A與TiSix 膜507之上形成第二Ti膜506B的Ti膜形成處理。在此進行電漿SFD(Sequential Flow Deposition)處理。在藉由該電漿SFD處理的Ti膜形成處理中,例如,重覆複數次邊對處理室同步供給Ti化合物氣體與Ar氣體、H2 氣體、邊產生電漿來形成Ti膜的製程、和停止Ti化合物氣體的供給,而藉由H2 電漿之電漿退火的製程。藉此,在短時間之內形成即定之膜厚的Ti膜。針對利用有關本實施形態的電漿SFD處理的Ti膜形成之具體的製程配方係於後描述。
可是進行藉由上述之電漿ALD處理的Ti膜形成處理,藉此就能形成良質的TiSix 膜507。可是由於該成膜速率極小,因此為了得到作為阻障層之Ti膜506所需要的膜厚,需要龐大的時間,毫無現實性。此點,在本實施形態中,係TiSix 膜507的膜厚達到既定值之際,結束第一Ti膜506A的形成製程,移行到第二Ti膜506B的形成製程。藉由供形成該第二Ti膜506B所用的電漿SFS處理,與電漿ALD處理相比,有關Ti膜的形成可得到較高的成膜速率。因此,可在短時間之內形成即定之膜厚的Ti膜506。
又,在本實施形態中,係在形成第二Ti膜506B之際,與形成第一Ti膜506A與TiSix 膜507之際同樣的,將製程溫度設定在較低溫,例如450℃以下的溫度範圍。在本實施形態中,藉由利用電漿SFD處理的H2 電漿的電漿退火,即使將製程溫度調整到450℃以下,還是能大幅減低包含在第二Ti膜506B之中的Cl等的雜質濃度。
接著,如第7D圖所示,更在Ti膜506上進行成膜TiN膜508的TiN膜形成處理。在此,並不是利用普通的熱CVD的TiN膜形成,是進行利用電漿SFD處理的TiN 膜形成處理。在該電漿SFD處理中,例如,重覆複數次邊對處理室同步供給Ti化合物氣體與Ar氣體、H2 氣體、邊產生電漿來形成Ti膜的製程、和停止Ti化合物氣體的供給的製程、和邊對處理室同步供給NH3 氣體與Ar氣體、H2 氣體、邊產生電漿來氮化Ti膜的氮化製程。藉此,在基層堆積TiN,形成TiN膜。針對利用有關本實施形態的電漿SFD處理的TiN膜形成之具體的製程配方係於後描述。
如上,在Si晶圓500的接觸孔505內形成有:以TiSix 膜507、Ti膜506、以及TiN膜508所形成的阻障層。
(第一Ti膜形成處理)
上述之各製程處理中,針對本發明之主要製程處理的第一Ti膜形成處理(鈦矽化物膜形成製程)、第二Ti膜形成處理(鈦膜形成製程)、以及TiN膜形成處理,做更詳細說明。再者,在此是使用TiCl4 氣體作為Ti化合物氣體,使用H2 氣體作為還原氣體,使用NH3 氣體作為氮化合物氣體的情形為例,說明有關本實施形態的製程處理。
首先,針對第一Ti膜形成處理,邊參照圖面、邊做說明。如上述,在第一Ti膜506A的形成,係應用電漿ALD處理。於第8圖表示藉由該電漿ALD處理之Ti膜形成處理之具體例。
首先,每當進行第一Ti膜形成處理,從加熱器電源440對埋置在晶座412的加熱器415供給電力,將Si晶圓W的溫度調整到例如450℃。而且,在步驟S11(第一製程),對處理室411內供給TiCl4 氣體與H2 氣體。此時,將TiCl4 氣體的流量調整到例如12sccm,將H2 氣體的流量調整到例如4000sccm。藉此實行該步驟S11,TiCl4 會吸附在Si表面503及層間絕緣膜504的表面。步驟S11的時間例如為4秒。
其次,在步驟S12(第二製程),停止TiCl4 氣體的供給,對處理室411內只供給H2 氣體,沖洗殘留在處理室411內的TiCl4 氣體。此時,將H2 氣體的流量調整到例如4000sccm。步驟S12的時間例如為1.5秒。
接著,在步驟S13(第3製程),對處理室411內以例如流量4000sccm供給H2 氣體的同時,對配置在處理室411內的噴淋頭(上部電極)420施加例如800W的高頻電力,在處理室411內將H2 氣體電漿化。藉此在先前的步驟S11,吸附在Si表面503及層間絕緣膜504之表面的TiCl4 會還原,殘留Ti。
以上的步驟S11~S13為一個循環,重覆循環到第一Ti膜506A達到所要的膜厚(例如2nm)為止。此時,如上所說明,Si表面503的表面亦即在接觸孔的底部(第7A圖所示的A部),係已堆積的Ti與基層的Si表面503的Si進行矽化反應(矽化合物化),自我整合形成TiSix 膜507(參照第7B圖)。
(第二Ti膜形成處理)
其次,針對第二Ti膜形成處理,邊參照圖面、邊做說明。第二Ti膜506B是形成在第一Ti膜506A及TiSix 膜507之上,如上所述,在第二Ti膜506B的形成是應用電漿SFD處理。於第9圖表示該電漿SFD處理之具體例。
首先,每當進行第一Ti膜形成處理,從加熱器電源440對埋置在晶座412的加熱器415供給電力,將Si晶圓W的溫度調整到例如450℃。而且,在步驟S21(氣體安定化製程),對處理室411內供給TiCl4 氣體與H2 氣體、Ar氣體。此時,將TiCl4 氣體的流量調整到例如12sccm,將H2 氣體的流量調整到例如4000sccm,將Ar氣體的流量調整到例如1600sccm。再者,該步驟S21的主要目的是在於,在下一個步驟S22之前,先使處理室內的處理氣體安定化。步驟S21的時間例如為0~2秒。
其次,在步驟S22(第4製程),一邊將TiCl4 氣體、H2 氣體、以及Ar氣體,從步驟S21以相同流量持續供給到處理室411內、一邊對配置在處理室411內的噴淋頭(上部電極)420施加例如800W的高頻電力,在處理室411內形成電漿。藉此,在第一Ti膜506A及TiSix 膜507之上形成第二Ti膜。步驟S22的時間例如為4秒。
接著,由步驟S22的狀態,停止TiCl4 氣體的供給,移行到步驟S23(第5製程)。亦即,在步驟S23,對處 理室411內將H2 氣體與Ar氣體,利用與步驟S22相同流量來供給,在處理室411內將該等電漿化。藉此,在先前的步驟S22,使形成在第一Ti膜506A及TiSix 膜507之上的第二Ti膜電漿退火。步驟S23的時間例如為5秒。
其次,從步驟S23的狀態,消除電漿,移行到步驟S24。該步驟S24,係下一個循環開始前的等待時間,該時間例如為1秒。
以上的步驟S21~S24為一個循環,重覆循環到第二Ti膜506B達到所要的膜厚為止。再者,在步驟S21~S24,也可以不將Ar氣體導入處理室。
如此一來,實行第一Ti膜形成處理與第二Ti膜形成處理,形成層積有第一Ti膜506A與第二Ti膜506B的Ti膜506。而且,在該第一Ti膜506與Si表面503的邊界區域,形成TiSix 膜507(參照第7C圖)。
(TiN膜形成處理)
其次,針對TiN膜形成處理,邊參照圖面、邊做說明。TiN膜508是形成在Ti膜506之上,如上所述,在TiN膜508的形成是應用利用電漿SFD處理的TiN膜形成處理。於第10圖表示該電漿SFD處理之具體例。
該TiN膜形成處理的步驟S31~S36之中,由於步驟S31~S34(氣體安定化製程、第6、7製程),是進行與上述第二Ti膜形成處理的步驟S21~S24同樣的處理,因此在此省略其詳細的說明。而且,實行步驟S31~S34, 在Ti膜506之上形成Ti膜。然後,實行步驟S35(第8製程),氮化該Ti膜,形成TiN膜。再者,每當進行TiN膜形成處理,從加熱器電源440對埋置在晶座412的加熱器415供給電力,將Si晶圓W的溫度調整到例如450℃。
在步驟S35,對處理室411內供給H2 氣體、Ar氣體以及NH3 氣體的同時,對配置在處理室411內的噴淋頭(上部電極)420施加例如800W的高頻電力,再度在處理室411內形成電漿。此時,將H2 氣體的流量調整到例如2000sccm,將Ar氣體的流量調整到例如1600sccm,將NH3 氣體的流量調整到例如1500sccm。步驟S35的時間例如為2秒。
在下一個步驟S36,消除電漿的同時,停止對處理室411內供給NH3 氣體。有關H2 氣體、Ar氣體,當在步驟S35時調整到相同流量,藉由該等氣體來沖洗殘留在處理室411內的NH3 氣體。藉此,可在下一個循環的步驟S31中,防止供給到處理室411內的TiCl4 氣體與殘留NH3 氣體混合,形成更良質的TiN膜。該步驟S36的時間例如為2秒。以上的步驟S31~S36為一個循環,重覆循環到TiN膜508達到所要的膜厚為止。
(有關本實施形態的成膜處理之特徵)
有關本實施形態的成膜處理之特徵,是可達到先分成第一Ti膜形成處理與第二Ti膜處理的兩階段來形成Ti 膜506之點。而且,在本實施形態中,在第一Ti膜506A的形成與第二Ti膜506B的形成,是分別應用不同的處理,亦即電漿ALD處理與電漿SFD處理。
最先藉由實行電漿ALD處理,將Si晶圓W的溫度例如降低調整到450℃以下,可形成良質的TiSix 膜507的同時,還能確實的減低Ti膜中之Cl等的雜質。然後,切換到可得到較高成膜速率的電漿SFD處理,藉此就能在短時間之內形成即定之膜厚的Ti膜506。又,此時也可將Si晶圓W的溫度例如降低調整到450℃以下,減低熱損。
有關本實施形態的成膜處理的其他特徵,是當第一Ti膜形成處理時,不將Ar氣體導入處理室411內之點。藉此,不減低成膜速率,就能形成更良質的第一Ti膜506A與TiSix 膜507。
可是第10圖所示的電漿SFD處理的步驟S33,是施行對Ti膜的H2 電漿退火處理的製程。有關該處理,即使在後面的步驟S35,都能同時施行電漿氮化處理。因而,也可實施省略第11圖所示的步驟S33的電漿SFD處理,取代第10圖所示的電漿SFD處理。又,第11圖所示的電漿SFD處理的步驟S34,並非指定開始下一個步驟S35之前的等待時間之位置,而是藉由H2 氣體與Ar氣體,來沖洗殘留在處理室411內的TiCl4 氣體的製程。此時,步驟S34的時間例如為2秒。
(確認電漿ALD處理之效果的實驗)
針對確認從有關具有以上特徵的本實施形態之成膜處理所得到的效果的實驗結果,邊參照圖面邊做說明。首先,在低溫下形成Ti膜的情形,藉由實驗來確認採用電漿ALD處理,藉此就能使Ti膜矽化物化。於第12圖與第13圖表示該實驗結果。
第12圖是表示測定對具有第6圖所示之膜構造的取樣晶圓,另外實施電漿ALD處理與電漿SFD處理時的Ti膜之膜厚的結果。如第12圖所示,實施電漿SFD處理的情形下,形成在SiO2 膜(層間絕緣膜504)之上的Ti膜之厚度為9.5nm,形成在Si膜(Si表面503)之上的Ti膜之厚度為8.7nm,該些之比為0.92。總之,實施電漿SFD處理的情形下,在SiO2 膜與Si膜之上形成有大致相同厚度的Ti膜。由此認為形成在SiO2 膜與Si膜之上的Ti膜的膜質為相同。
另一方面,如第12圖所示,實施電漿ALD處理的情形下,形成在SiO2 膜(層間絕緣膜504)之上的Ti膜之厚度為7.4nm,形成在Si膜(Si表面503)之上的Ti膜之厚度為23.1nm,該些之比為3.12。總之,實施電漿ALD處理的情形下,在Si膜之上形成有比在SiO2 膜之上厚3倍以上的Ti膜。由此認為在Si膜之上形成有與形成在SiO2 膜之Ti膜相異的膜質之Ti膜。而且,推測因基層為Si膜,故Ti膜形成矽化物化,體積(膜厚)增加。
為了直接確認對具有第6圖所示之膜構造的取樣晶圓 ,實施上述電漿ALD處理,形成在Si膜上的Ti膜是否矽化物化,使用EDX(螢光X線)來分析Si膜上之Ti膜(含有Ti膜)的組成。於第13圖表示其結果。
如第13圖所示,Si膜上之含有Ti膜的Si之比例為81.38atom%(原子數比例),Ti之比例為13.19atom%,Cl之比例為0.18atom%,O之比例為5.25atom%。由此結果了解,實施電漿ALD處理形成在Si膜上的Ti膜會形成矽化物化,變成TiSix 膜。
再者,由第13圖所示的實驗結果了解,在實施電漿ALD處理所得到的TiSix 膜幾乎不含Cl。因此,可判斷在電漿ALD處理中,吸附在Si膜的TiCl4 會正確的進行還原。
(確認未使用Ar氣體的Ti膜形成處理之效果的實驗)
其次,藉由實驗來確認藉由未使用Ar氣體施行第一Ti膜形成處理所得到的效果。於第14A圖、第14B圖、第15圖、第16圖表示該實驗結果。
先對具有第6圖所示的膜構造的取樣晶圓,比較將Ar氣體與H2 氣體導入處理室,施行電漿還原處理之情形與只將H2 氣體導入處理室,施行電漿還原處理之情形的處理結果。第14A圖是表示在施行利用Ar氣體與H2 氣體的電漿還原處理的取樣晶圓之中,Si膜與SiO2 膜為層積狀態之部分的斷面與表面之掃描型電子顯微鏡(SEM)的照片。又,第14B圖是表示在施行只用H2 氣體的電漿 還原處理的取樣晶圓之中,Si膜與SiO2 膜為層積狀態之部分的斷面與表面之SEM的照片。
由第14A圖與第14B圖的照片了解,施行只用H2 氣體的電漿還原處理的取樣晶圓,SiO2 膜的表面形態是保持良好的狀態。由該實驗結果認為Ar氣體在膜的表面並未減少,有賦予損傷之虞。
第15圖是表示對具有第6圖所示之膜構造的取樣晶圓,將上述電漿ALD處理實施300個循環時,形成在SiO2 膜上的Ti膜之電性質。第15圖中之晶圓的俯視圖所示的曲線,係在晶圓面內將電阻值相等的點彼此連起來,在晶圓面內之Ti膜的電阻值誤差大的區域,各曲線的間隔變密。
如第15圖所示,由於在電漿ALD處理中,對處理室內供給Ar氣體的情形下,比不供給Ar氣體的情形下,曲線在晶圓面內整區變密,因此得知晶圓面內的Ti膜之電阻誤差大。此乃由下段所示的晶圓面內之Ti膜的均勻性之值亦可明白,表示對無Ar氣體的情形,為2.1%,有Ar氣體的情形,為90.4%之極大的電阻誤差。
又,如第15圖所示,對無Ar氣體的情形,晶圓的表面電阻為270Ω/sq.,電阻率為209μΩ‧cm,有Ar氣體的情形,晶圓的表面電阻為1306Ω/sq.,電阻率為1208μΩ‧cm。得知對處理室內供給Ar氣體的話,Ti膜的各電阻值會上昇。
如上,得知有關Ti膜的電阻值之面內均勻性、表面 電阻、以及電阻率的所有之點,有Ar氣體的情形,特性比無Ar氣體的情形低劣。由該實驗結果認為有Ar氣體的情形下,Ar會賦予Ti膜或基層的Si膜、SiO2 膜損傷,不會形成良質的Ti膜。例如Ar衝突到SiO2 膜,釋放出氧(O),該氧與Ti結合,Ti膜的一部分有可能成為TiOX 膜(氧化鈦膜)。由於TiOX 膜的電阻值比金屬的Ti膜高,因此測定如第15圖所示的電性質。
第16圖是表示對溫度調整到450℃的取樣晶圓實施利用上述電漿ALD處理的Ti膜形成處理時,形成在SiO2 膜上的Ti膜之膜厚與膜形成處理之循環次數的關係。在第16圖的曲線圖中,有關未將Ar氣體導入處理室之情形的處理結果以白圓形表示,有關將Ar氣體導入處理室之情形的處理結果以黑圓形表示。
由該第16圖即可明白,當循環次數為約300回以下時,未使用Ar氣體者,即使相同的循環次數也能形成較厚的Ti膜。換言之,可在短時間形成所要之膜厚的第一Ti膜506A。對此,循環次數為超過約300回的話,使用Ar氣體者,Ti膜之成膜速率變高。但實際上,由於第一Ti膜506A形成極薄(例如2nm),因此循環次數只要關注到約300回以下的範圍就夠了。
像這樣,從因有無Ar氣體而在Ti膜的成膜速率產生差異的第16圖之結果,認為尤其在Ti膜的形成初期階段,Ar是造成Ti膜之成膜速率下降的原因。因此,為了不讓成膜速率下降,最好在實施電漿ALD處理的期間,亦 不對處理室導入Ar氣體。
如下,根據有關本實施形態的成膜處理,即使在低溫下也能形成Cl等之混入極少雜質的Ti膜506及TiN膜508。又,形成Ti膜506之際,由於一併採用可在低溫下形成TiSix 膜的電漿ALD處理與成膜速率高的電漿SFD處理,因此能得到良質的TiSix 膜507之同時,還可縮短有關Ti膜506之成膜的時間。更在電漿ALD處理中,由於不對處理室內導入Ar氣體,因此可達到更進一步提昇Ti膜506和TiSix 膜507的膜質。
再者,上述各處理室104A~104D的構造並不限於第4圖所示的構造。例如構成以各處理室104A~104D之中的哪一個處理室作為COR處理室、PHT處理室、Ti膜/TiN膜形成處理室均可。因此,Si晶圓W的搬運順序,如果亦依各處理室104A~104D之中的COR處理室、PHT處理室、Ti膜/TiN膜形成處理室的順序搬運,就不一定是依各處理室104A~104C的順序。
又,在本實施形態中,雖是針對在處理室104C連續進行Ti膜形成處理與TiN膜形成處理的情形來說明構造及作用,但亦可為在個別的處理室進行各處理。例如也可構成在處理室104C進行Ti膜膜形成處理,在處理室104D進行TiN膜形成處理。
有關根據上述實施形態詳述的本發明,可應用在由複數個機器所構成的系統,或應用在由一個機器所構成的裝置。即使將記憶著實現上述之實施形態之功能的軟體之程 式的記憶媒體等的媒體,供給到系統或裝置,該系統或裝置的電腦(或是CPU、MPU等)讀出儲存在記憶媒體等之媒體的程式來實行,藉此理所當然的本發明亦可達成。
此時,由記憶媒體等之媒體所讀出的程式本身來實現上述之實施形態的功能,記憶該程式的記憶媒體等之媒體,構成本發明。作為用來供給程式的記憶媒體等之媒體,例如可使用軟碟(註冊商標)、硬碟、光碟、光磁碟片、CD-ROM、CD-R、CD-RW、DVD-ROM、DVD-RAM、DVD-RW、DVD+RW、磁帶、非揮發性的記憶卡、ROM,或透過網路的下載等。
再者,藉由實行電腦讀出的程式,不光是實現上述之實施形態的功能,也包含實現依據該程式的指示,在電腦上作業的OS(作業系統)等執行實際處理的一部分或全部,藉由該處理實現上述之實施形態的功能之情形,亦包含在本發明。
進而,由記憶媒體等之媒體所讀出的程式,寫入到配備在插入電腦之機能擴充口或連接到電腦的機能擴充元件的記憶體之後,依據該程式的指示,該擴充機能擴充口和配備在機能擴充口元件的CPU等執行實際處理的一部分或全部,藉由該處理實現上述之實施形態的功能之情形,也包含在本發明。
以上,雖是邊參照所附圖面邊針對本發明之最佳實施形態做說明,但本發明當然不限於相關的範例。只要是該業者,即可明白針對申請專利範圍所記載的範疇內,思及 得到各種變更例或修正例,可理解的連同該等當然亦屬於本發明之技術範圍。
例如,在上述實施形態中,雖是針對在Si晶圓的Si表面上形成TiSix 膜作為含有Si表面的情形做說明,但本發明並不限於此,也可為以形成在Si晶圓上的CoSi2 或NiSi等的金屬矽化合物膜上或在多結晶矽(poly-Si)膜上形成TiSix 膜作為含有Si表面。
又,在上述實施形態中,雖是舉例使用TiCl4 氣體作為鈦化合物氣體的情形做說明,但並不限於此,也可採用其他的鈦化合物氣體。例如也可使用TDMAT(四(-甲基胺)一鈦)、TDEAT(四(二乙基胺)一鈦)等作為有機鈦。
[產業上的可利用性]
本發明係可應用於在半導體晶圓、FPD(Flat Panel Display)基板等的被處理基板上成膜既定之膜的成膜方法及基板處理裝置。
100‧‧‧基板處理裝置
102‧‧‧共通搬運室
104(104A~104D)‧‧‧處理室
105(105A~105D)‧‧‧載置台
106A~106D‧‧‧閘閥
107A、107B‧‧‧閘閥
108(108A、108B)‧‧‧加載互鎖真空室
109(109A、109B)‧‧‧搬運口
110‧‧‧搬入側搬運室
112(112A~112C)‧‧‧導入口
114‧‧‧定方位器
116‧‧‧搬運機構
116A、116B‧‧‧擷取部(pick)
118‧‧‧搬入搬運機構
118A、118B‧‧‧擷取部(pick)
200‧‧‧控制部(系統控制器)
300‧‧‧EC(裝置控制部)
310‧‧‧CPU
320‧‧‧RAM
330‧‧‧顯示手段
340‧‧‧輸入手段
350‧‧‧報知手段
360‧‧‧程式資料記憶手段
362‧‧‧搬運處理程式
364‧‧‧製程處理程式
370‧‧‧處理資料記憶手段
374‧‧‧製程處理資訊
400‧‧‧電漿CVD處理室
411‧‧‧處理室
412‧‧‧晶座
413‧‧‧支承構件
414‧‧‧導環
415‧‧‧加熱器
416‧‧‧下部電極
418‧‧‧搬出入口
419‧‧‧絕緣構件
420‧‧‧噴淋頭
421‧‧‧基體構件
422‧‧‧噴淋板(Shower Plate)
423‧‧‧加熱器
424‧‧‧吐出孔
425‧‧‧氣體擴散空間
426‧‧‧氣體導入口
430‧‧‧氣體供給手段
431‧‧‧TiCl4 氣體供給源
431C~434C‧‧‧質量流量控制器
431L‧‧‧TiCl4 氣體供給管線
432‧‧‧Ar氣體供給源
432L‧‧‧Ar氣體供給管線
433‧‧‧H2 氣體供給源
433L‧‧‧H2 氣體供給管線
432‧‧‧NH3 氣體供給源
434L‧‧‧NH3 氣體供給管線
437‧‧‧混合部
438‧‧‧混合氣體供給管線
440‧‧‧加熱器電源
441‧‧‧加熱器電源
442‧‧‧整合器
443‧‧‧高頻電源
450‧‧‧排氣室
451‧‧‧排氣管
452‧‧‧排氣裝置
460‧‧‧晶圓支承銷
461‧‧‧支承板
462‧‧‧驅動機構
500‧‧‧Si晶圓(矽晶圓)
502‧‧‧裸基板
503‧‧‧Si表面
504‧‧‧層間絕緣膜
505‧‧‧接觸孔
506‧‧‧Ti膜
506A‧‧‧第一Ti膜
506B‧‧‧Ti膜
507‧‧‧TiSix
508‧‧‧TiN膜
G‧‧‧閘閥
W‧‧‧晶圓(矽晶圓)
第1圖是表示有關本發明之實施形態的基板處理裝置之構成例的剖面圖。
第2圖是表示第1圖所示之控制部(系統控制器)的構成例之方塊圖。
第3圖是表示同實施形態的EC(裝置控制部)之構 成例的方塊圖。
第4圖是表示第1圖所示之基板處理裝置的處理室之構成例的圖。
第5圖是表示同實施形態的Ti膜/TiN膜形成處理室之構成例的剖面圖。
第6圖是表示同實施形態的Si晶圓之膜構造的具體例之模式圖。
第7A圖是說明有關同實施形態的異物除去處理的模式圖。
第7B圖是說明有關同實施形態的第一Ti膜形成處理的模式圖。
第7C圖是說明有關同實施形態的第二Ti膜形成處理的模式圖。
第7D圖是說明有關同實施形態的TiN膜形成處理的模式圖。
第8圖是表示藉由有關同實施形態的電漿ALD處理之Ti膜形成處理之構成例的計時圖。
第9圖是表示藉由有關同實施形態的電漿SFD處理之Ti膜形成處理之具體例的計時圖。
第10圖是表示藉由有關同實施形態的電漿SFD處理之TiN膜形成處理之具體例的計時圖。
第11圖是表示藉由有關同實施形態的電漿SFD處理之TiN膜形成處理之其他具體例的計時圖。
第12圖是表示對取樣晶圓實施利用電漿ALD處理的 Ti膜形成處理與利用電漿SFD處理的Ti膜形成處理時的Ti膜之膜厚差的圖。
第13圖是表示對取樣晶圓實施利用電漿ALD處理的Ti膜形成處理,分析形成在Si膜上的Ti膜之組成的結果的圖。
第14A圖是表示施行利用Ar氣體與H2 氣體的電漿還原處理的取樣晶圓的斷面與表面之掃描型電子顯微鏡(SEM)的照片之圖。
第14B圖是表示施行只用H2 氣體的電漿還原處理的取樣晶圓的斷面與表面之掃描型電子顯微鏡(SEM)的照片之圖。
第15圖是表示對取樣晶圓實施利用電漿ALD處理的Ti膜形成處理時,形成在SiO2 膜上的Ti膜之電性質(electrical property)的圖。
第16圖是表示對取樣晶圓實施利用電漿ALD處理的Ti膜形成處理時,形成在SiO2 膜上的Ti膜之膜厚與膜形成處理之循環次數的關係之圖。
第17圖是表示半導體裝置之配線構造的模式圖。
500‧‧‧Si晶圓(矽晶圓)
502‧‧‧裸基板
503‧‧‧Si表面
504‧‧‧層間絕緣膜
505‧‧‧接觸孔
506‧‧‧Ti膜
506A‧‧‧第一Ti膜
506B‧‧‧第二Ti膜
507‧‧‧TiSix

Claims (11)

  1. 一種成膜方法,係在處理室內對被處理基板形成鈦膜或鈦化合物膜的成膜方法,其特徵為:具有:在前述被處理基板形成鈦矽化物膜的鈦矽化物膜形成製程、和在前述鈦矽化物膜上形成鈦膜的鈦膜形成製程;在前述鈦矽化物膜形成製程中,使用電漿ALD,依下列製程順序進行2次以上,將鈦化合物氣體導入前述處理室,使前述鈦化合物氣體吸附在前述被處理基板之表面的第1製程、和停止前述鈦化合物氣體導入前述處理室,除去殘留在前述處理室內的前述鈦化合物氣體的第2製程、和邊將氫氣導入前述處理室、邊在前述處理室內產生電漿,還原吸附在前述被處理基板之含有矽表面的前述鈦化合物氣體之同時,與前述含有矽表面的矽一起反應形成鈦矽化物膜的第3製程;在前述鈦膜形成製程中,重覆複數次邊將前述鈦化合物氣體與前述氫氣導入前述處理室、邊在前述處理室內產生電漿,在前述被處理基板上形成鈦膜的第4製程、和邊維持前述電漿、邊停止前述鈦化合物氣體導入前述處理室,將前述鈦膜進行電漿退火的第5製程,在前述第3製程中,氬氣不導入前述處理室。
  2. 如申請專利範圍第1項所記載的成膜方法,其 中,在前述鈦矽化物膜形成製程及前述鈦膜形成製程中,前述被處理基板的溫度調整到450℃以下。
  3. 如申請專利範圍第1項所記載的成膜方法,其中,在前述第1製程中,氫氣與前述鈦化物氣體一起導入前述處理室,在前述第2製程中,繼續將前述氫氣導入前述處理室。
  4. 如申請專利範圍第1項所記載的成膜方法,其中,在前述鈦膜形成製程中,至少在對前述處理室內形成電漿的期間,對前述處理室導入氬氣。
  5. 如申請專利範圍第1項所記載的成膜方法,其中,更具有在前述鈦膜上形成氮化鈦膜的氮化鈦膜形成製程;在前述氮化鈦膜形成製程中,重覆複數次邊將前述鈦化合物氣體與前述氫氣導入前述處理室、邊在前述處理室內產生電漿,在前述被處理基板上形成鈦膜的第6製程、和停止前述鈦化合物氣體導入前述處理室,除去殘留在前述處理室內的前述鈦化合物氣體的第7製程、和邊將氮化合物氣體導入前述處理室、邊在前述處理室內產生電漿,氮化前述鈦膜的第8製程。
  6. 如申請專利範圍第5項所記載的成膜方法,其中,在前述氮化鈦膜形成製程中,前述被處理基板的溫度調整到450℃以下。
  7. 如申請專利範圍第5項所記載的成膜方法,其中,在前述氮化鈦膜形成製程中,至少在對前述處理室內形成電漿的期間,對前述處理室導入氬氣。
  8. 如申請專利範圍第1項所記載的成膜方法,其中,前述鈦化合物氣體為TiCl4 氣體。
  9. 如申請專利範圍第5項所記載的成膜方法,其中,前述氮化合物氣體為NH3 氣體。
  10. 一種基板處理裝置,係具備:對被處理基板施行膜形成處理的處理室、和對前述處理室內至少選擇性供給鈦化合物氣體、還原氣體及氬氣的氣體供給手段、和在前述處理室內產生電漿的電漿產生手段、和調整載置在前述處理室內的前述被處理基板之溫度的溫度調整手段、和控制前述氣體供給手段、前述電漿產生手段及前述溫度調整手段之動作的控制部的基板處理裝置,其特徵為:前述控制部, 係使用電漿ALD,依下列製程順序進行2次以上,將鈦化合物氣體導入前述處理室,使前述鈦化合物氣體吸附在前述被處理基板之表面的第1製程、和停止前述鈦化合物氣體導入前述處理室,除去殘留在前述處理室內的前述鈦化合物氣體的第2製程、和邊將氫氣導入前述處理室、邊在前述處理室內產生電漿,還原吸附在前述被處理基板之含有矽表面的前述鈦化合物氣體之同時,與前述含有矽表面的矽一起反應形成鈦矽化物膜的第3製程,作為在前述被處理基板形成鈦矽化物膜的鈦矽化物膜形成處理;且重覆複數次邊將前述鈦化合物氣體與前述氫氣導入前述處理室、邊在前述處理室內產生電漿,在前述被處理基板上形成鈦膜的第4製程、和邊維持前述電漿、邊停止前述鈦化合物氣體導入前述處理室,將前述鈦膜進行電漿退火的第5製程,作為在前述鈦矽化物膜上形成鈦膜的鈦膜形成處理,在前述第3製程中,氬氣不導入前述處理室。
  11. 如申請專利範圍第10項所記載的基板處理裝置,其中,前述氣體供給手段係進一步對前述處理室內選擇性供給氮化合物氣體,前述控制部,係重覆複數次邊將前述鈦化合物氣體與前述氫氣導入前述處理室、邊在前述處理室內產生電漿,在前述被處理 基板上形成鈦膜的第6製程、和停止前述鈦化合物氣體導入前述處理室,除去殘留在前述處理室內的前述鈦化合物氣體的第7製程、和邊將前述氮化合物氣體導入前述處理室、邊在前述處理室內產生電漿,氮化前述鈦膜的第8製程,作為在前述鈦鏌上形成氮化鈦膜的氮化鈦膜形成處理。
TW096140591A 2006-10-30 2007-10-29 Film forming method and substrate processing device TWI464790B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006293938A JP5207615B2 (ja) 2006-10-30 2006-10-30 成膜方法および基板処理装置

Publications (2)

Publication Number Publication Date
TW200837813A TW200837813A (en) 2008-09-16
TWI464790B true TWI464790B (zh) 2014-12-11

Family

ID=39343976

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096140591A TWI464790B (zh) 2006-10-30 2007-10-29 Film forming method and substrate processing device

Country Status (6)

Country Link
US (1) US7981794B2 (zh)
JP (1) JP5207615B2 (zh)
KR (1) KR101163277B1 (zh)
CN (1) CN101346802B (zh)
TW (1) TWI464790B (zh)
WO (1) WO2008053625A1 (zh)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5374039B2 (ja) * 2007-12-27 2013-12-25 東京エレクトロン株式会社 基板処理方法、基板処理装置及び記憶媒体
JP2010016136A (ja) * 2008-07-02 2010-01-21 Tokyo Electron Ltd 薄膜の成膜方法及び成膜装置
KR101108879B1 (ko) 2009-08-31 2012-01-30 주식회사 원익아이피에스 가스분사장치 및 이를 이용한 기판처리장치
KR101625078B1 (ko) * 2009-09-02 2016-05-27 주식회사 원익아이피에스 가스분사장치 및 이를 이용한 기판처리장치
JP5358366B2 (ja) * 2009-09-14 2013-12-04 東京エレクトロン株式会社 基板処理装置及び方法
CN102383106B (zh) * 2010-09-03 2013-12-25 甘志银 快速清除残余反应气体的金属有机物化学气相沉积反应腔体
JP5725454B2 (ja) * 2011-03-25 2015-05-27 株式会社アルバック NiSi膜の形成方法、シリサイド膜の形成方法、シリサイドアニール用金属膜の形成方法、真空処理装置、及び成膜装置
US8785310B2 (en) 2012-01-27 2014-07-22 Tokyo Electron Limited Method of forming conformal metal silicide films
FR2997420B1 (fr) * 2012-10-26 2017-02-24 Commissariat Energie Atomique Procede de croissance d'au moins un nanofil a partir d'une couche d'un metal de transition nitrure obtenue en deux etapes
JP5971870B2 (ja) * 2013-11-29 2016-08-17 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び記録媒体
JP6426893B2 (ja) * 2013-12-25 2018-11-21 東京エレクトロン株式会社 コンタクト層の形成方法
US10029217B2 (en) 2015-05-22 2018-07-24 Chevron U.S.A. Inc. Methods of making crosslinked membranes utilizing an inert gas atmosphere
JP2017022302A (ja) * 2015-07-14 2017-01-26 ルネサスエレクトロニクス株式会社 半導体装置の製造方法および半導体装置
US11217672B2 (en) * 2019-08-30 2022-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a source/drain
CN110729166A (zh) * 2019-09-23 2020-01-24 长江存储科技有限责任公司 存储器的制作设备及制作方法
KR20210040231A (ko) 2019-10-02 2021-04-13 삼성전자주식회사 반도체 장치
JP7023308B2 (ja) * 2020-03-19 2022-02-21 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、プログラムおよび基板処理方法
CN111524778B (zh) * 2020-04-24 2023-06-16 北京北方华创微电子装备有限公司 气体输运管路、控制气体输运的方法及半导体设备
US20220319991A1 (en) * 2021-03-31 2022-10-06 Nanya Technology Corporation Semiconductor device with dual barrier layers and method for fabricating the same
JP2023055111A (ja) 2021-10-05 2023-04-17 東京エレクトロン株式会社 チタン膜を形成する方法、及びチタン膜を形成する装置

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6143377A (en) * 1994-12-26 2000-11-07 Sony Corporation Process of forming a refractory metal thin film
TW488012B (en) * 1999-06-11 2002-05-21 Applied Materials Inc Method of depositing k thick titanium nitride film
US20050272262A1 (en) * 2004-06-07 2005-12-08 Kim Duk S Methods of manufacturing semiconductor devices
US20060075966A1 (en) * 2002-01-26 2006-04-13 Applied Materials, Inc. Apparatus and method for plasma assisted deposition

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04196418A (ja) * 1990-11-28 1992-07-16 Fujitsu Ltd 半導体装置の製造方法
JP3194256B2 (ja) * 1991-11-14 2001-07-30 富士通株式会社 膜成長方法と膜成長装置
JP4196418B2 (ja) 1997-09-05 2008-12-17 Dic株式会社 顔料組成物並びにこれを用いた分散液及びカラーフィルター用カラーレジスト
JP2001203171A (ja) * 1999-06-25 2001-07-27 Applied Materials Inc 半導体デバイスのシリサイド形成方法
US6524952B1 (en) * 1999-06-25 2003-02-25 Applied Materials, Inc. Method of forming a titanium silicide layer on a substrate
KR100760291B1 (ko) * 2001-11-08 2007-09-19 에이에스엠지니텍코리아 주식회사 박막 형성 방법
JP3574651B2 (ja) * 2002-12-05 2004-10-06 東京エレクトロン株式会社 成膜方法および成膜装置
KR100538806B1 (ko) * 2003-02-21 2005-12-26 주식회사 하이닉스반도체 에피택셜 c49상의 티타늄실리사이드막을 갖는 반도체소자 및 그 제조 방법
JP4361747B2 (ja) * 2003-03-04 2009-11-11 東京エレクトロン株式会社 薄膜の形成方法
CN1777977B (zh) * 2003-08-11 2010-07-07 东京毅力科创株式会社 成膜方法
KR100604089B1 (ko) * 2004-12-31 2006-07-24 주식회사 아이피에스 In-situ 박막증착방법

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6143377A (en) * 1994-12-26 2000-11-07 Sony Corporation Process of forming a refractory metal thin film
TW488012B (en) * 1999-06-11 2002-05-21 Applied Materials Inc Method of depositing k thick titanium nitride film
US20060075966A1 (en) * 2002-01-26 2006-04-13 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US20050272262A1 (en) * 2004-06-07 2005-12-08 Kim Duk S Methods of manufacturing semiconductor devices

Also Published As

Publication number Publication date
US7981794B2 (en) 2011-07-19
TW200837813A (en) 2008-09-16
KR101163277B1 (ko) 2012-07-05
JP5207615B2 (ja) 2013-06-12
JP2008112803A (ja) 2008-05-15
KR20090069298A (ko) 2009-06-30
CN101346802B (zh) 2010-06-02
WO2008053625A1 (fr) 2008-05-08
US20100304561A1 (en) 2010-12-02
CN101346802A (zh) 2009-01-14

Similar Documents

Publication Publication Date Title
TWI464790B (zh) Film forming method and substrate processing device
JP5046506B2 (ja) 基板処理装置,基板処理方法,プログラム,プログラムを記録した記録媒体
US11101174B2 (en) Gap fill deposition process
TWI623040B (zh) 沈積超薄低電阻率鎢膜用於小臨界尺寸接點及互連線之方法
KR101291821B1 (ko) CVD-Ru막의 형성 방법 및 반도체 장치의 제조 방법
US20120171863A1 (en) Metal silicide film forming method
KR20160068668A (ko) Cu 배선의 형성 방법 및 성막 시스템, 기억 매체
TWI796388B (zh) 減少或消除鎢膜中缺陷的方法
TW201824452A (zh) 成膜方法、成膜系統及其記憶媒體
JP5171192B2 (ja) 金属膜成膜方法
KR101800487B1 (ko) 동(Cu) 배선의 형성 방법 및 기억매체
JP2017050304A (ja) 半導体装置の製造方法
KR101102739B1 (ko) 성막 방법, 기판 처리 장치, 및 반도체 장치
JP6584326B2 (ja) Cu配線の製造方法
JP2016037656A (ja) タングステン膜の成膜方法
TW202122618A (zh) 銅表面上之選擇性鈷沉積
JP2014187104A (ja) 半導体装置の製造方法、基板処理装置、半導体装置、プログラムおよび記憶媒体
CN117378293A (zh) 具有偶极区域的v-nand堆叠