WO2008053625A1 - Procédé de dépôt de film et appareillage pour traiter des substrats - Google Patents

Procédé de dépôt de film et appareillage pour traiter des substrats Download PDF

Info

Publication number
WO2008053625A1
WO2008053625A1 PCT/JP2007/065433 JP2007065433W WO2008053625A1 WO 2008053625 A1 WO2008053625 A1 WO 2008053625A1 JP 2007065433 W JP2007065433 W JP 2007065433W WO 2008053625 A1 WO2008053625 A1 WO 2008053625A1
Authority
WO
WIPO (PCT)
Prior art keywords
film
processing chamber
titanium
gas
plasma
Prior art date
Application number
PCT/JP2007/065433
Other languages
English (en)
French (fr)
Inventor
Kensaku Narushima
Fumitaka Amano
Satoshi Wakabayashi
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Priority to CN2007800009536A priority Critical patent/CN101346802B/zh
Priority to KR1020097007662A priority patent/KR101163277B1/ko
Priority to US12/445,813 priority patent/US7981794B2/en
Publication of WO2008053625A1 publication Critical patent/WO2008053625A1/ja

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric

Definitions

  • the present invention relates to a film forming method and a substrate processing apparatus for forming a predetermined film on a substrate to be processed such as a semiconductor wafer or an FPD (Flat Panel Display) substrate.
  • a substrate to be processed such as a semiconductor wafer or an FPD (Flat Panel Display) substrate.
  • CMOS transistors have connection structures such as a wiring layer and a substrate and a wiring layer and a wiring layer.
  • a contact hole 20 is formed between the p / n impurity diffusion layer (diffusion layer) 10 of the Si substrate (Si wafer) and the first wiring.
  • a via hole 30 is formed between the second wiring.
  • Such contact holes 20 and via holes 30 are filled with metal such as tungsten and copper, and the Si substrate and wiring layer are electrically connected.
  • a barrier layer such as a Ti / TiN multilayer film is formed in the contact hole 20 and the via hole 30 prior to the filling of the metal, and the NOR layers 22 and 32 are formed.
  • PVD physical vapor deposition
  • an alloy layer 12 such as a TiSi film (titanium silicide film) between the barrier layer 22 and the diffusion layer 10 is used. It is desirable to lower the Schottky barrier based on the work function difference by adjusting the work function at the interface between the NOR layer 22 and the diffusion layer 10 through the intervening layer.
  • a plasma CVD method can be used to form such a TiSi film.
  • TiCl is used as the source gas and H gas is used as the reducing gas.
  • a Ti film is formed at a temperature of about 650 ° C, and at the same time, a part of it reacts with the Si substrate to form an alloy layer 12 in a self-aligning manner.
  • Patent Document 1 discloses plasma SFD (Sequential Flow Deposition) as such a divided film forming method. This treatment method prevents the Ti film from being peeled off even when the film is formed at a low temperature of 450 ° C or lower, for example, and as a result, a Ti film with good film quality can be formed.
  • Patent Document 1 Japanese Patent Application Laid-Open No. 2004-232232
  • the Ti film formation process by plasma SFD process it is possible to form a high-quality Ti film with very little residual C1 even at low temperatures.
  • this plasma SFD treatment is performed at a high temperature of, for example, 650 ° C or higher.
  • a Ti film that reacts with the Si substrate to be silicided well and a good TiSi film can be formed.
  • a Ti film formed at a low temperature of 450 ° C or less is difficult to be silicided, and it is difficult to form a good TiSi film.
  • the present invention has been made in view of such problems, and the object of the present invention is to efficiently form a barrier layer including a high-quality Ti film even at low temperatures.
  • the purpose is to provide a deposition method that can form a TiSi film in a self-aligned manner in the interface region between the Ti film and the underlying layer.
  • a film forming method for forming a titanium film or a titanium compound film on a substrate to be processed in a processing chamber, A titanium silicide film forming step for forming a titanium silicide film, and a titanium film forming step for forming a titanium film on the titanium silicide film,
  • the first step of introducing the titanium compound gas into the processing chamber and adsorbing the titanium compound gas to the surface of the substrate to be processed, and the introduction of the titanium compound gas into the processing chamber are stopped.
  • the third step of reducing the titanium compound gas adsorbed on the surface and reacting with the silicon on the silicon-containing surface to form a titanium silicide film is repeated a plurality of times. In the titanium film forming step, the titanation is performed.
  • a film forming method characterized by repeating the fifth step of stopping the introduction of the titanium compound gas into the processing chamber while maintaining the gas and plasma annealing the titanium film a plurality of times.
  • Plasma generating means, temperature adjusting means for adjusting the temperature of the substrate to be processed placed in the processing chamber, control for controlling operations of the gas supply means, the plasma generating means, and the temperature adjusting means A substrate processing apparatus, wherein the control unit introduces a titanium compound gas into the processing chamber as a titanium silicide film forming process for forming a titanium silicide film on the substrate to be processed.
  • a first step of adsorbing a gas on the surface of the substrate to be processed, and the introduction of the titanium compound gas into the processing chamber is stopped to remain in the processing chamber;
  • a third step of reducing the compound gas and reacting with silicon on the silicon-containing surface to form a titanium silicide film is repeated a plurality of times as a titanium film forming process for forming a titanium film on the titanium silicide film.
  • a fourth step of forming a titanium film on the substrate to be processed by generating a plasma in the processing chamber while introducing the titanium compound gas and the hydrogen gas into the processing chamber, and maintaining the plasma.
  • a substrate processing apparatus characterized in that the introduction of the titanium compound gas into the processing chamber is stopped and the fifth step of plasma annealing the titanium film is repeated a plurality of times.
  • the titanium silicide film having a predetermined thickness is formed by repeating the first to third steps of stacking the titanium silicide film on the silicon-containing surface of the substrate to be processed a plurality of times.
  • Power S can be.
  • the titanium compound can be effectively reduced to titanium by the hydrogen plasma reduction action even at low temperatures, the concentration of impurities such as C1 mixed in the titanium silicide film is extremely low. Can be suppressed.
  • a titanium film forming process for repeating the fourth to fifth processes a plurality of times is performed.
  • a titanium film can be formed.
  • This titanium film forming process is a processing method for the above-described plasma SFD, and by this process, a relatively high film forming rate can be obtained. Therefore, a barrier including a titanium silicide film and a titanium film can be obtained in a short time. The ability to form a layer S.
  • a titanium film with a very small amount of impurities can be formed even at a low temperature.
  • the temperature of the substrate it is preferable to adjust the temperature of the substrate to be processed to 450 ° C or lower. As described above, according to the present invention, the thermal budget of the semiconductor device can be reduced, and the deterioration of the electrical characteristics due to the high temperature treatment can be prevented.
  • hydrogen gas is introduced into the processing chamber together with the titanium compound gas in the first step, and the hydrogen gas is continuously introduced into the processing chamber in the second step.
  • hydrogen gas can be used as a purge gas for removing the titanium compound gas from the processing chamber.
  • the film forming method further includes a titanium nitride film forming step of forming a titanium nitride film on the titanium film, and in the titanium nitride film forming step, the titanium compound gas and the hydrogen gas are supplied to the titanium film.
  • the process of 8 may be repeated several times.
  • the gas supply unit selectively supplies a nitrogen compound gas into the processing chamber
  • the control unit includes a titanium nitride film on the titanium film.
  • a titanium nitride film forming process for forming a film a titanium film is formed on the substrate to be processed by generating plasma in the process chamber while introducing the titanium compound gas and the hydrogen gas into the process chamber.
  • the eighth step of generating plasma in the processing chamber to nitride the titanium film is repeated a plurality of times.
  • the titanium nitride film having a predetermined thickness can be formed by repeating the sixth to eighth steps of laminating titanium nitride on the titanium film a plurality of times. And this titanium nitride film formation process can form a titanium nitride film with very little impurities such as C1 even at low temperatures. Therefore, there is no need to increase the processing temperature.
  • the temperature of the substrate it is preferable to adjust the temperature of the substrate to be processed to 450 ° C. or less. At such temperatures, the thermal budget of semiconductor devices can be reduced, and deterioration of electrical characteristics due to high-temperature processing can be prevented.
  • decomposition of the titanium compound gas is performed by introducing argon gas into the processing chamber at least during a period in which plasma is formed in the processing chamber. Is promoted and the plasma is stabilized, increasing the efficiency of the film formation process.
  • the decomposition of the nitrogen compound gas is promoted, the titanium film is effectively nitrided, and a high-quality titanium nitride film can be formed.
  • TiCl gas is used as the titanium compound gas
  • NH is used as the nitrogen compound gas
  • the titanium silicide film forming process, the titanium film forming process, and the titanium nitride film forming process can be performed in one processing chamber.
  • the substrate processing apparatus can be downsized.
  • each process can be performed in one process chamber in succession, for example, the alignment process of the substrate to be processed in the process chamber and the transfer process between the process chambers become unnecessary, resulting in the ability to improve throughput. S can.
  • film formation can always be performed in a clean environment.
  • the present invention it is possible to efficiently form a barrier layer including a high-quality Ti film even at low temperatures, and the self-force can be applied to the interface region between the Ti film and the base.
  • the force S for consistently forming a TiSi film is reduced by S.
  • FIG. 1 is a cross-sectional view showing a configuration example of a substrate processing apparatus according to an embodiment of the present invention.
  • FIG. 2 is a block diagram illustrating a configuration example of a control unit (system controller) illustrated in FIG.
  • FIG. 3 is a block diagram showing a configuration example of an EC (apparatus control unit) in the same embodiment.
  • FIG. 4 is a diagram showing a configuration example of a processing chamber in the substrate processing apparatus shown in FIG.
  • FIG. 5 is a cross-sectional view showing a configuration example of a Ti film 'TiN film formation processing chamber according to the same embodiment.
  • FIG. 6 is a schematic diagram showing a specific example of the film structure of the Si wafer in the same embodiment.
  • FIG. 7A is a schematic diagram for explaining a foreign substance removing process that applies force to the embodiment.
  • FIG. 7B is a schematic view for explaining the first Ti film forming process according to the embodiment.
  • FIG. 7C is a schematic view for explaining the second Ti film formation process according to the embodiment.
  • FIG. 7D is a schematic diagram for explaining the TiN film forming process, which focuses on the embodiment.
  • FIG. 8 is a timing chart showing a specific example of the Ti film forming process by the plasma ALD process, which focuses on the same embodiment.
  • FIG. 11 is a timing chart showing another specific example of the TiN film forming process by the plasma SFD process, which is the same as the embodiment.
  • FIG. 12 is a diagram showing a difference in film thickness of a Ti film when a Ti wafer formation process by a plasma ALD process and a Ti film formation process by a plasma SFD process are performed on a sample wafer.
  • FIG. 13 is a diagram showing a result of analyzing the composition of a Ti film formed on a Si film by performing a Ti film formation process by plasma ALD process on a sample wafer.
  • FIG. 14A Cross section and table of sample wafer after plasma reduction treatment with Ar gas and H gas
  • FIG. 14B Cross section and surface run of sample wafer after plasma reduction treatment with H gas only
  • FIG. 15 is a diagram showing the electrical characteristics of the Ti film formed on the SiO film when the Ti film formation process by the plasma ALD process is performed on the sample wafer.
  • FIG. 16 shows the relationship between the thickness of the Ti film formed on the SiO film and the number of cycles of the film formation process when the Ti film formation process is performed on the sample wafer by the plasma ALD process.
  • FIG. 1 A first figure.
  • FIG. 1 is a schematic configuration diagram showing an example of a substrate processing apparatus according to the present embodiment.
  • the substrate processing apparatus 100 includes a common transfer chamber 102 formed in a substantially polygonal shape (for example, hexagonal shape), and a plurality of (for example, four) processing chambers 104 configured to be capable of forming a vacuum bow I.
  • a to 104 D two load lock chambers 108A and 108B configured to be evacuated, a substantially rectangular loading-side transfer chamber 110, and a plurality (for example, three) for mounting a plurality of cassettes that can hold a plurality of wafers W Introducing ports 112A to 112C and an orienter 114 for rotating the wafer W to optically determine the amount of eccentricity and aligning it.
  • the processing chambers 104A to 104D are connected to the periphery of the common transfer chamber 102 via gate valves 106A to 106D, respectively.
  • mounting tables 105A to 105D for mounting a substrate to be processed for example, a semiconductor wafer (hereinafter also simply referred to as “wafer”) W are provided.
  • the processing chambers 104A to 104D can perform predetermined processing on the wafers W mounted on the mounting tables 105A to 105D, respectively.
  • a transfer mechanism 116 having two picks 116A and 116B for holding the wafer W and configured to be able to bend and turn is provided.
  • the common transfer chamber 102 is connected with a transfer chamber 110 on the carry-in side via two load lock chambers 108A and 108B.
  • the load lock chamber 108A is connected to the common transfer chamber 102 and the carry-in transfer chamber 110 via a gate valve 107A.
  • the load lock chamber 108B has a gate valve 107B connected to the common transfer chamber 102 and the carry-in transfer chamber 110. Connected through.
  • one of the common transfer chamber 102 and the two load lock chambers for example, the transfer port 109A connected to the load lock chamber 108A, carries the wafer W exclusively into the common transfer chamber 102.
  • the transfer port 109 B at the connecting portion with the other load lock chamber 108 B is used as a transfer port for carrying out the wafer W exclusively from the common transfer chamber 102.
  • three introduction ports 112A to 112C and an orienter 114 are connected to the carry-in side transfer chamber 110.
  • a loading-side transfer mechanism 118 having two picks 118A and 118B for holding the wafer W and configured to bend, extend, swing, move up and down and move linearly is provided in the loading-side transfer chamber 110! / RU
  • a control unit 200 is connected to the substrate processing apparatus 100, and each unit of the substrate processing apparatus 100 is controlled by the control unit 200.
  • FIG. 2 is a block diagram showing the configuration of the control unit (system controller) 200.
  • the control unit 200 includes an equipment control unit (EC Equipment Controller) 300 and a plurality of module control units (MCs) 230A, 230B, and 230. ... and with EC300 A switching hub (HUB) 220 for connecting each MC230A, 230B, 230C ′.
  • EC Equipment Controller equipment control unit
  • MCs module control units
  • the control unit 200 is connected from the EC 300 to a manufacturing execution system (MES) 204 that manages the manufacturing process of the entire factory where the substrate processing apparatus 100 is installed via a LAN (Local Area Network) 202, for example. .
  • the MES 204 is composed of, for example, a computer.
  • the MES 204 cooperates with the control unit 200 to feed back real-time information about the processes in the factory to the core business system (not shown) and make decisions about the processes in consideration of the burden of the entire factory.
  • the EC 300 constitutes a main control unit (master control unit) that controls the overall operation of the substrate processing apparatus 100 by supervising MC 230A, 230B, 230C '.
  • Switching node 220 is MC230A, 230B, 230 as the connection destination of EC300 according to the control signal from EC300. ⁇ ⁇ ⁇ Switch.
  • MC230A, 230B, 230C ' ⁇ ⁇ ⁇ are each common transfer chamber 102 of substrate processing apparatus 100, processing chamber 104A ⁇ ; 104D, load lock chamber 108A, 108B, transfer chamber 110, orienter 11 4 etc. Configures the sub-control unit (slave control unit) that controls the operation of the module.
  • the GHOST network 206 is a network realized by an LSI called GHOST (General High-Speed Optimum Scalable Transceiver) mounted on the MC board of EC300. A maximum of 31 I / O modules can be connected to the G HOST network 206.
  • GHOST General High-Speed Optimum Scalable Transceiver
  • MC corresponds to the master and the I / O module corresponds to the slave.
  • Each of the I / O modules 236A, 236B, and 236C ′ is a plurality of components connected to each component (hereinafter referred to as “end device”) of each module such as the processing chamber 104A to 104D.
  • a mass flow controller that controls the flow rate of the gas introduced into the processing chamber 104 is used.
  • an APC valve that controls exhaust from the processing chamber 104 may be used.
  • Each GHOST network 206 is also connected to an I / O board (not shown) that controls the input / output of digital signals, analog signals, and serial signals in the I / O units 238A, 238B, 238C '.
  • FIG. 3 is a block diagram showing a configuration example of EC300.
  • the EC300 consists of a CPU (central processing unit) 310 that constitutes the EC main unit, a RAM (Random Access Memory) 320 with a memory area used for various data processing performed by the CPU 310, and an operation screen.
  • Display means consisting of a liquid crystal display etc.
  • a display unit 330 that displays the screen and selection screen 330, various data input such as process recipe input and editing by the operator, and process recipe log output to a predetermined storage medium
  • an input / output means 340 capable of outputting the data
  • a notification means 350 such as an alarm device (for example, a buzzer) for notifying the substrate processing apparatus 100 when an abnormality such as leakage occurs.
  • the EC 300 stores program data storage means 360 for storing processing programs for executing various processes of the substrate processing apparatus 100, and information (data) necessary for executing the processing programs.
  • Processing data storage means 370 is provided.
  • the program data storage means 360 and the processing data storage means 370 are constructed in a storage area such as a hard disk (HDD).
  • the CPU 310 reads out necessary programs and data from the program data storage means 360 and the processing data storage means 370 as necessary, and executes various processing programs.
  • the CPU 310, the RAM 320, the display means 330, the input / output means 340, the notification means 350, the program data storage means 360, the processing data storage means 370, etc. are connected by a bus line such as a control bus or a data bus. ing.
  • the switching hub 220 is also connected to this bus line.
  • each processing chamber 104A ⁇ ; 104D for example, when processing Si wafer W such as COR (Chemical Oxide Removal) processing, PHT (Post Heat Treatment) processing, Ti film forming processing, TiN film forming processing, etc. , EC300 CPU310 is The processing program to be executed is read from the process processing program 364 of the program data storage means 360, and each processing is executed based on the process recipe processing information of the processing to be executed from the process processing information 374 of the processing data storage means 370.
  • COR processing and PHT processing are types of foreign matter removal processing. Details of each process will be described later.
  • the CPU 310 performs a desired operation via the switching hub 220 and the processing chambers 104A to 104D according to each processing program via the MC230, the GHOST network 206, and the I / O module 238 in the I / O module 236. Each process is executed by sending a control signal to the end device.
  • control unit 200 shown in Fig. 2 multiple end devices are not directly connected to EC300, but the I / O units connected to the multiple end devices are modularized.
  • I / O module Since this I / O module is connected to EC300 via MC and switching knob 220, the power S can be reduced to simplify the communication system.
  • the control signal transmitted by CPU 310 of EC300 includes the address of the I / O unit connected to the desired end device and the address of the I / O module that includes the I / O unit. Therefore, the switching hub 220 refers to the address of the I / O module in the control signal, and the GHOST of the MC refers to the address of the I / O section in the control signal, so that the switching hub 220 or MC sends the control signal to the CPU 310. Therefore, it is possible to eliminate the need to inquire about the destination of the transmission, and to achieve smooth transmission of control signals.
  • the substrate processing system 100 is designed to remove foreign matter such as natural oxide film on the Si wafer without using plasma under reduced pressure, and a Ti film on the Si surface of the Si wafer that has been subjected to this foreign matter removal treatment.
  • the TiN film formation process for forming the TiN film and the TiN film formation process for forming the TiN film on the Ti film can be executed continuously.
  • one of the processing chambers 104A to 104D is configured as a foreign matter removal processing chamber that performs foreign matter removal processing, and the other chamber is formed as a Ti film formation process (Ti film Self (Consistent silicidation is also included) and TiN film formation process TiN film 'TiN film formation process chamber is configured.
  • Ti film Self Consistent silicidation is also included
  • TiN film formation process TiN film 'TiN film formation process chamber is configured.
  • product generation process for example, COR process
  • product removal process for example, PHT process
  • the two chambers are configured as a product generation processing chamber and a product removal processing chamber.
  • the Ti film formation process and TiN film formation process may be performed in separate processing chambers.
  • the configuration of each of the processing chambers 104A to 104D is determined according to the processing content to be executed in the substrate processing apparatus 100.
  • a Si wafer W in which a contact hole is formed is introduced into the substrate processing apparatus 100, and the COR processing and the PHT processing as the foreign matter removal processing as described above are continuously performed on the Si wafer W.
  • Figure 4 shows an example of the configuration of the processing chamber in the substrate processing apparatus 100 when the Ti film deposition process and TiN film deposition process are performed continuously.
  • the processing chambers 104A, 104B, and 104C are respectively a COR processing chamber, a PHT processing chamber, and a Ti film 'TiN film forming processing chamber. It is configured.
  • the processing in each of the processing chambers 104A to 104C is executed based on the process processing program 364 stored in the program data storage means 360 provided in the EC 300 of the control unit 200.
  • the CPU 310 of the EC300 reads the necessary processing program from the process processing program 364, reads the necessary information from the process processing information (for example, process recipe information) 374 stored in the processing data storage means 370, and performs each process. Execute.
  • the Ti film deposition chamber is composed of a plasma CVD chamber 400 as shown in Fig. 5, for example.
  • the plasma CVD processing chamber 400 has a substantially cylindrical processing chamber 411 that is airtight.
  • a susceptor 412 for horizontally supporting the wafer W is arranged in a state of being supported by a cylindrical support member 413 provided at the lower center of the susceptor 412.
  • This susceptor 412 is made of ceramics such as A1N, and the outer edge of the susceptor 412 guides the wafer W.
  • a heater 415 is embedded in the susceptor 412, and this heater 415 is heated by the heater power source 440 to heat the wafer W to a predetermined temperature. That is, the heater 415 and the heater power source 440 constitute a temperature adjusting means.
  • a lower electrode 416 is embedded on a heater 415, and the lower electrode 416 is grounded, for example.
  • a shower head 420 is provided on the top wall 411 A of the processing chamber 411 via an insulating member 419.
  • the shower head 420 is roughly composed of a base member 421 as an upper portion and a shower plate 422 as a lower portion.
  • a heater 423 is embedded in the base member 421.
  • the heater 423 is supplied with power from a heater power supply 441, so that the shower head 420 can be heated to a predetermined temperature.
  • the shower plate 422 is formed with a number of discharge holes 424 for discharging gas into the processing chamber 411. Each discharge hole 424 communicates with a gas diffusion space 425 formed between the base member 421 and the shower plate 422.
  • a gas introduction port 426 for supplying a processing gas to the gas diffusion space 425 is provided at the center of the base member 421.
  • the gas introduction port 426 is connected to a mixed gas supply line 438 of a gas supply means 430 described later.
  • the gas supply means 430 is a TiCl gas supply source for supplying TiCl gas, which is a Ti compound gas.
  • NH gas supply source 434 for supplying NH gas, which is a nitrogen compound gas
  • the TiCl gas supply line 431L is connected to the TiCl gas supply source 431.
  • the gas supply source 432 is connected to an Ar gas supply line 432L.
  • H gas supply line 433L is connected to NH gas supply source 434, NH gas
  • Each gas line 431L to 434L is provided with a mass flow controller (MFC) 431C to 434C and two valves 431V to 434V across the mass flow controller 431C to 434C.
  • MFC mass flow controller
  • the gas mixing unit 437 mixes the process gas and supplies it to the shower head 420.
  • the process gas supply source 43;! To 434 is connected to the gas inflow side via the gas lines 431L to 434L, and the mixed gas supply line 438 is connected to the gas outflow side.
  • the shower head 420 is connected via the.
  • the gas is introduced into the processing chamber 411 from the plurality of discharge holes 424 through the gas introduction port 426 and the gas diffusion space 425 of the shower head 420.
  • the shower head 420 which is powerful in this embodiment, is premixed with the process gas and supplied into the processing chamber 411! /, Which is composed of a so-called premix type! /,
  • Each process gas may be configured as a postmix type in which the process gas is supplied into the processing chamber 411 independently.
  • a high frequency power supply 443 is connected to the shower head 420 via a matching unit 442, and high frequency power of 450 kHz, for example, is supplied from the high frequency power supply 443 to the shower head 420 during film formation.
  • high frequency power 450 kHz, for example
  • a high-frequency electric field is generated between the shower head 420 and the lower electrode 416, and the process gas supplied into the processing chamber 411 is turned into plasma to form a Ti film or a TiN film. That is, the shower head 420, the matching unit 442, the high-frequency power source 443, and the lower electrode 416 constitute plasma generating means.
  • a circular hole 417 is formed in the center of the bottom wall 411B of the processing chamber 411, and an exhaust chamber 450 protruding downward is provided on the bottom wall 411B so as to cover the hole 417. ing.
  • An exhaust pipe 451 is connected to the side of the exhaust chamber 450, and an exhaust device 452 is connected to the exhaust pipe 451! /. Then, by operating the exhaust device 452, the inside of the processing chamber 411 can be depressurized to a predetermined degree of vacuum.
  • the susceptor 412 is provided with three wafer support pins 460 for supporting the Si wafer W to be moved up and down (only two are shown) so as to protrude and retract with respect to the surface of the susceptor 412.
  • the support pin 460 is fixed to the support plate 461. Then, the wafer support pins 460 are moved up and down via the support plate 461 by a drive mechanism 462 such as a air cylinder.
  • the side wall 411C of the processing chamber 411 is provided with a loading / unloading port 418 for loading / unloading the Si wafer W to / from the common transfer chamber 102, and a gate valve G for opening / closing the loading / unloading port 418. Yes. Details of the Ti film and TiN film formation process performed in the processing chamber 411 configured as described above will be described later.
  • the wafer transfer process of the substrate processing apparatus 100 configured as shown in FIG. 4 will be described.
  • the Si wafer W is transferred and processed in the order of the processing chambers 104A, 104B, and 104C.
  • the transfer path of the Si wafer W is as shown by the solid line arrows in Fig. 4.
  • Such wafer transfer processing is executed based on transfer processing program 362 stored in program data storage means 360 provided in EC (equipment control unit) 300 of control unit 200.
  • the CPU 310 of the EC 300 reads the necessary information from the transport processing information (eg, transport path information) 372 stored in the processing data storage means 370 and executes the transport processing program 362, whereby the transport processing of the Si wafer W is performed. Execute.
  • a pre-process wafer W in which a contact hole or via hole is formed is taken out from a cassette (including a carrier) installed in the central introduction port 112B, and two load lock chambers 108A , 108B, the load lock chamber 108A, for example, the load lock chamber 108A is used for loading the unprocessed wafer W, and the other port lock chamber 108B is used for unloading the processed wafer W.
  • the wafer W is accommodated in each of the processing chambers 104A to 104C and that the processing is completed or almost finished.
  • the transfer process in the transfer-side transfer chamber 110 will be described. Assuming that the processed Si wafer W that has been processed in the processing chamber 104C is accommodated in the load lock chamber 108B, the processed Si wafer W is transferred to the transfer path XI by the loading-side transfer mechanism 118. As shown in I, it is conveyed to the central introduction port 112B and accommodated.
  • the unprocessed Si wafer W accommodated in the central introduction port 112B is transferred to the orienter 114 as shown by the transfer path XI 2 by the transfer-side transfer mechanism 118, where the Si wafer is transferred.
  • the Si wafer W after alignment is again stored in the other load lock chamber 108A as shown by the transfer path X13 by the transfer mechanism 118 on the loading side, and kept waiting.
  • the above operation is repeated every time the processing of the Si wafer W progresses.
  • the wafer transfer process in the common transfer chamber 102 will be described. First, the processed wafer W accommodated in the processing chamber 104C is picked up by the transfer mechanism 116, and placed in the empty load lock chamber 108B as shown by the transfer route Y11. Next, the processed wafer W accommodated in the processing chamber 104B is picked up by the transfer mechanism 116, and loaded into the empty processing chamber 104C as shown in the transfer path Y12. 10 Start processing within 4C.
  • the processed wafer W accommodated in the processing chamber 104A is taken out by the transfer mechanism 116, and is loaded into the empty processing chamber 104B as shown in the transfer path Y13. Then, processing in the processing chamber 104B is started.
  • the unprocessed wafer W waiting in the load lock chamber 108A is taken by the transfer mechanism 116, and is loaded into the empty process chamber 104A as shown by the transfer path Y14.
  • the processing in the processing chamber 104A is started.
  • the gate valve required for loading / unloading the wafer W among the gate valves 106A to 106C, 106C, 107A, 107B is opened / closed.
  • the above operation is repeated.
  • the substrate processing apparatus 100 processes, for example, a Si Ueno (Si substrate) 500 having a film structure as shown in FIG.
  • a Si Ueno (Si substrate) 500 having a film structure as shown in FIG.
  • an interlayer insulating film 504 such as a SiO film is formed on a bare substrate 502, and a contact hole 505 is formed by etching.
  • the Si surface 503 is exposed at the bottom of the contact hole 505.
  • FIG. 7A to FIG. 7D are process diagrams for explaining the wafer processing that is effective in the present embodiment.
  • the substrate processing apparatus 100 according to the present embodiment carries in Si Sieno 500 as shown in FIG. 6 and continuously executes the following processing.
  • foreign matter removal processing is performed to remove foreign matter (eg, contamination such as etching residue, particles, natural oxide film, etc.) on the Si surface 503.
  • foreign matter eg, contamination such as etching residue, particles, natural oxide film, etc.
  • the bottom of the contact hole (A part shown in Fig. 7A)
  • the surface is flat and uniform with no foreign matter.
  • etching by Ar plasma sputtering was used as this foreign matter removal treatment.
  • Arions ionized by plasma are accelerated by a bias voltage applied to the Si wafer, and sputter-etching of foreign matter including a natural oxide film adhering to the Si wafer is performed.
  • the shape of contact holes has become finer, and it has become difficult to remove foreign substances from the bottom of contact holes using Ar plasma sputtering.
  • This foreign matter removal process includes, for example, a product generation process that generates a product by chemically reacting a foreign substance including a natural oxide film adhering to the Si wafer and a gas component, and a heat treatment of the product generated on the Si wafer. It consists of a two-stage process with the product removal process to be removed by
  • the product generation process is, for example, a COR process
  • the product removal process is, for example, a PHT process.
  • COR processing foreign substances such as natural oxide film adhering to the Si wafer are chemically reacted with gas molecules such as ammonia (NH) gas and hydrogen fluoride (HF) gas.
  • NH ammonia
  • HF hydrogen fluoride
  • the combination of the COR process and the PHT process can remove foreign substances such as a Si oxide natural oxide film without using plasma under reduced pressure. This corresponds to a pure chemical cleaning technique.
  • the adhesion and strength of the film can be improved in the Ti film forming process that is performed subsequently.
  • Ability to do S since the plasma is not used in the foreign substance removal processing according to this embodiment, it is possible to prevent the charge-up damage caused by the plasma from being applied to the underlayer of the Ti film, particularly the diffusion layer surface of the Si wafer. In addition, it is possible to prevent the surface of the diffusion layer from being roughened or scraped by sputter etching. In this way, there is no damage! / The ability to form a contact structure, and a film having good contact resistance can be formed.
  • the substrate processing apparatus is used to form the Ti film 506 without exposing the Si wafer 500 to the atmosphere. Perform continuously within 100.
  • the process of forming the Ti film 506, which is the power of this embodiment, is performed in two steps: a process of forming the first Ti film 506A and a TiSi film 507, and a process of forming the second Ti film 506B.
  • Ti film formation processing by plasma ALD processing using the atomic layer deposition (ALD) technique is performed. Do.
  • ALD atomic layer deposition
  • TiCl gas is used in the process chamber.
  • Ti compound gas is supplied to provide an adsorption process for causing a Ti compound adsorption reaction (reaction between Ti and Si) on the surface of the Si surface 503 and interlayer insulating film 504, and a reducing gas such as H gas.
  • the reduction process of reducing the Ti compound adsorbed on the surfaces of the Si surface 503 and the interlayer insulating film 504 by plasma excitation while supplying is repeated a plurality of times. This deposits Ti on the underlayer and forms a Ti film.
  • a specific process recipe for Ti film formation by plasma ALD processing according to this embodiment will be described later.
  • Ti is deposited on the surface of the Si surface 503 and the interlayer insulating film 504 at the atomic level, and the first Ti film 506 A is formed on the surface of the interlayer insulating film 504. Is formed.
  • the deposited Ti undergoes a silicidation reaction (silicidation) with the Si on the underlying Si surface 503, resulting in a self-aligned TiSi film 507. Is formed.
  • the thicknesses of the first Ti film 506A and the TiSi film 507 can be freely controlled at the atomic level.
  • impurities such as C1 in the Ti film
  • foreign substances such as a natural oxide film adhere to the Si surface 503 of the Si wafer 500 due to the foreign substance removal process by the COR process and the PHT process. Since Ti is deposited while the atomic arrangement is controlled by the process, the first flat and uniform Ti film 506A and TiSi film 507 can be formed.
  • the process temperature (temperature of the Si wafer) is set to a relatively low temperature, for example, a temperature range of 450 ° C or lower. .
  • a relatively low temperature for example, a temperature range of 450 ° C or lower.
  • impurities such as C1 contained in the first Ti film 506A and TiSi film 507 are used even if the process temperature is adjusted to 450 ° C or lower. It is possible to form a high-quality film.
  • Ar gas is not introduced into the processing chamber when the first Ti film 506A and the TiSi film 507 are formed.
  • Ar gas is introduced into the processing chamber, Ar atoms may collide with the surface of the Si surface 503 or the interlayer insulating film 504, deteriorating the surface morphology of these films.
  • the height of the Schottky barrier can be made constant over the entire interface, and stable ohmic contacts can be formed.
  • the contact resistance can be reduced by applying such a contact structure to the transistor.
  • Ar gas may also damage the first Ti film 506A and the TiSi film 507.
  • the quality of the first Ti film 506A and TiSi film 507 may deteriorate, reducing the NOR function and increasing the contact resistance.
  • the deposition rate of the first Ti film 506A and Ti Si film 507 may decrease.
  • the first high-quality first Ti film 506A and TiSi film 507 can be formed.
  • a Ti film forming process is performed to form a second Ti film 506B on the first Ti film 506A and the TiSi film 507.
  • plasma SFD Sequential Flow Deposition
  • plasma SFD process for example, plasma is generated while supplying Ti compound gas, Ar gas and H gas to the processing chamber at the same time.
  • neil process is repeated multiple times. As a result, a Ti film with a predetermined thickness is formed in a short time.
  • a specific process recipe for forming a Ti film by plasma SFD processing that will be applied to this embodiment will be described later.
  • a TiSi film 507 of good quality can be formed by performing the Ti film forming process by the plasma ALD process described above.
  • the deposition rate is extremely small, it takes an enormous amount of time to obtain the film thickness required for the Ti film 506 as a barrier layer, which is not realistic.
  • the process of forming the first Ti film 506A is completed, and the process proceeds to the process of forming the second Ti film 506B.
  • the plasma SFD process used to form this second Ti film 506B provides a higher deposition rate for Ti film formation than the plasma ALD process. Therefore, the Ti film 506 having a predetermined thickness can be formed in a short time.
  • the process temperature is set to a relatively low temperature, for example, 450, as in the case of forming the first Ti film 506A and the TiSi film 507. Set the temperature range below ° C.
  • the plasma SFD treatment using H plasma is set to a relatively low temperature, for example, 450, as in the case of forming the first Ti film 506A and the TiSi film 507.
  • the concentration of impurities such as C1 contained in the second Ti film 506B can be greatly reduced by using Zumaneale.
  • a TiN film forming process for forming a TiN film 508 on the Ti film 506 is performed.
  • TiN film formation by plasma SFD processing is performed instead of normal TiN film formation by thermal CVD.
  • this plasma SFD process for example, Ti compound gas, Ar gas, and H gas are supplied to the processing chamber at the same time to generate plasma, and Ti film is formed.
  • TiN is deposited on the underlayer and a TiN film is formed.
  • a barrier layer made of TiSi film 507, Ti film 506, and TiN film 508 is formed in contact hole 505 of Si wafer 500.
  • the first Ti film formation process titanium silicide film formation process
  • the second Ti film formation process titanium film formation process
  • the TiN film which are the main process processes of the present invention.
  • Ti compound gas is used.
  • TiCl gas is used
  • H gas is used as the reducing gas
  • NH gas is used as the nitrogen compound gas.
  • first Ti film forming process will be described with reference to the drawings.
  • plasma ALD treatment is applied to the formation of the first Ti film 506A.
  • Figure 8 shows a specific example of the Ti film formation process using this plasma ALD process.
  • step S 11 (first process), TiCl gas and H gas are introduced into the processing chamber 411.
  • Step S 11 time is 4 for example
  • step S12 (second step) the supply of TiCl gas is stopped, and the inside of the processing chamber 411 is stopped.
  • the H gas flow rate is adjusted to 4000 sccm, for example.
  • the time of step S12 is 1 for example
  • step S13 third process
  • H gas is supplied into the processing chamber 411, for example, at a flow rate of 40.
  • a high-frequency power of, for example, 800 W is applied to the shower head (upper electrode) 420 disposed in the processing chamber 411 to turn H gas into plasma in the processing chamber 411.
  • the above steps S11 to S13 are set as one cycle, and the cycle is repeated until the first Ti film 506A reaches a desired film thickness (for example, 2 nm).
  • a desired film thickness for example, 2 nm.
  • the deposited Ti reacts with Si on the underlying Si surface 503 (silicidation).
  • a TiSi film 507 is formed in a self-aligned manner (see Fig. 7B).
  • Second Ti film 506 B is formed on the first Ti film 506A and TiSi film 507, and as described above, the plasma SFD process is applied to the formation of the second Ti film 506B.
  • Figure 9 shows a specific example of this plasma SFD process.
  • step S21 gas stabilization process
  • Adjust the gas flow rate to 4000 sccm, for example, and adjust the Ar gas flow rate to 1600 sccm, for example.
  • step S21 The main purpose of step S21 is to stabilize the processing gas in the processing chamber prior to the next step S22.
  • the time of step S21 is, for example, 0-2 seconds
  • step S22 (fourth process), TiCl gas, H gas, and Ar gas are mixed in the step.
  • a high frequency power of, for example, 800 W is applied to the shower head (upper electrode) 420 disposed in the processing chamber 411 to generate plasma in the processing chamber 411.
  • a second Ti film is formed on the first titanium film 506A and the TiSi film 507.
  • the time of step S22 is 4 seconds, for example.
  • step S22 the state force of step S22, and the supply of TiCl gas are stopped and step S23 (fifth step
  • step S23 H gas and Ar gas are introduced into the processing chamber 411.
  • step S22 These are supplied at the same flow rate as in step S22, and these are converted into plasma in the processing chamber 411.
  • the second Ti film formed on the first titanium film 506A and the TiSi film 507 in the previous step S22 is plasma annealed.
  • the time of step S23 is 5 seconds, for example.
  • step S24 is the waiting time until the next cycle is started, and this time is, for example, 1 second.
  • steps S21 to S24 are taken as one cycle, and the cycle is repeated until the second Ti film 506B reaches a desired film thickness.
  • the first Ti film formation process and the second Ti film formation process are executed, so that the first A Ti film 506 is formed by laminating the Ti film 506A and the second Ti film 506B. Then, a TiSi film 507 is formed in the boundary region between the Ti film 506 and the Si surface 503 (see FIG. 7C).
  • the TiN film 508 is formed on the Ti film 506. As described above, the TiN film 508 is formed by plasma SFD processing. Figure 10 shows a specific example of this plasma SFD process.
  • steps S31 to S36 of this TiN film formation process steps S3;! To S34 (gas stabilization process, steps 6 and 7) are performed in steps S21 to S21 of the second Ti film formation process described above. Since the same processing as S24 is performed, detailed description thereof is omitted here.
  • the Ti film is formed on the Ti film 506 by executing steps S31 to S34.
  • execute step S35 (8th process) to nitride this Ti film and form a TiN film.
  • the heater power source 440 is supplied to the susceptor 412; Adjust to.
  • step S35 when H gas, Ar gas, and NH gas are supplied into the processing chamber 411,
  • a high-frequency power of 800 W is applied to the shower head (upper electrode) 420 disposed in the processing chamber 411 to form plasma again in the processing chamber 411.
  • H gas a high-frequency power of 800 W, for example, is applied to the shower head (upper electrode) 420 disposed in the processing chamber 411 to form plasma again in the processing chamber 411.
  • the time for step S35 is 2 seconds, for example.
  • next step S36 the plasma is extinguished and NH gas is supplied into the processing chamber 411.
  • the NH gas remaining in the processing chamber 411 is purged with these gases. According to this
  • step S31 of the next cycle the TiCl gas supplied into the processing chamber 411 remains.
  • the time of this step S36 is 2 seconds, for example.
  • the above steps S31 to S36 are taken as one cycle, and the cycle is repeated until the TiN film 508 reaches a predetermined thickness.
  • the Ti film 506 can be formed in two stages of the first Ti film forming process and the second Ti film processing.
  • different processes are applied to the formation of the first Ti film 506A and the second Ti film 506B, that is, the plasma ALD process and the plasma SFD process.
  • the temperature of the Si wafer W can be adjusted to a low temperature of 450 ° C or less, for example, and a high-quality TiSi film 507 can be formed. Impurities can be reliably reduced. After that, by switching to plasma SFD processing that provides a relatively high deposition rate, a Ti film 506 with a predetermined thickness can be formed in a short time. At that time, the thermal budget can be reduced by adjusting the temperature of the Si wafer W to, for example, 450 ° C or lower.
  • the other feature of the film forming process that is advantageous to the present embodiment is that the processing chamber is used during the first Ti film forming process.
  • step S This is a process in which plasma annealing is performed. This process is described in step S
  • step S34 in the plasma SFD process shown in Fig. 11 is not the positioning of the waiting time until the next step S35 is started.
  • the time for step S34 is, for example, 2 seconds.
  • Fig. 12 shows the results of measuring the thickness of the Ti film when the above-mentioned plasma ALD treatment and plasma SFD treatment were performed separately on the sample wafer having the film structure shown in Fig. 6. It is. As shown in Fig. 12, when plasma SFD treatment is performed, SiO film (interlayer
  • the thickness of the Ti film formed on the insulating film 504) is 9.5 nm, and the thickness of the Ti film formed on the Si film (Si surface 503) is 8.7 nm.
  • the ratio is 0.92. In other words, when plasma SFD processing is performed, a Ti film with almost the same thickness is formed on the SiO film and Si film.
  • the thickness of the Ti film formed on the film 504) is 7.4 nm, and the thickness of the Ti film formed on the Si film (Si surface 503) is 23. lnm. Is 3.12. In other words, when plasma ALD processing is performed, a Ti film that is three times thicker than the SiO film is formed on the Si film.
  • the proportion of Si in the Ti-containing film on the Si film is 81 ⁇ 38atom% (atomic rate), the proportion of Ti is 13 ⁇ 19atom%, and the proportion of C1 is 0 ⁇ It is 18atom% and the ratio of O is 5.25atom%. From this result, it can be seen that the Ti film formed on the Si film after the plasma ALD process is silicided and changed to a TiSi film.
  • Figure 14A shows Ar gas and H gas
  • Si film and SiO film are stacked in the sample wafer that has been subjected to the plasma reduction treatment by 2
  • Figure 14B shows a sample wafer that has been subjected to plasma reduction treatment using only H gas.
  • Figure 15 shows the electrical characteristics of the Ti film formed on the SiO film when the above-mentioned plasma ALD process is performed for 300 cycles on the sample wafer having the film structure shown in Figure 6.
  • the surface resistance of the wafer is 270 ⁇ / sq., And the specific resistance is 209 ⁇ 'cm.
  • the surface resistance of the wafer is 1306 Q / sq.
  • the specific resistance is 1208 ⁇ 'cm. It can be seen that the resistance of the Ti film increases when Ar gas is supplied into the processing chamber.
  • TiO film titanium oxide film
  • TiO film has resistance compared to Ti film, which is a metal.
  • FIG. 16 shows the thickness of the Ti film formed on the SiO film when the Ti film formation process by the plasma ALD process is performed on the sample wafer whose temperature is adjusted to 450 ° C.
  • the number of cycles when the number of cycles is about 300 or less, a thick Ti film can be formed without using Ar gas even with the same number of cycles.
  • the first Ti film 506A having a desired film thickness can be formed in a short time.
  • the deposition rate of the Ti film increases when Ar gas is used.
  • the first Ti film 506A is actually very thin (eg 2 nm), it is only necessary to pay attention to the region where the number of cycles is about 300 times or less.
  • the Ti film 506 and the TiN film 508 in which impurities such as C1 are hardly mixed can be formed even at a low temperature.
  • a high-quality TiSi film 507 can be obtained because a plasma ALD process capable of forming a TiSi film at a low temperature and a plasma SFD process with a high deposition rate are used in combination.
  • the time required for forming the Ti film 506 can be shortened.
  • the plasma ALD process does not introduce Ar gas into the processing chamber, so the film quality of the Ti film 506 and TiSi film 507 can be further improved.
  • each of the processing chambers 104A to 104D is not limited to that shown in FIG.
  • which of the processing chambers 104A to 104D is the COR processing chamber, PHT processing Chamber, Ti film 'TiN film formation processing chamber may be configured. Therefore, the transfer sequence of Si wafer W is also the same as each processing chamber 104A ⁇ ; if it is transferred in order of COR processing chamber, PHT processing chamber, Ti film 'TiN film formation processing chamber in 104D, each processing chamber 104A ⁇ ; 104C may not be in order.
  • the configuration and the operation have been described in accordance with the case where the Ti film forming process and the TiN film forming process are continuously performed in the processing chamber 104C.
  • each processing is performed in an individual processing chamber. You may do it.
  • the Ti film forming process may be performed in the processing chamber 104C, and the TiN film forming process may be performed in the processing chamber 104D.
  • the present invention described in detail in the above embodiments may be applied to a system constituted by a plurality of equipments or to an apparatus consisting of a single equipment.
  • a medium such as a storage medium storing software programs for realizing the functions of the above-described embodiments is supplied to a system or apparatus, and the system or! / Is a storage medium stored in a computer or CPU or MPU of the apparatus. It goes without saying that the present invention can also be achieved by reading and executing a program stored in such a medium.
  • the program itself read from the medium such as a storage medium realizes the functions of the above-described embodiment, and the medium such as the storage medium storing the program constitutes the present invention. It will be.
  • media such as storage media for supplying programs include floppy disks, hard disks, optical disks, magneto-optical disks, CD-ROM, CD-R, CD-RW, DVD-ROM, and DVD-RAM. , DVD-RW, DVD + RW, magnetic tape, non-volatile memory card, ROM, or network download.
  • Executing the program read by the computer not only realizes the functions of the above-described embodiment, but also operates on the computer based on the instructions of the program! However, the present invention also includes a case where part or all of the actual processing is performed and the functions of the above-described embodiment are realized by the processing.
  • the Si-containing surface may be Si.
  • a TiSi film may be formed on a silicon (poly-Si) film.
  • TiCl gas is used as the titanium compound gas.
  • titanium compound gases may be used.
  • TDMAT dimethylaminotitanium
  • TDE AT jetylaminotitanium
  • the organic titanium can be used as the organic titanium.
  • the present invention can be applied to a film forming method and a substrate processing apparatus for forming a predetermined film on a target substrate such as a semiconductor wafer or an FPD (Flat Panel Display) substrate.
  • a target substrate such as a semiconductor wafer or an FPD (Flat Panel Display) substrate.

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

明 細 書
成膜方法および基板処理装置
技術分野
[0001] 本発明は,半導体ウエノ、, FPD (Flat Panel Display)基板などの被処理基板 上に所定の膜を成膜する成膜方法および基板処理装置に関する。
背景技術
[0002] CMOSトランジスタなどの半導体デバイスでは,配線層と基板,配線層と配線層な どの接続構造を有する。具体的には例えば図 17に示すように, Si基板(Siウェハ)の p/n不純物拡散層(拡散層) 10と第 1配線との間にはコンタクトホール 20が形成され ,第 1配線と第 2配線との間にはビアホール 30が形成される。このようなコンタクトホー ル 20およびビアホール 30には,タングステンや銅などの金属が埋め込まれ, Si基板 や配線層が電気的に接続される。近年では,この金属の埋め込みに先立って,コン タクトホール 20およびビアホール 30に Ti/TiN積層膜などのバリア層を成膜し,ノ リ ァ層 22, 32が形成される。
[0003] 従来,このような Ti膜や TiN膜の形成には,物理的蒸着(PVD)法が用いられてき た。ところ力 半導体デバイスの微細化および高集積化が進んだ今日においては,コ ンタクトホールやビアホールのアスペクト比(口径と深さの比)が極めて大きくなつてい る。このため,ノ リア層の形成にはステップカバレッジのよい化学的蒸着(CVD)法が 多く採用されている。
[0004] ところで,拡散層 10とコンタクトホール 20内の金属とのコンタクト抵抗を下げるため には,例えばバリア層 22と拡散層 10との間に TiSi膜 (チタンシリサイド膜)などの合 金層 12を介在させて,ノ リア層 22と拡散層 10との界面における仕事関数を調節す ることにより,その仕事関数差に基づくショットキー障壁を低くすることが望ましい。
[0005] このような TiSi膜の形成には例えばプラズマ CVD法を用いることができる。この方 法では,原料ガスとして TiClを用いるとともに,還元ガスとして Hガス等を用いて,
4 2
温度 650°C程度で Ti膜を成膜し,同時にその一部を Si基板と反応させ自己整合的 に合金層 12を形成する。 [0006] その他にも,所定の膜厚の Ti膜を複数の工程に分割して成膜する方法が提案され ている。例えば,下記特許文献 1には,このような分割成膜方法としてプラズマ SFD ( Sequential Flow Deposition)が開示されている。この処理方法によれば,例え ば 450°C以下の低温下で成膜しても Ti膜の剥離が防止され,結果として良好な膜質 の Ti膜を形成することができる。
特許文献 1 :特開 2004— 232080号公報
発明の開示
発明が解決しょうとする課題
[0007] ところで,近年,主に半導体デバイスの高速化を目的とした成膜温度の低温化の要 請が高まってきている。このため,コンタクトホールのバリア層形成においても被処理 基板を低温 (例えば 450°C以下)に維持することが好ましい。ところ力 従来のプラズ マ CVD法を用いて低温下で Ti膜を形成すると, C1が Ti膜中に多量に残留してしま い,その結果, Ti膜の抵抗値を上昇させてしまうという問題があった。
[0008] この点,プラズマ SFD処理による Ti膜形成処理によれば,低温下であっても C1の 残留が極めて少ない良質な Ti膜を形成することができる。ところ力 このプラズマ SF D処理を,例えば 650°C以上の高温下で実行して形成した Ti膜については Si基板と 反応して良好にシリサイド化し,良好な TiSi膜を形成できるのに対して,例えば 450 °C以下の低温下で実行して形成した Ti膜についてはシリサイド化し難く,良好な TiSi 膜を形成することは困難であるという問題があった。
[0009] そこで,本発明は,このような問題に鑑みてなされたもので,その目的とするところは ,低温下であっても, 良質な Ti膜を含むバリア層を効率よく形成することができ,しか もその Ti膜と下地との界面領域に自己整合的に TiSi膜を形成することができる成膜 方法等を提供することにある。
課題を解決するための手段
[0010] 上記課題を解決するために,本発明のある観点によれば,処理室内にて被処理基 板にチタン膜またはチタン化合物膜を形成する成膜方法であって,前記被処理基板 にチタンシリサイド膜を形成するチタンシリサイド膜形成工程と,前記チタンシリサイド 膜上にチタン膜を形成するチタン膜形成工程と,を有し,前記チタンシリサイド膜形 成工程では,チタン化合物ガスを前記処理室に導入して前記チタン化合物ガスを前 記被処理基板の表面に吸着させる第 1の工程と,前記チタン化合物ガスの前記処理 室への導入を停止して,前記処理室内に残留している前記チタン化合物ガスを除去 する第 2の工程と,水素ガスを前記処理室に導入しつつ前記処理室内にプラズマを 生成して,前記被処理基板のシリコン含有表面に吸着させた前記チタン化合物ガス を還元するとともに前記シリコン含有表面のシリコンと反応させチタンシリサイド膜を形 成する第 3の工程と,を複数回繰り返し,前記チタン膜形成工程では,前記チタン化 合物ガスと前記水素ガスを前記処理室に導入しつつ前記処理室内にプラズマを生 成して前記被処理基板上にチタン膜を形成する第 4の工程と,前記プラズマを維持 しつつ前記チタン化合物ガスの前記処理室への導入を停止して,前記チタン膜をプ ラズマァニールする第 5の工程と,を複数回繰り返すことを特徴とする成膜方法が提 供される。
また,被処理基板に対して膜形成処理を施す処理室と,前記処理室内に少なくとも チタン化合物ガス,還元ガス,およびアルゴンガスを選択的に供給するガス供給手段 と,前記処理室内にプラズマを生成するプラズマ生成手段と,前記処理室内に載置 された前記被処理基板の温度を調整する温度調整手段と,前記ガス供給手段,前 記プラズマ生成手段,および前記温度調整手段の動作を制御する制御部と,を備え た基板処理装置であって,前記制御部は,前記被処理基板にチタンシリサイド膜を 形成するチタンシリサイド膜形成処理として,チタン化合物ガスを前記処理室に導入 して前記チタン化合物ガスを前記被処理基板の表面に吸着させる第 1の工程と,前 記チタン化合物ガスの前記処理室への導入を停止して,前記処理室内に残留して いる前記チタン化合物ガスを除去する第 2の工程と,水素ガスを前記処理室に導入 しつつ前記処理室内にプラズマを生成して,前記被処理基板のシリコン含有表面に 吸着させた前記チタン化合物ガスを還元するとともに前記シリコン含有表面のシリコ ンと反応させチタンシリサイド膜を形成する第 3の工程と,を複数回繰り返し,前記チ タンシリサイド膜上にチタン膜を形成するチタン膜形成処理として,前記チタン化合 物ガスと前記水素ガスを前記処理室に導入しつつ前記処理室内にプラズマを生成し て前記被処理基板上にチタン膜を形成する第 4の工程と,前記プラズマを維持しつ つ前記チタン化合物ガスの前記処理室への導入を停止して,前記チタン膜をプラズ マァニールする第 5の工程と,を複数回繰り返すことを特徴とする基板処理装置が提 供される。
[0012] 本発明によれば,前記被処理基板のシリコン含有表面上にチタンシリサイド膜を積 層させる第 1〜3工程を複数回繰り返して,所定の膜厚のチタンシリサイド膜を形成す ること力 Sできる。また,低温下であっても,第 3の工程においてチタン化合物を水素プ ラズマ還元作用により効果的にチタンに還元することができるため,チタンシリサイド 膜中に混入する C1などの不純物濃度を極めて低く抑えることができる。
[0013] また,前記第 3の工程ではアルゴンガスを前記処理室に導入しないことが好ましい。
このようにすれば,被処理基板のシリコン含有表面に形成されたチタンシリサイド膜の 表面へのアルゴン原子の衝突を防止することができる。これによつて,チタンシリサイ ド膜の表面モホロジ (morphology)が良好な状態に保たれる。
[0014] さらに第 1〜3工程を複数回繰り返して所定の膜厚のチタンシリサイド膜を形成した 後,第 4〜5工程を複数回繰り返すチタン膜形成工程を行うことによって,チタンシリ サイド膜の上にチタン膜を形成することができる。このチタン膜形成工程は,前記ブラ ズマ SFDの処理方法であり,この処理によれば,比較的高い成膜レートを得ることが できるため,短時間のうちにチタンシリサイド膜とチタン膜を含むバリア層を形成する こと力 Sできる。しかも,このチタン膜形成処理によれば,低温下であっても不純物の極 めて少なレ、チタン膜を形成することができる。
[0015] 前記チタンシリサイド膜形成工程および前記チタン膜形成工程では,前記被処理 基板の温度を 450°C以下に調整することが好ましい。このように本発明によれば,半 導体デバイスのサーマルバジェット(Thermal Budget)を低減し,高温処理による 電気特性の劣化を防止することができる。
[0016] 前記第 1の工程では前記チタン化合物ガスとともに水素ガスを前記処理室に導入し ,前記第 2の工程では前記水素ガスを継続して前記処理室に導入することが好まし い。これによつて,チタン化合物ガスを処理室から除去するためのパージガスとして 水素ガスを使うことができる。
[0017] 前記チタン膜形成工程において,少なくとも前記処理室内にプラズマを形成する期 間では前記処理室にアルゴンガスを導入することでチタン化合物ガスの分解が促進 されるとともにプラズマの安定化が図られ,成膜処理の効率を高めることができる。
[0018] 上記の成膜方法は,さらに,前記チタン膜上に窒化チタン膜を形成する窒化チタン 膜形成工程を有し,前記窒化チタン膜形成工程では,前記チタン化合物ガスと前記 水素ガスを前記処理室に導入しつつ前記処理室内にプラズマを生成して前記被処 理基板上にチタン膜を形成する第 6の工程と,前記チタン化合物ガスの前記処理室 への導入を停止して,前記処理室内に残留している前記チタン化合物ガスを除去す る第 7の工程と,前記窒素化合物ガスを前記処理室に導入しつつ前記処理室内にプ ラズマを生成して前記チタン膜を窒化する第 8の工程と,を複数回繰り返すようにして あよい。
[0019] また,上記の基板処理装置において,前記ガス供給手段は,前記処理室内にさら に窒素化合物ガスを選択的に供給するものであり,前記制御部は,前記チタン膜上 に窒化チタン膜を形成する窒化チタン膜形成処理として,前記チタン化合物ガスと前 記水素ガスを前記処理室に導入しつつ前記処理室内にプラズマを生成して前記被 処理基板上にチタン膜を形成する第 6の工程と,前記チタン化合物ガスの前記処理 室への導入を停止して,前記処理室内に残留している前記チタン化合物ガスを除去 する第 7の工程と,前記窒素化合物ガスを前記処理室に導入しつつ前記処理室内 にプラズマを生成して前記チタン膜を窒化する第 8の工程と,を複数回繰り返すこと が好ましい。
[0020] 本発明によれば,前記チタン膜に窒化チタンを積層させる第 6〜8工程を複数回繰 り返して,所定の膜厚の窒化チタン膜を形成することができる。そして,この窒化チタ ン膜形成処理によれば,低温下であっても C1などの不純物の極めて少な!/、窒化チタ ン膜を形成すること力できる。したがって,処理温度を高める必要がない。
[0021] 前記窒化チタン膜形成工程では,前記被処理基板の温度を 450°C以下に調整す ることが好ましい。このような温度であれば,半導体デバイスのサーマルバジェットを 低減し,高温処理による電気特性劣化を防止することができる。
[0022] 前記窒化チタン膜形成工程において,少なくとも前記処理室内にプラズマを形成 する期間では前記処理室にアルゴンガスを導入することでチタン化合物ガスの分解 が促進されるとともにプラズマの安定化が図られ,成膜処理の効率を高めることがで きる。また,窒素化合物ガスの分解も促進されるため,効果的にチタン膜が窒化し, 良質な窒化チタン膜を形成することができる。
[0023] 前記チタン化合物ガスとして TiClガスを採用し,前記窒素化合物ガスとして NH
4 3 ガスを採用することができる。これらのガスを用いることによって,低温下であっても良 質なチタンシリサイド膜,チタン膜,および窒化チタン膜を効率よく形成することがで きる。
[0024] また本発明によれば,チタンシリサイド膜形成処理,チタン膜形成処理,および窒 化チタン膜形成処理を一つの処理室で実施することができる。すなわち,処理ごとに 処理室を用意する必要がないため,基板処理装置の小型化が可能となる。また,各 処理を連続して一つの処理室で実施できることから,例えば処理室内での被処理基 板の位置合わせ処理や処理室間の搬送処理が不要となり,結果的にスループットを 向上させること力 Sできる。さらに,処理室内の真空状態を保ったまま連続処理が可能 であるため,常に清浄な環境下で成膜処理を行うことができる。
発明の効果
[0025] 本発明によれば,低温下であっても,良質な Ti膜を含むバリア層を効率よく形成す ること力 Sでき,し力、もその Ti膜と下地との界面領域に自己整合的に TiSi膜を形成す ること力 Sでさる。
図面の簡単な説明
[0026] [図 1]本発明の実施形態にかかる基板処理装置の構成例を示す断面図である。
[図 2]図 1に示す制御部(システムコントローラ)の構成例を示すブロック図である。
[図 3]同実施形態における EC (装置制御部)の構成例を示すブロック図である。
[図 4]図 1に示す基板処理装置における処理室の構成例を示す図である。
[図 5]同実施形態にかかる Ti膜 'TiN膜形成処理室の構成例を示す断面図である。
[図 6]同実施形態における Siウェハの膜構造の具体例を示す模式図である。
[図 7A]同実施形態に力、かる異物除去処理を説明するための模式図である。
[図 7B]同実施形態にかかる第 1の Ti膜形成処理を説明するための模式図である。
[図 7C]同実施形態にかかる第 2の Ti膜形成処理を説明するための模式図である。 園 7D]同実施形態に力、かる TiN膜形成処理を説明するための模式図である。
園 8]同実施形態に力、かるプラズマ ALD処理による Ti膜形成処理の具体例を示すタ イミングチャートである。
園 9]同実施形態に力、かるプラズマ SFD処理による Ti膜形成処理の具体例を示すタ イミングチャートである。
園 10]同実施形態に力、かるプラズマ SFD処理による TiN膜形成処理の具体例を示 すタイミングチャートである。
[図 11]同実施形態に力、かるプラズマ SFD処理による TiN膜形成処理の他の具体例 を示すタイミングチャートである。
[図 12]サンプルウェハに対してプラズマ ALD処理による Ti膜形成処理とプラズマ SF D処理による Ti膜形成処理を実施したときの Ti膜の膜厚の差を示す図である。
[図 13]サンプルウェハに対してプラズマ ALD処理による Ti膜形成処理を実施して Si 膜上に形成した Ti膜の組成を分析した結果を示す図である。
[図 14A]Arガスと Hガスによるプラズマ還元処理を施したサンプルウェハの断面と表
2
面の走査型電子顕微鏡(SEM)の写真を示す図である。
[図 14B]Hガスのみのプラズマ還元処理を施したサンプルウェハの断面と表面の走
2
查型電子顕微鏡(SEM)の写真を示す図である。
[図 15]サンプルウェハに対してプラズマ ALD処理による Ti膜形成処理を実施したと きに SiO膜上に形成される Ti膜の電気的特性を示す図である。
2
[図 16]サンプルウェハに対してプラズマ ALD処理による Ti膜形成処理を実施したと きに SiO膜上に形成される Ti膜の膜厚と膜形成処理のサイクル数との関係を示す
2
図である。
園 17]半導体デバイスの配線構造を示す模式図である。
符号の説明
100 基板処理装置
102 共通搬送室
104 (104A~104D) 処理室
105 (105A〜; 105D) 載置台 106A~106D ゲートバノレブ
107A, 107B ゲートノ ノレフ、、
108 (108A, 108B) ロードロック室
109 (109A, 109B) 搬送口
110 搬入側搬送室
112 (112A~112C) 導入ポー卜
114 オリエンタ
116 搬送機構
116A, 116B ピック
118 搬入側搬送機構
118A, 118B ピック
200 制御部(システムコントローラ)
300 EC (装置制御部)
310 CPU
320 RAM
330 表示手段
340 入出力手段
350 報知手段
360 プログラムデータ記憶手段
362 搬送処理プログラム
364 プロセス処理プログラム
370 処理データ記憶手段
374 プロセス処理情報
400 プラズマ CVD処理室
41 1 処理室
412 サセプタ
413 支持部材
414 ガイドリング 415 ヒータ
416 下部電極
418 搬入出口
419 絶縁部材
420 シャワーヘッド
421 ベース部材
422 シャワープレート
423 ヒータ
424 吐出孔
425 ガス拡散空間
426 ガス導入ポート
430 ガス供給手段
431 TiClガス供給源
431C〜434C マスフローコントローラ
431L TiClガス供給ライン
4
432 Arガス供給源
432L Arガス供給ライン
433 Hガス供給源
433L Hガス供給ライン
2
434 NHガス供給源
3
434L NHガス供給ライン
3
437 ガス混合部
438 混合ガス供給ライン
440 ヒータ電源
441 ヒータ電源
442 整合器
443 高周波電源
450 排気室 451 排気管
452 排気装置
460 ウェハ支持ピン
461 支持板
462 駆動機構
500 Siウェハ(シリコンウェハ)
502 ベア基板
503 Si表面
504 層間絶縁膜
505 コンタクトホール
506 Ti膜
506A 第 1の Ti膜
506B 第 2の Ti膜
507 TiSi膜
508 TiN膜
G ゲートバルブ
W ウェハ(Siウェハ)
発明を実施するための最良の形態
[0028] 以下に添付図面を参照しながら,本発明の好適な実施の形態について詳細に説 明する。なお,本明細書および図面において,実質的に同一の機能構成を有する構 成要素については,同一の符号を付することにより重複説明を省略する。
[0029] (基板処理装置の構成例)
本発明の実施形態に力、かる基板処理装置の構成例を図面を参照しながら説明す る。図 1は本実施形態にかかる基板処理装置の一例を示す概略構成図である。図 1 に示すように,基板処理装置 100は,略多角形状 (例えば六角形状)に形成された共 通搬送室 102,真空弓 Iき可能に構成された複数 (例えば 4つ)の処理室 104 A〜 104 D,真空引き可能に構成された 2つのロードロック室 108A, 108B,略長方形状の搬 入側搬送室 110,ウェハ Wを複数枚収容できるカセットを載置する複数 (例えば 3つ) の導入ポート 112A〜112C,およびウェハ Wを回転してこの偏心量を光学的に求め て位置合わせを行うオリエンタ 114を有する。
[0030] 処理室 104A〜104Dはそれぞれ,共通搬送室 102の周囲にゲートバルブ 106A 〜; 106Dを介して連結されている。各処理室 104A〜; 104Dには被処理基板例えば 半導体ウェハ(以下,単に「ウェハ」とも称する) Wを載置する載置台 105A〜105D が設けられている。各処理室 104A〜; 104Dはそれぞれ載置台 105A〜; 105Dに載 置されたウェハ Wに対して所定の処理を施し得るようになつている。
[0031] 共通搬送室 102内には,ウェハ Wを保持する 2つのピック 116A, 116Bを有して屈 伸および旋回可能に構成された搬送機構 116が設けられている。共通搬送室 102 には, 2つのロードロック室 108A, 108Bを介して搬入側搬送室 110が連結されてい る。ロードロック室 108Aは,共通搬送室 102と搬入側搬送室 110にゲートバルブ 10 7Aを介して接続されており,ロードロック室 108Bは,共通搬送室 102と搬入側搬送 室 110にゲートバルブ 107Bを介して接続されている。
[0032] なお,共通搬送室 102と 2つのロードロック室の内のいずれか一方,例えばロード口 ック室 108Aとの連結部の搬送口 109Aはウェハ Wを共通搬送室 102内へ専用に搬 入する搬入口として用いられ,他方のロードロック室 108Bとの連結部の搬送口 109 Bはウェハ Wを共通搬送室 102から外へ専用に搬出する搬出口として用いられる。
[0033] 上記搬入側搬送室 110には,例えば 3つの導入ポート 112A〜; 112Cおよびオリエ ンタ 114が連結されている。また,搬入側搬送室 110内には,ウェハ Wを保持する 2 つのピック 118A, 118Bを有して屈伸,旋回,昇降および直線移動可能に構成され た搬入側搬送機構 118が設けられて!/、る。
[0034] そして,基板処理装置 100には,制御部 200が接続されており,この制御部 200に より基板処理装置 100の各部が制御されるようになっている。
[0035] (制御部の構成例)
基板処理装置 100の制御部 200の構成例を図面を参照しながら説明する。図 2は ,制御部(システムコントローラ) 200の構成を示すブロック図である。図 2に示すよう に,制御部 200は,装置制御部(EC Equipment Controller) 300と,複数のモジ ユーノレ制卸部(MC : Module Controller) 230A, 230B, 230。· · ·と, EC300と 各 MC230A, 230B, 230C ' · ·とをそれぞれ接続するスイッチングハブ(HUB) 220 とを備える。
[0036] 制御部 200は, EC300から例えば LAN (Local Area Network) 202を介して 基板処理装置 100が設置される工場全体の製造工程を管理する MES (Manufact uring Execution System) 204に接続されている。 MES204は例えばコンビュ ータにより構成される。 MES204は,制御部 200と連携して工場における工程に関 するリアルタイム情報を基幹業務システム(図示せず)にフィードバックするとともに, 工場全体の負担等を考慮して工程に関する判断を行う。
[0037] EC300は, MC230A, 230B, 230C ' · ·を統括して基板処理装置 100全体の動 作を制御する主制御部(マスタ制御部)を構成する。スイッチングノ、ブ 220は, EC30 0からの制御信号に応じて EC300の接続先としての MC230A, 230B, 230。· · ·を 切換える。
[0038] 各 MC230A, 230B, 230C ' · ·はそれぞれ,基板処理装置 100の共通搬送室 10 2,処理室 104A〜; 104D,ロードロック室 108A, 108B,搬送室 110,オリエンタ 11 4等の各モジュールの動作を制御する副制御部 (スレーブ制御部)を構成する。各 M C230A, 230B, 230C…はそれぞれ, DIST (Distribution)ボード 234A, 234B , 234C · · ·によって例えば GHOSTネットワーク 206を介して各 I/O (入出力)モジ ユーノレ 236A, 236B, 236C ' · ·に接続される。 GHOSTネットワーク 206は, EC30 0が有する MCボードに搭載された GHOST (General High- Speed Optimum Scalable Transceiver)と称される LSIによって実現されるネットワークである。 G HOSTネットワーク 206には最大で 31個の I/Oモジュールを接続することができる。 なお, GHOSTネットワーク 206では MCがマスタに相当し, I/Oモジュールがスレ ーブに相当する。
[0039] 各 I/Oモジュール 236A, 236B, 236C ' · ·はそれぞれ,処理室 104A〜; 104Dな どの各モジュールの各構成要素(以下, 「エンドデバイス」と称する)に接続された複 数の I/O部 238A, 238B, 238C . · ·力、らなり,各エンドデバイスへの制御信号およ び各エンドデバイスからの出力信号の伝達を行う。例えば処理室 104のエンドデバイ スとしては,処理室 104内に導入されるガスの流量を制御するマスフローコントローラ ,処理室 104からの排気を制御する APCバルブなどが挙げられる。
[0040] 各 GHOSTネットワーク 206には, I/O部 238A, 238B, 238C ' · ·におけるデジ タル信号,アナログ信号,シリアル信号の入出力を制御する I/Oボード(図示せず) も接続される。
[0041] ここで,図 2に示す EC300の構成例を図面を参照しながら説明する。図 3は EC30 0の構成例を示すブロック図である。図 3に示すように, EC300は EC本体を構成する CPU (中央処理装置) 310, CPU310が行う各種データ処理のために使用されるメ モリエリア等を設けた RAM (Random Access Memory) 320,操作画面や選択 画面などを表示する液晶ディスプレイなどで構成される表示手段 330,オペレータに よるプロセスレシピの入力や編集など種々のデータの入力および所定の記憶媒体へ のプロセスレシピゃプロセス 'ログの出力など種々のデータの出力などを行うことがで きる入出力手段 340,基板処理装置 100に漏電等の異常が発生した際に報知する 警報器 (例えばブザー)などの報知手段 350を備える。
[0042] また, EC300は,基板処理装置 100の種々の処理を実行するための処理プロダラ ムを記憶するプログラムデータ記憶手段 360,処理プログラムを実行するために必要 な情報 (データ)が記憶される処理データ記憶手段 370を備える。プログラムデータ 記憶手段 360,処理データ記憶手段 370は例えばノ、ードディスク (HDD)などの記 憶領域に構築される。 CPU310は必要に応じてプログラムデータ記憶手段 360,処 理データ記憶手段 370から必要なプログラム,データ等を読み出して,各種の処理 プログラムを実行する。
[0043] 上記 CPU310と, RAM320,表示手段 330,入出力手段 340,報知手段 350,プ ログラムデータ記憶手段 360,処理データ記憶手段 370等とは,制御バス,データバ ス等のバスラインにより接続されている。このバスラインには, 上記スイッチングハブ 2 20なども接続されている。
[0044] ここで,上述したような構成の制御部 200による基板処理装置 100の制御例につい て説明する。各処理室 104A〜; 104Dにおいて,例えば Siウェハ Wに COR (Chemi cal Oxide Removal)処理, PHT (Post Heat Treatment)処理, Ti膜形成処 理, TiN膜形成処理などのプロセス処理を施す場合には, EC300の CPU310はプ ログラムデータ記憶手段 360のプロセス処理プログラム 364から実行する処理プログ ラムを読出し,処理データ記憶手段 370のプロセス処理情報 374から実行する処理 のプロセスレシピの処理情報に基づいて各処理を実行する。なお, COR処理と PHT 処理は異物除去処理の一種である。また,各処理の詳細については後述する。
[0045] CPU310は,各処理プログラムに応じてスイッチングハブ 220および処理室 104A 〜; 104Dを制御するそれぞれの MC230, GHOSTネットワーク 206および I/Oモジ ユール 236における I/O部 238を介して,所望のエンドデバイスに制御信号を送信 することによって各処理を実行する。
[0046] このような図 2に示す制御部(システムコントローラ) 200では,複数のエンドデバイ スが EC300に直接接続されることなく,その複数のエンドデバイスに接続された I/O 部がモジュール化されて I/Oモジュールを構成する。この I/Oモジュールは MCお よびスイッチングノヽブ 220を介して EC300に接続されるため,通信系統を簡素化す ること力 Sでさる。
[0047] また, EC300の CPU310が送信する制御信号には,所望のエンドデバイスに接続 された I/O部のアドレス,およびその I/O部を含む I/Oモジュールのアドレスが含 まれているため,スイッチングハブ 220は制御信号における I/Oモジュールのァドレ スを参照し, MCの GHOSTが制御信号における I/O部のアドレスを参照することに よって,スイッチングハブ 220や MCが CPU310に制御信号の送信先の問い合わせ を行う必要を無くすことができ,これにより,制御信号の円滑な伝達を実現することが できる。
[0048] (処理室の構成例)
次に,図 1に示す基板処理装置 100における処理室の構成例を説明する。基板処 理装置 100は, Siウェハ上の自然酸化膜などの異物を,減圧下でプラズマを用いず に除去する異物除去処理,この異物除去処理が施された Siウェハの Si表面上に Ti 膜を形成する Ti膜形成処理,および Ti膜の上に TiN膜を形成する TiN膜形成処理 を連続して実行可能な構成にすることができる。
[0049] 本実施形態では,例えば処理室 104A〜; 104Dのうちのいずれか一室を,異物除 去処理を行う異物除去処理室として構成し,他の一室を Ti膜形成処理 (Ti膜の自己 整合的なシリサイド化も含む)および TiN膜形成処理を行う Ti膜 'TiN膜形成処理室 として構成する。また,異物除去処理を生成物生成処理 (例えば COR処理)と,生成 物除去処理(例えば PHT処理)との 2段階に分けて行う場合には,処理室 104A〜1 04Dのうちの!/、ずれか二室を,生成物生成処理室と生成物除去処理室として構成す る。なお, Ti膜形成処理と TiN膜形成処理を別個の処理室で行うようにしてもよい。こ のように,基板処理装置 100において実行する処理内容に応じて各処理室 104A〜 104Dの構成を定める。
[0050] ここで,例えばコンタクトホールが形成された Siウェハ Wを基板処理装置 100に導 入し,この Siウェハ Wに対して上述したような異物除去処理としての COR処理, PH T処理を連続して実行した後, Ti膜成膜処理, TiN膜成膜処理を連続して実行する 場合の基板処理装置 100における処理室の構成例を図 4に示す。
[0051] 図 4に示すように,本実施形態に力、かる基板処理装置 100において,処理室 104A , 104B, 104Cはそれぞれ, COR処理室, PHT処理室, Ti膜 'TiN膜形成処理室 として構成されている。各処理室 104A〜; 104Cにおける処理はそれぞれ,制御部 2 00の EC300に設けられるプログラムデータ記憶手段 360に記憶されたプロセス処理 プログラム 364に基づいて実行される。すなわち, EC300の CPU310はプロセス処 理プログラム 364から必要な処理プログラムを読出し,処理データ記憶手段 370に記 憶されるプロセス処理情報(例えばプロセスレシピ情報) 374から必要な情報を読み 出して各処理を実行する。
[0052] (Ti膜成膜処理室の構成例)
次に,本実施形態にお!、て主要な処理室である Ti膜 'TiN膜形成処理室の構成例 について図面を参照しながら説明する。 Ti膜成膜処理室は,プラズマ CVDにより Ti 膜を成膜する,例えば図 5に示すようなプラズマ CVD処理室 400によって構成される 。このプラズマ CVD処理室 400は,気密に構成された略円筒状の処理室 411を有し ている。
[0053] 処理室 411の中にはウェハ Wを水平に支持するためのサセプタ 412がその中央下 部に設けられた円筒状の支持部材 413により支持された状態で配置されている。こ のサセプタ 412は A1N等のセラミックスからなり,その外縁部にはウェハ Wをガイドす [0054] また,サセプタ 412にはヒータ 415が埋め込まれており,このヒータ 415はヒータ電 源 440から給電されることによりウェハ Wを所定の温度に加熱する。すなわち,ヒータ 415とヒータ電源 440は温度調整手段を構成する。サセプタ 412には,下部電極 41 6がヒータ 415の上に埋設されており,下部電極 416は例えば接地されている。
[0055] 処理室 411の天壁 411Aには,絶縁部材 419を介してシャワーヘッド 420が設けら れている。このシャワーヘッド 420は,大きく分けると上部分であるベース部材 421と 下部分であるシャワープレート 422から構成されている。
[0056] ベース部材 421には,ヒータ 423が埋設されており,このヒータ 423はヒータ電源 44 1から給電されることにより,シャワーヘッド 420を所定温度に加熱することが可能とな つている。
[0057] シャワープレート 422には処理室 411内にガスを吐出する多数の吐出孔 424が形 成されている。各吐出孔 424は,ベース部材 421とシャワープレート 422の間に形成 されるガス拡散空間 425に連通している。ベース部材 421の中央部には処理ガスを ガス拡散空間 425に供給するためのガス導入ポート 426が設けられている。ガス導入 ポート 426は,後述するガス供給手段 430の混合ガス供給ライン 438に接続されてい
[0058] ガス供給手段 430は, Ti化合物ガスである TiClガスを供給する TiClガス供給源 4
4 4
31 , Arガスを供給する Arガス供給源 432,還元ガスである Hガスを供給する Hガス
2 2 供給源 433,窒素化合物ガスである NHガスを供給する NHガス供給源 434を有し
3 3
ている。
[0059] そして, TiClガス供給源 431には TiClガス供給ライン 431Lが接続されており, A
4 4
rガス供給源 432には Arガス供給ライン 432Lが接続されており, Hガス供給源 433
2
には Hガス供給ライン 433Lが接続されており, NHガス供給源 434には NHガス
2 3 3 供給ライン 434Lが接続されている。各ガスライン 431L〜434Lにはそれぞれマスフ ローコントローラ(MFC) 431C〜434Cおよびこのマスフローコントローラ 431C〜43 4Cを挟んで 2つのバルブ 431V〜434Vが設けられている。
[0060] ガス混合部 437は,上記のプロセスガスを混合してシャワーヘッド 420に供給する 機能を有するものであり,そのガス流入側には,各ガスライン 431L〜434Lを介して プロセスガス供給源 43;!〜 434が接続されており,そのガス流出側には混合ガス供 給ライン 438を介してシャワーヘッド 420が接続されている。
[0061] プロセス時には, TiClガス, Arガス, Hガス,および NHガスの中から選択された
4 2 3
一種類のガスまたは複数のガスの混合ガス力 シャワーヘッド 420のガス導入ポート 426とガス拡散空間 425を経由して,複数の吐出孔 424から処理室 411内に導入さ れる。
[0062] このように本実施形態に力、かるシャワーヘッド 420は,プロセスガスを予め混合して 処理室 411内に供給する!/、わゆるプリミックスタイプで構成されて!/、るが,各プロセス ガスを独立して処理室 411内に供給するポストミックスタイプで構成されるようにしても よい。
[0063] シャワーヘッド 420には,整合器 442を介して高周波電源 443が接続されており, 成膜の際にこの高周波電源 443からシャワーヘッド 420に,例えば 450kHzの高周 波電力を供給することにより,シャワーヘッド 420および下部電極 416の間に高周波 電界が生じ,処理室 411内に供給されたプロセスガスがプラズマ化し, Ti膜または Ti N膜が形成される。すなわち,シャワーヘッド 420,整合器 442,高周波電源 443,お よび下部電極 416はプラズマ生成手段を構成する。
[0064] 処理室 411の底壁 411Bの中央部には円形の穴 417が形成されており,底壁 411 Bにはこの穴 417を覆うように下方に向けて突出する排気室 450が設けられている。 排気室 450の側面には排気管 451が接続されており,この排気管 451には排気装 置 452が接続されて!/、る。そしてこの排気装置 452を作動させることにより処理室 41 1内を所定の真空度まで減圧することが可能となってレ、る。
[0065] サセプタ 412には, Siウェハ Wを支持して昇降させるための 3本(2本のみ図示)の ウェハ支持ピン 460がサセプタ 412の表面に対して突没可能に設けられ,これらゥェ ハ支持ピン 460は支持板 461に固定されている。そして,ウェハ支持ピン 460は,ェ ァシリンダ等の駆動機構 462により支持板 461を介して昇降される。
[0066] 処理室 411の側壁 411Cには,共通搬送室 102との間で Siウェハ Wの搬入出を行 うための搬入出口 418と,この搬入出口 418を開閉するゲートバルブ Gが設けられて いる。なお,上記のように構成される処理室 411において行われる Ti膜および TiN膜 の形成処理の詳細については後述する。
[0067] (ウェハ搬送処理の具体例)
ここで,図 4に示すように構成された基板処理装置 100のウェハ搬送処理について 説明する。共通搬送室 102内では Siウェハ Wは処理室 104A, 104B, 104Cの順 に搬送されて処理される。このため, Siウェハ Wの搬送経路は図 4に示す実線矢印 のようになる。
[0068] このようなウェハ搬送処理は,制御部 200の EC (装置制御部) 300に設けられるプ ログラムデータ記憶手段 360に記憶された搬送処理プログラム 362に基づいて実行 される。すなわち, EC300の CPU310は処理データ記憶手段 370に記憶される搬 送処理情報 (例えば搬送経路情報) 372から必要な情報を読み出して搬送処理プロ グラム 362を実行することによって, Siウェハ Wの搬送処理を実行する。
[0069] ここでは,一例として中央の導入ポート 112Bに設置したカセット(キャリアも含む)か ら例えばコンタクトホールまたはビアホールが形成された処理前ウェハ Wが取り出さ れるものとし,また 2つのロードロック室 108A, 108Bのうちのいずれか一方のロード ロック室,例えばロードロック室 108Aを処理前ウェハ Wの搬入用に用い,他方の口 ードロック室 108Bを処理済ウェハ Wの搬出用に用いる。今,各処理室 104A〜; 104 C内にはそれぞれウェハ Wが収容されてそれぞれの処理が終了している力、,または ほぼ終了しかけているものとする。
[0070] まず,搬入側搬送室 110内の搬送処理について説明する。ロードロック室 108B内 には,処理室 104Cでの処理が終了した処理済の Siウェハ Wが収容されているもの とすると,この処理済の Siウェハ Wは,搬入側搬送機構 118により搬送経路 XI Iに 示すように中央の導入ポート 112Bへ搬送して収容される。
[0071] また, 中央の導入ポート 112Bに収容されている処理前の Siウェハ Wは,搬入側搬 送機構 118により搬送経路 XI 2に示すようにオリエンタ 114へ搬送され,ここで Siゥ ェハ Wの位置合わせをした後に,再度,搬入側搬送機構 118により搬送経路 X13に 示すように位置合わせ後の Siウェハ Wを他方のロードロック室 108A内へ収容し,待 機させておく。以上の操作が, Siウェハ Wの処理が進む毎に繰り返し行われる。 [0072] 次に,共通搬送室 102内でのウェハの搬送処理について説明する。まず,搬送機 構 116により処理室 104Cに収容されている処理済のウェハ Wを取りに行き,搬送経 路 Y11に示すようにこれを空き状態のロードロック室 108B内に置く。次いで,搬送機 構 116により処理室 104B内に収容されている処理済のウェハ Wを取りに行き,搬送 経路 Y12に示すようにこれを空き状態の処理室 104C内へ搬入して置き,処理室 10 4C内での処理を開始する。
[0073] 続いて,搬送機構 116により処理室 104Aに収容されている処理済のウェハ Wを取 りに行き,搬送経路 Y13に示すようにこれを空き状態の処理室 104B内へ搬入して置 き,処理室 104B内での処理を開始する。
[0074] 続いて,ロードロック室 108A内で待機していた処理前のウェハ Wを搬送機構 116 によって取りに行き,搬送経路 Y14に示すようにこれを上記空き状態の処理室 104A 内へ搬入して置き,この処理室 104A内での処理を開始する。なお,ウェハ Wの搬出 入の際には,各ゲートバルブ 106A〜; 106C, 107A, 107Bのうち,ウェハ Wの搬出 入に必要なゲートバルブを開閉操作する。そして,各処理室 104A〜; 104Cにてゥェ ハ Wの処理が完了する毎に上記の操作が繰り返し行われることになる。
[0075] (ウェハ処理の具体例)
次に,上述した本実施形態にかかる基板処理装置 100により実行されるウェハ処 理について説明する。基板処理装置 100は,例えば図 6に示すような膜構造を有す る Siウエノ、(Si基板) 500に対して処理を行う。 Siウェハ 500は,ベア基板 502上に, SiO膜などの層間絶縁膜 504を形成し,エッチングによりコンタクトホール 505を形
2
成し,コンタクトホール 505の底部に Si表面 503を露出させたものである。
[0076] ここでは,図 6に示すような Si表面 503上に TiSi膜 (Tiシリサイド膜)を形成する場 合を例に挙げる。図 7A〜図 7Dは,本実施形態に力、かるウェハ処理を説明するため の工程図である。本実施形態にかかる基板処理装置 100は,図 6に示すような Siゥェ ノ、 500を搬入して,以下に示す処理を連続して実行する。
[0077] まず,図 7Aに示すように, Si表面 503上の異物(例えばエッチング残渣などのコン タミネーシヨン,パーティクル, 自然酸化膜など)を除去する異物除去処理を行う。こ れにより,例えばコンタクトホールの底部(図 7Aに示す A部)は, 自然酸化膜などの 異物がないフラットで均一な面となる。従来は,この異物除去処理として, Arプラズマ スパッタによるエッチングを実施していた。これはプラズマによってイオン化した Arィ オンを Siウェハに印加したバイアス電圧によって加速し, Siウェハに付着した自然酸 化膜を含む異物をスパッタエッチングする技術である。ところが,近年半導体デバイ スの微細化に伴い,コンタクトホールの形状も微細になっており, Arプラズマスパッタ を用いたのではコンタクトホール底部から異物を除去することが困難になっている。
[0078] そこで,本実施形態では,減圧下でプラズマを用いない異物除去処理を実行する 。この異物除去処理は,例えば Siウェハに付着した自然酸化膜を含む異物とガス成 分とを化学反応させて生成物を生成する生成物生成処理と, Siウェハ上に生成され た生成物を熱処理により除去する生成物除去処理との 2段階の処理によって構成さ れる。
[0079] 生成物生成処理は例えば COR処理であり,生成物除去処理は例えば PHT処理 である。 COR処理は, Siウェハ上に付着した異物例えば自然酸化膜などの酸化膜と 例えばアンモニア(NH )ガスおよび弗化水素(HF)ガスなどのガス分子とを化学反
3
応させて生成物(主に(NH ) SiF )を生成する処理である。 PHT処理は, COR処
4 2 6
理が施された Siウェハを加熱して, COR処理の化学反応によって Siウェハ上に生成 した生成物を気化(昇華)させて Siウェハから除去する処理である。
[0080] このように, COR処理と PHT処理の組合せは,減圧下でプラズマを用いずに Siゥ ェハの自然酸化膜などの異物を除去することができるため,ドライクリーニング処理( 乾式洗浄処理)のうちで純粋なケミカルクリーニングの技術に相当する。
[0081] このように,本実施形態では,減圧下でプラズマを用いない異物除去処理を実行す ることにより,次に連続して行う Ti膜成膜処理において膜の密着性,強度を向上させ ること力 Sできる。また,本実施形態にかかる異物除去処理ではプラズマを用いないた め, Ti膜の下地,特に Siウェハの拡散層表面にプラズマ起因のチャージアップダメ ージを負わせることを防止することができ,またスパッタエッチングによる拡散層表面 の荒れや削れを防止することができる。このようにダメージのな!/、コンタクト構造を形 成すること力 Sでき,良好なコンタクト抵抗を有する膜を成膜することができる。
[0082] 次いで, Siウェハ 500を大気に曝すことなく, Ti膜 506の形成処理を基板処理装置 100内で連続して行う。本実施形態に力、かる Ti膜 506の形成処理は,第 1の Ti膜 50 6Aおよび TiSi膜 507を形成する工程と,第 2の Ti膜 506Bを形成する工程の 2段階 に分けて実行される。
[0083] 図 7Bに示す第 1の Ti膜 506Aおよび TiSi膜 507を形成する工程では,原子層堆 積(ALD : Atomic Layered Deposition)の手法を用いたプラズマ ALD処理によ る Ti膜形成処理を行う。このプラズマ ALD処理では,例えば,処理室に TiClガスな
4 どの Ti化合物ガスを供給して Si表面 503および層間絶縁膜 504の表面に Ti化合物 の吸着反応 (Tiと Siとの反応)を生じさせる吸着工程と, Hガスなどの還元ガスを供
2
給しつつこれをプラズマ励起して Si表面 503および層間絶縁膜 504の表面に吸着し た Ti化合物を還元する還元工程が複数回繰り返される。これによつて,下地に Tiが 堆積し, Ti膜が形成される。本実施形態にかかるプラズマ ALD処理による Ti膜形成 の具体的なプロセスレシピについては後述する。
[0084] この方法によって,図 7Bに示すように, Si表面 503および層間絶縁膜 504の表面 には原子レベルで Tiが堆積し,そのうち層間絶縁膜 504の表面には第 1の Ti膜 506 Aが形成される。一方, Si表面 503の表面すなわちコンタクトホールの底部(図 7Aに 示す A部)では,堆積した Tiが下地の Si表面 503の Siと珪化反応(シリサイド化)して , 自己整合的に TiSi膜 507が形成される。
[0085] このようなプラズマ ALD処理を行うことによって,原子レベルで第 1の Ti膜 506Aお よび TiSi膜 507の各膜厚を自由に制御できる。また, Ti化合物の吸着工程と還元 工程とを分けて複数回繰返して Tiを堆積させることにより, Ti膜中の C1などの不純物 を確実に減らすこと力 Sできる。特に,本実施形態では, COR処理および PHT処理に よる異物除去処理によって Siウェハ 500の Si表面 503に自然酸化膜などの異物が 付着してレ、な!/、状態で,連続してプラズマ ALD処理によって原子配列を制御しなが ら, Tiを堆積させるため,より平坦で均一な第 1の Ti膜 506Aと TiSi膜 507を形成で きる。
[0086] また,本実施形態では第 1の Ti膜 506Aと TiSi膜 507を形成する際に,プロセス温 度(Siウェハの温度)を比較的低温,例えば 450°C以下の温度範囲に設定する。こ のようにプロセス温度を比較的低温に設定することによって,半導体デバイスのサー マルバジェットを低減させることができる。し力、も,本実施形態では,プラズマ ALD処 理を行うため,プロセス温度を 450°C以下に調整しても,第 1の Ti膜 506Aと TiSi膜 507の中に含まれる C1などの不純物の濃度を低減させ,良質な膜を形成することが できる。
[0087] また,本実施形態では第 1の Ti膜 506Aと TiSi膜 507を形成する際に,処理室内 に Arガスを導入しないようにする。処理室内に Arガスを導入した場合, Ar原子が Si 表面 503や層間絶縁膜 504の表面に衝突してこれらの膜の表面モホロジを悪化させ るおそれがある。この点,本実施形態によれば,各膜層の表面モホロジを良好な状態 に保つこと力 Sできる。したがって,これらの膜の上に形成される第 1の Ti膜 506Aと Ti Si膜 507の膜質も向上する。また, TiSi膜 507とその下地の Si表面 503との界面を 平坦化できるため,界面全体にわたりショットキー障壁の高さを一定にして,安定した ォーミックコンタクトを形成することができる。例えば,トランジスタにこのようなコンタク ト構造を適用することによって,コンタクト抵抗を小さくすること力 Sできる。
[0088] また, Arガスは,第 1の Ti膜 506Aと TiSi膜 507にもダメージを及ぼすおそれがあ る。この場合,第 1の Ti膜 506Aと TiSi膜 507の膜質が劣化して,ノ リア機能が低下 するとともに,コンタクト抵抗が上昇する可能性がある。さらに,第 1の Ti膜 506Aと Ti Si膜 507の成膜レートが低下する可能性もある。この点,本実施形態によれば,第 1 の Ti膜 506Aと TiSi膜 507を形成する際に,処理室内に Arガスを導入しないように するため,短時間のうちに,良質な第 1の Ti膜 506Aと TiSi膜 507を形成することが できる。
[0089] 次に,図 7Cに示すように,第 1の Ti膜 506Aと TiSi膜 507の上に第 2の Ti膜 506B を形成する Ti膜形成処理を行う。ここでは,プラズマ SFD (Sequential Flow Dep osition)処理を行う。このプラズマ SFD処理による Ti膜形成処理では,例えば,処 理室に対して Ti化合物ガスと Arガスと Hガスを同時期に供給しつつプラズマを生成
2
し Ti膜を形成する工程と, Ti化合物ガスの供給を止めて Hプラズマによるプラズマァ
2
ニールの工程が複数回繰り返される。これによつて,所定の膜厚の Ti膜が短時間のう ちに形成される。本実施形態に力、かるプラズマ SFD処理による Ti膜形成の具体的な プロセスレシピにつ!/、ては後述する。 [0090] ところで,上述のプラズマ ALD処理による Ti膜形成処理を行うことによって,良質な TiSi膜 507を形成することができる。しかし,その成膜レートは極めて小さいため,バ リア層としての Ti膜 506に必要な膜厚を得るためには膨大な時間を要することになり 現実的ではない。この点,本実施形態では, TiSi膜 507の膜厚が所定値に達したと ころで第 1の Ti膜 506Aの形成工程を終了して,第 2の Ti膜 506Bの形成工程に移 行する。この第 2の Ti膜 506Bを形成するために用いるプラズマ SFD処理によれば, プラズマ ALD処理に比べて, Ti膜の形成について高い成膜レートが得られる。した がって,短時間のうちに所定の膜厚の Ti膜 506を形成することができる。
[0091] また,本実施形態では第 2の Ti膜 506Bを形成する際にも,第 1の Ti膜 506Aと TiS i膜 507を形成する際と同様に,プロセス温度を比較的低温,例えば 450°C以下の 温度範囲に設定する。本実施形態では,プラズマ SFD処理の Hプラズマによるプラ
2
ズマァニールにより,プロセス温度を 450°C以下に調整しても,第 2の Ti膜 506Bの 中に含まれる C1などの不純物の濃度を大幅に低減させることができる。
[0092] 続いて,図 7Dに示すように, Ti膜 506上にさらに TiN膜 508を成膜する TiN膜形 成処理を行う。ここでは,通常の熱 CVDによる TiN膜形成ではなく,プラズマ SFD処 理による TiN膜形成処理を行う。このプラズマ SFD処理では,例えば,処理室に対し て Ti化合物ガスと Arガスと Hガスを同時期に供給しつつプラズマを生成し, Ti膜を
2
形成する工程と, Ti化合物ガスの供給を止める工程と,処理室に対して NHガスと A
3 rガスと Hガスを同時期に供給しつつプラズマを生成し, Ti膜を窒化する窒化工程が
2
複数回繰り返される。これによつて,下地に TiNが堆積し, TiN膜が形成される。本実 施形態に力、かるプラズマ SFD処理による TiN膜形成の具体的なプロセスレシピにつ いては後述する。
[0093] 以上のよう ίこして, Siウエノヽ 500のコンタクトホーノレ 505内 ίこ TiSi膜 507, Ti膜 50 6,および TiN膜 508からなるバリア層が形成される。
[0094] (第 1の Ti膜形成処理)
上述した各プロセス処理のうち,本発明の主要プロセス処理である第 1の Ti膜形成 処理 (チタンシリサイド膜形成工程),第 2の Ti膜形成処理 (チタン膜形成工程),およ び TiN膜形成処理について,より詳細に説明する。なおここでは, Ti化合物ガスとし て TiClガスを用い,還元ガスとして Hガスを用い,窒素化合物ガスとして NHガスを
4 2 3 用いた場合に例に本実施形態に力、かるプロセス処理を説明する。
[0095] まず,第 1の Ti膜形成処理について図面を参照しながら説明する。上述のように, 第 1の Ti膜 506Aの形成には,プラズマ ALD処理を適用する。このプラズマ ALD処 理による Ti膜形成処理の具体例を図 8に示す。
[0096] まず,第 1の Ti膜形成処理を行うにあたり,サセプタ 412に埋め込まれているヒータ
415にヒータ電源 440力、ら電力を供給して, Siウェハ Wの温度を例えば 450°Cに調 整する。そして,ステップ S 11 (第 1の工程)にて,処理室 411内に TiClガスと Hガス
4 2 を供給する。このとき, TiClガスの流量を例えば 12sccmに調整し, Hガスの流量を
4 2
例えば 4000sccmに調整する。このステップ S11を実行することによって, Si表面 50 3および層間絶縁膜 504の表面に TiClが吸着する。ステップ S 11の時間は例えば 4
4
秒とする。
[0097] 次に,ステップ S 12 (第 2の工程)にて, TiClガスの供給を停止して,処理室 411内
4
には Hガスのみを供給して,処理室 411内に残留する TiClガスをパージする。この
2 4
とき, Hガスの流量を例えば 4000sccmに調整する。ステップ S12の時間は例えば 1
2
. 5秒とする。
[0098] 続いて,ステップ S13 (第 3の工程)にて,処理室 411内に Hガスを例えば流量 40
2
OOsccmで供給するとともに,処理室 411内に配置したシャワーヘッド(上部電極) 42 0に例えば 800Wの高周波電力を印加して処理室 411内で Hガスをプラズマ化する
2
。これによつて,先のステップ S11にて Si表面 503および層間絶縁膜 504の表面に 吸着した TiClは還元され Tiが残る。
4
[0099] 以上のステップ S11〜S13を 1サイクルとして,第 1の Ti膜 506Aが所望の膜厚(例 えば 2nm)に達するまでサイクルを繰り返す。このとき,上で説明したように, Si表面 5 03の表面すなわちコンタクトホールの底部(図 7Aに示す A部)では,堆積した Tiが下 地の Si表面 503の Siと珪化反応(シリサイド化)して, 自己整合的に TiSi膜 507が形 成される(図 7B参照)。
[0100] (第2の Ti膜形成処理)
次に,第 2の Ti膜形成処理について図面を参照しながら説明する。第 2の Ti膜 506 Bは第 1の Ti膜 506Aおよび TiSi膜 507の上に形成されるものであり,上述のように ,第 2の Ti膜 506Bの形成にはプラズマ SFD処理を適用する。このプラズマ SFD処 理の具体例を図 9に示す。
[0101] まず,第 1の Ti膜形成処理を行うにあたり,サセプタ 412に埋め込まれているヒータ
415にヒータ電源 440力、ら電力を供給して, Siウェハ Wの温度を例えば 450°Cに調 整する。そして,ステップ S21 (ガス安定化工程)にて,処理室 411内に TiClガスと H
4 ガスと Arガスを供給する。このとき, TiClガスの流量を例えば 12sccmに調整し, H
2 4
ガスの流量を例えば 4000sccmに調整し, Arガスの流量を例えば 1600sccmに調
2
整する。なお,このステップ S21の主な目的は,次のステップ S22に先立って処理室 内の処理ガスを安定化させることにある。ステップ S21の時間は例えば 0〜2秒とする
[0102] 次に,ステップ S22 (第 4の工程)にて, TiClガス, Hガス, および Arガスを,ステツ
4 2
プ S 21から同じ流量で継続して処理室 411内に供給したまま,処理室 411内に配置 したシャワーヘッド(上部電極) 420に例えば 800Wの高周波電力を印加して処理室 411内にプラズマを形成する。これによつて,第 1のチタン膜 506Aおよび TiSi膜 50 7の上に第 2の Ti膜が形成される。ステップ S22の時間は例えば 4秒とする。
[0103] 続いて,ステップ S22の状態力、ら TiClガスの供給を停止してステップ S23 (第 5の
4
工程)へ移行する。すなわち,ステップ S 23にて,処理室 411内に Hガスと Arガスを
2
ステップ S22と同じ流量で供給し,これらを処理室 411内でプラズマ化する。これによ つて,先のステップ S22にて第 1のチタン膜 506Aおよび TiSi膜 507の上に形成さ れた第 2の Ti膜がプラズマァニールされる。ステップ S23の時間は例えば 5秒とする。
[0104] 次いで,ステップ S23の状態からプラズマを消してステップ S24に移行する。このス テツプ S24は,次のサイクルが開始されるまでの待ち時間であり,この時間は例えば 1 秒とする。
[0105] 以上のステップ S21〜S24を 1サイクルとして,第 2の Ti膜 506Bが所望の膜厚に達 するまでサイクルを繰り返す。なお,ステップ S2;!〜 S24では, Arガスを処理室に導 入しないようにしてもよい。
[0106] このようにして,第 1の Ti膜形成処理と第 2の Ti膜形成処理を実行することで,第 1 の Ti膜 506Aと第 2の Ti膜 506Bが積層してなる Ti膜 506が形成される。そして,この Ti膜 506と Si表面 503の境界領域に TiSi膜 507が形成される(図 7C参照)。
[0107] (TiN膜形成処理)
次に, TiN膜形成処理について図面を参照しながら説明する。 TiN膜 508は Ti膜 5 06の上に形成されるものであり,上述のように, TiN膜 508の形成には,プラズマ SF D処理による TiN膜形成処理を適用する。このプラズマ SFD処理の具体例を図 10に 示す。
[0108] この TiN膜形成処理のステップ S31〜S36のうち,ステップ S3;!〜 S34 (ガス安定 化工程,第 6, 7の工程)は,上述の第 2の Ti膜形成処理のステップ S21〜S24と同 様の処理を行うため,ここではその詳細な説明を省略する。そして,ステップ S31〜S 34を実行することで, Ti膜 506の上に Ti膜が形成される。その後,ステップ S35 (第 8 の工程)を実行して,この Ti膜を窒化し, TiN膜を形成する。なお, TiN膜形成処理 をネ亍うにあたり,サセプタ 412に; t里め込まれているヒータ 415にヒータ電原 440力、ら電 力を供給して, Siウェハ Wの温度を例えば 450°Cに調整する。
[0109] ステップ S35では,処理室 411内に Hガス, Arガス,および NHガスを供給すると
2 3
ともに,処理室 411内に配置したシャワーヘッド(上部電極) 420に例えば 800Wの 高周波電力を印加して処理室 411内にプラズマを再び形成する。このとき Hガスの
2 流量を例えば 2000sccmに調整し, Arガスの流量を例えば 1600sccmに調整し, N Hガスの流量を例えば 1500sccmに調整する。ステップ S35の時間は例えば 2秒と
3
する。
[0110] 次のステップ S36ではプラズマを消すとともに,処理室 411内への NHガスの供給
3
を止める。 Hガスと Arガスについては,ステップ S35のときと同じ流量に調整し,これ
2
らのガスによって処理室 411内に残留している NHガスをパージする。これによつて
3
,次のサイクルのステップ S31において,処理室 411内に供給される TiClガスが残
4 留 NHガスと混合してしまうことが防止され,より良質な TiN膜を形成することができる
3
。このステップ S36の時間は例えば 2秒とする。以上のステップ S31〜S36を 1サイク ルとして, TiN膜 508が所定の膜厚に達するまでサイクルを繰り返す。
[0111] (本実施の形態にかかる成膜処理の特徴) 本実施形態にかかる成膜処理の特徴として,まず, Ti膜 506を第 1の Ti膜形成処 理と第 2の Ti膜処理の 2段階に分けて形成する点を挙げることができる。しかも,本実 施形態では第 1の Ti膜 506Aの形成と第 2の Ti膜 506Bの形成には,それぞれ異な る処理,すなわちプラズマ ALD処理とプラズマ SFD処理を適用する。
[0112] 最初にプラズマ ALD処理を実行することによって, Siウェハ Wの温度を例えば 450 °C以下に低く調整して,良質な TiSi膜 507を形成することができるとともに, Ti膜中 の C1などの不純物を確実に減らすことができる。その後,比較的高い成膜レートが得 られるプラズマ SFD処理に切り替えることによって,短時間のうちに所定の膜厚の Ti 膜 506を形成することができる。また,その際にも Siウェハ Wの温度を例えば 450°C 以下に低く調整して,サーマルバジェットを低減することができる。
[0113] 本実施形態に力、かる成膜処理の他の特徴は,第 1の Ti膜形成処理のときに処理室
411内に Arガスを導入しない点である。これによつて,成膜レートを落とすことなく,よ り良質な第 1の Ti膜 506Aと TiSi膜 507を形成することができる。
[0114] ところで,図 10に示すプラズマ SFD処理におけるステップ S33は, Ti膜に対する H
プラズマァニール処理が行われる工程である。この処理については,後のステップ S
2
35においても,プラズマ窒化処理と同時に行われる。したがって,図 10に示すプラズ マ SFD処理に代えて,図 11に示すステップ S33を省略したプラズマ SFD処理を実 施するようにしてもよい。また,図 11に示すプラズマ SFD処理におけるステップ S34 は,次のステップ S35が開始されるまでの待ち時間の位置づけではなく, Hガスと Ar
2 ガスによって,処理室 411内に残留している TiClガスをパージするための工程であ
4
る。この場合,ステップ S34の時間は例えば 2秒とする。
[0115] (プラズマ ALD処理の効果を確認した実験)
以上の特徴を有する本実施形態にかかる成膜処理から得られる効果を確認した実 験結果について図面を参照しながら説明する。まず,低温下で Ti膜を形成する場合 ,プラズマ ALD処理を採用することによって, Ti膜をシリサイド化させることができるこ とを実験によって確認した。この実験結果を図 12と図 13に示す。
[0116] 図 12は,図 6に示した膜構造を有するサンプルウェハに対して上記のプラズマ AL D処理とプラズマ SFD処理を別個に実施したときの Ti膜の膜厚を測定した結果を示 すものである。図 12に示すように,プラズマ SFD処理を実施した場合, SiO膜 (層間
2 絶縁膜 504)の上に形成された Ti膜の厚さは 9. 5nmであり, Si膜(Si表面 503)の上 に形成された Ti膜の厚さは 8. 7nmであり,それらの比は 0. 92である。つまり,プラズ マ SFD処理を実施した場合, SiO膜と Si膜の上にはほぼ同じ厚さの Ti膜が形成さ
2
れる。このことから SiO膜と Si膜の上に形成される Ti膜の膜質は同一と考えることが
2
できる。
[0117] 一方,図 12に示すように,プラズマ ALD処理を実施した場合, SiO膜 (層間絶縁
2
膜 504)の上に形成された Ti膜の厚さは 7. 4nmであり, Si膜(Si表面 503)の上に形 成された Ti膜の厚さは 23. lnmであり,それらの比は 3. 12である。つまり,プラズマ ALD処理を実施した場合, Si膜の上には SiO膜の上に比べて 3倍以上厚い Ti膜が
2
形成される。このことから Si膜の上には SiO膜に形成される Ti膜とは異なる膜質の Ti
2
膜が形成されていると考えることができる。そして,下地が Si膜であることから Ti膜が シリサイド化して体積 (膜厚)が増加して!/、ると推察できる。
[0118] 図 6に示した膜構造を有するサンプルウェハに対して上記のプラズマ ALD処理を 実施して Si膜上に形成した Ti膜がシリサイド化しているか否かを直接的に確認する ために, EDX (蛍光 X線)を用いて Si膜上の Ti膜 (Ti含有膜)の組成を分析した。そ の結果を図 13に示す。
[0119] 図 13に示すように, Si膜上の Ti含有膜における Siの割合は 81 · 38atom% (原子 数割合)であり, Tiの割合は 13· 19atom%であり, C1の割合は 0· 18atom%であり , Oの割合は 5. 25atom%である。この結果から,プラズマ ALD処理を実施して Si 膜上に形成した Ti膜はシリサイド化して, TiSi膜に変化していることがわかる。
[0120] なお,図 13に示す実験結果から,プラズマ ALD処理を実施して得られた TiSi膜 にはほとんど C1が含まれていないことがわかる。したがって,プラズマ ALD処理中に Si膜に吸着した TiClの還元が的確に行われて!/、ると判断できる。
4
[0121] (Arガスを用いな!/、Ti膜形成処理の効果を確認した実験)
次に, Arガスを用いないで第 1の Ti膜形成処理を行うことによって得られる効果を 実験によって確認した。この実験結果を図 14A,図 14B,図 15,図 16に示す。
[0122] まず,図 6に示した膜構造を有するサンプルウェハに対して, Arガスと Hガスを処 理室に導入してプラズマ還元処理を施した場合と, Hガスのみを処理室に導入して
2
プラズマ還元処理を施した場合の処理結果を比較した。図 14Aは, Arガスと Hガス
2 によるプラズマ還元処理を施したサンプルウェハの中で, Si膜と SiO膜が積層状態
2
になって!/、る部分の断面と表面の走査型電子顕微鏡(SEM)の写真を示すものであ る。また,図 14Bは, Hガスのみのプラズマ還元処理を施したサンプルウェハの中で
2
, Si膜と SiO膜が積層状態になっている部分の断面と表面の SEMの写真を示すも
2
のである。
[0123] 図 14Aと図 14Bの写真から, Hガスのみのプラズマ還元処理を施したサンプルゥ
2
ェハの方が, SiO膜の表面モホロジが良好な状態に保たれていることがわかる。この
2
実験結果から, Arガスが膜の表面に少なからずダメージを与えるおそれがあると考え ること力 Sでさる。
[0124] 図 15は,図 6に示した膜構造を有するサンプルウェハに対して,上記のプラズマ A LD処理を 300サイクル実施したときに SiO膜上に形成される Ti膜の電気的特性を
2
示している。図 15中のウェハの平面図に示されている曲線は,ウェハ面内において 抵抗値が等しいポイント同士を結ぶものであり,ウェハ面内における Ti膜の抵抗値の ばらつきが大きい領域では,各曲線の間隔が密になる。
[0125] 図 15に示すように,プラズマ ALD処理中に処理室内に Arガスを供給した場合に は, Arガスを供給しない場合に比べて曲線がウェハ面内全域で密になるため,ゥェ ハ面内の Ti膜の抵抗ばらつきが大きいことがわかる。このことは,下段に示すウェハ 面内の Ti膜の均一性の値からも明らかであり, Arガスなしの場合, 2. 1 %であるのに 対して, Arガスありの場合, 90. 4%という極めて大きい抵抗ばらつきを示している。
[0126] また,図 15に示すように, Arガスなしの場合,ウェハの表面抵抗が 270 Ω /sq.で あり,比抵抗が 209 Ω 'cmであるのに対して, Arガスありの場合,ウェハの表面抵 抗が 1306 Q /sq.であり,比抵抗が 1208〃 Ω 'cmである。処理室内に Arガスが供 給されると Ti膜の各抵抗値が上昇してしまうことがわかる。
[0127] 以上のように, Ti膜の抵抗値の面内均一性,表面抵抗,および比抵抗のすべての 点において, Arガスありの場合は Arガスなしの場合に比べて特性が劣ることがわか る。この実験結果から, Arガスありの場合には, Arが Ti膜そのものや下地の Si膜や S iO膜にダメージを与えて,良質な Ti膜が形成されなくなると考えることができる。例
2
えば, Arが SiO膜に衝突して, Oが放出され,その O力 STiと結合して Ti膜の一部が
2
TiO膜 (酸化チタン膜)になる可能性がある。 TiO膜は金属である Ti膜に比べて抵
X X
抗値が高くなるため,図 15に示したような電気的特性が測定されることになる。
[0128] 図 16は, 450°Cに温度調整したサンプルウェハに対して上記のプラズマ ALD処理 による Ti膜形成処理を実施したときに SiO膜上に形成される Ti膜の膜厚と膜形成処
2
理のサイクル数との関係を示している。図 16のグラフにおいて, Arガスを処理室に導 入しな!/、場合の処理結果にっレ、ては白丸で表し, Arガスを処理室に導入した場合 の処理結果にっレ、ては黒丸で表してレ、る。
[0129] この図 16から明らかなように,サイクル数が約 300回以下のときには, Arガスを用 いない方が同じサイクル数でも厚く Ti膜を形成することができる。換言すれば,短時 間で所望の膜厚の第 1の Ti膜 506Aを形成することができる。これに対して,サイクル 数が約 300回を上回ると, Arガスを用いたほうが Ti膜の成膜レートが高くなる。ただ し,実際には,第 1の Ti膜 506Aは,極めて薄 例えば 2nm)形成されるため,サイク ル数が約 300回以下の領域だけを注目すれば足りる。
[0130] このように, Arガスの有無によって Ti膜の成膜レートに差が生じるという図 16の結 果から,特に Ti膜の形成初期段階において, Arが Ti膜の成膜レートを低下させる原 因になり得ると考えられる。したがって,成膜レートを低下させないためにも,プラズマ ALD処理を実施して!/、る間は,処理室に Arガスを導入しな!/、ことが好まし!/、。
[0131] 以上のように,本実施形態にかかる成膜処理によれば,低温下であっても C1などの 不純物の混入が極めて少ない Ti膜 506および TiN膜 508を形成することができる。 また, Ti膜 506を形成する際に,低温下での TiSi膜の形成が可能なプラズマ ALD 処理と,成膜レートの高いプラズマ SFD処理を併用するため,良質な TiSi膜 507を 得ることができるとともに, Ti膜 506の成膜に力、かる時間を短くすることができる。さら に,プラズマ ALD処理では,処理室内に Arガスを導入しないため, Ti膜 506や TiSi 膜 507の膜質の一層の向上が図られる。
[0132] なお,上記各処理室 104A〜; 104Dの構成は図 4に示すものに限られるものではな い。例えば各処理室 104A〜104Dのうちのどの処理室を COR処理室, PHT処理 室, Ti膜 'TiN膜形成処理室として構成してもよい。したがって, Siウェハ Wの搬送順 序も,各処理室 104A〜; 104Dのうちの COR処理室, PHT処理室, Ti膜 'TiN膜形 成処理室の順に搬送すれば,必ずしも各処理室 104A〜; 104Cの順でなくてもよい。
[0133] また,本実施形態では,処理室 104Cにて Ti膜形成処理と TiN膜形成処理を連続 して行う場合に即して構成および作用を説明したが,各処理を個別の処理室で行う ようにしてもよい。例えば,処理室 104Cにて Ti膜形成処理を行い,処理室 104Dに て TiN膜形成処理を行うようにしてもよい。
[0134] 上記実施形態により詳述した本発明については,複数の機器力 構成されるシス テムに適用しても, 1つの機器からなる装置に適用してもよい。上述した実施形態の 機能を実現するソフトウェアのプログラムを記憶した記憶媒体等の媒体をシステム或 いは装置に供給し,そのシステム或!/、は装置のコンピュータほたは CPUや MPU) が記憶媒体等の媒体に格納されたプログラムを読み出して実行することによつても, 本発明が達成されることは言うまでもない。
[0135] この場合,記憶媒体等の媒体から読み出されたプログラム自体が上述した実施形 態の機能を実現することになり,そのプログラムを記憶した記憶媒体等の媒体は本発 明を構成することになる。プログラムを供給するための記憶媒体等の媒体としては, 例えば,フロッピー(登録商標)ディスク,ハードディスク,光ディスク,光磁気ディスク , CD-ROM, CD-R, CD-RW, DVD-ROM, DVD -RAM, DVD-RW, DVD + RW,磁気テープ,不揮発性のメモリカード, ROM,或いはネットワークを介 したダウンロードなどを用いることができる。
[0136] なお,コンピュータが読み出したプログラムを実行することにより,上述した実施形 態の機能が実現されるだけでなく,そのプログラムの指示に基づき,コンピュータ上で 稼動して!/、る OSなどが実際の処理の一部または全部を行!/、,その処理によって上 述した実施形態の機能が実現される場合も,本発明に含まれる。
[0137] さらに,記憶媒体等の媒体から読み出されたプログラムが,コンピュータに揷入され た機能拡張ボードやコンピュータに接続された機能拡張ユニットに備わるメモリに書 き込まれた後,そのプログラムの指示に基づき,その機能拡張ボードや機能拡張ュ ニットに備わる CPUなどが実際の処理の一部または全部を行!/、,その処理によって 上述した実施形態の機能が実現される場合も,本発明に含まれる。
[0138] 以上,添付図面を参照しながら本発明の好適な実施形態について説明したが,本 発明は係る例に限定されないことは言うまでもない。当業者であれば,特許請求の範 囲に記載された範疇内において,各種の変更例または修正例に想到し得ることは明 らかであり,それらについても当然に本発明の技術的範囲に属するものと了解される
[0139] 例えば,上記実施形態においては, Si含有表面として Siウェハの Si表面上に TiSi 膜を形成する場合について説明したが,本発明はこれに限られるものではなく, Si含 有表面として Siウェハ上に形成された CoSiや NiSiなどの金属シリサイド膜上やポリ
2
シリコン (poly— Si)膜上に TiSi膜を形成するようにしてもょレ、。
[0140] また,上記実施形態においては,チタン化合物ガスとして TiClガスを用いた場合
4
を例に挙げて説明したが,これに限定されるものではなく,他のチタン化合物ガスを 採用してもよい。例えば有機チタンとして TDMAT (ジメチルァミノチタニウム), TDE AT (ジェチルァミノチタン)等を用いることもできる。
産業上の利用可能性
[0141] 本発明は,半導体ウェハ, FPD (Flat Panel Display)基板などの被処理基板 上に所定の膜を成膜する成膜方法および基板処理装置に適用可能である。

Claims

請求の範囲
[1] 処理室内にて被処理基板にチタン膜またはチタン化合物膜を形成する成膜方法で あって,
前記被処理基板にチタンシリサイド膜を形成するチタンシリサイド膜形成工程と, 前記チタンシリサイド膜上にチタン膜を形成するチタン膜形成工程と,を有し, 前記チタンシリサイド膜形成工程では,
チタン化合物ガスを前記処理室に導入して前記チタン化合物ガスを前記被処理基 板の表面に吸着させる第 1の工程と,
前記チタン化合物ガスの前記処理室への導入を停止して,前記処理室内に残留し ている前記チタン化合物ガスを除去する第 2の工程と,
水素ガスを前記処理室に導入しつつ前記処理室内にプラズマを生成して,前記被 処理基板のシリコン含有表面に吸着させた前記チタン化合物ガスを還元するとともに 前記シリコン含有表面のシリコンと反応させチタンシリサイド膜を形成する第 3の工程 と,
を複数回繰り返し,
前記チタン膜形成工程では,
前記チタン化合物ガスと前記水素ガスを前記処理室に導入しつつ前記処理室内 にプラズマを生成して前記被処理基板上にチタン膜を形成する第 4の工程と, 前記プラズマを維持しつつ前記チタン化合物ガスの前記処理室への導入を停止し て,前記チタン膜をプラズマァニールする第 5の工程と,
を複数回繰り返すことを特徴とする成膜方法。
[2] 前記第 3の工程ではアルゴンガスを前記処理室に導入しな!/、ことを特徴とする請求 項 1に記載の成膜方法。
[3] 前記チタンシリサイド膜形成工程および前記チタン膜形成工程では,前記被処理基 板の温度を 450°C以下に調整することを特徴とする請求項 1に記載の成膜方法。
[4] 前記第 1の工程では前記チタン化合物ガスとともに水素ガスを前記処理室に導入し, 前記第 2の工程では前記水素ガスを継続して前記処理室に導入することを特徴と する請求項 1に記載の成膜方法。
[5] 前記チタン膜形成工程において,少なくとも前記処理室内にプラズマを形成する期 間では前記処理室にアルゴンガスを導入することを特徴とする請求項 1に記載の成 膜方法。
[6] さらに,前記チタン膜上に窒化チタン膜を形成する窒化チタン膜形成工程を有し, 前記窒化チタン膜形成工程では,
前記チタン化合物ガスと前記水素ガスを前記処理室に導入しつつ前記処理室内 にプラズマを生成して前記被処理基板上にチタン膜を形成する第 6の工程と, 前記チタン化合物ガスの前記処理室への導入を停止して,前記処理室内に残留し ている前記チタン化合物ガスを除去する第 7の工程と,
前記窒素化合物ガスを前記処理室に導入しつつ前記処理室内にプラズマを生成 して前記チタン膜を窒化する第 8の工程と,
を複数回繰り返すことを特徴とする請求項 1に記載の成膜方法。
[7] 前記窒化チタン膜形成工程では,前記被処理基板の温度を 450°C以下に調整する ことを特徴とする,請求項 6に記載の成膜方法。
[8] 前記窒化チタン膜形成工程において,少なくとも前記処理室内にプラズマを形成す る期間では前記処理室にアルゴンガスを導入することを特徴とする請求項 6に記載 の成膜方法。
[9] 前記チタン化合物ガスは, TiClガスであることを特徴とする請求項 1に記載の成膜
4
方法。
[10] 前記窒素化合物ガスは, NHガスであることを特徴とする請求項 1に記載の成膜方
3
法。
[11] 被処理基板に対して膜形成処理を施す処理室と,
前記処理室内に少なくともチタン化合物ガス,還元ガス,およびアルゴンガスを選 択的に供給するガス供給手段と,
前記処理室内にプラズマを生成するプラズマ生成手段と,
前記処理室内に載置された前記被処理基板の温度を調整する温度調整手段と, 前記ガス供給手段,前記プラズマ生成手段,および前記温度調整手段の動作を制 御する制御部と,を備えた基板処理装置であって, 前記制御部は,
前記被処理基板にチタンシリサイド膜を形成するチタンシリサイド膜形成処理として チタン化合物ガスを前記処理室に導入して前記チタン化合物ガスを前記被処理基 板の表面に吸着させる第 1の工程と,
前記チタン化合物ガスの前記処理室への導入を停止して,前記処理室内に残留し ている前記チタン化合物ガスを除去する第 2の工程と,
水素ガスを前記処理室に導入しつつ前記処理室内にプラズマを生成して,前記被 処理基板のシリコン含有表面に吸着させた前記チタン化合物ガスを還元するとともに 前記シリコン含有表面のシリコンと反応させチタンシリサイド膜を形成する第 3の工程 と,
を複数回繰り返し,
前記チタンシリサイド膜上にチタン膜を形成するチタン膜形成処理として, 前記チタン化合物ガスと前記水素ガスを前記処理室に導入しつつ前記処理室内 にプラズマを生成して前記被処理基板上にチタン膜を形成する第 4の工程と, 前記プラズマを維持しつつ前記チタン化合物ガスの前記処理室への導入を停止し て,前記チタン膜をプラズマァニールする第 5の工程と,
を複数回繰り返すことを特徴とする基板処理装置。
前記ガス供給手段は,前記処理室内にさらに窒素化合物ガスを選択的に供給するも のであり,
前記制御部は,前記チタン膜上に窒化チタン膜を形成する窒化チタン膜形成処理 として,
前記チタン化合物ガスと前記水素ガスを前記処理室に導入しつつ前記処理室内 にプラズマを生成して前記被処理基板上にチタン膜を形成する第 6の工程と, 前記チタン化合物ガスの前記処理室への導入を停止して,前記処理室内に残留し ている前記チタン化合物ガスを除去する第 7の工程と,
前記窒素化合物ガスを前記処理室に導入しつつ前記処理室内にプラズマを生成 して前記チタン膜を窒化する第 8の工程と, を複数回繰り返すことを特徴とする請求項 11に記載の基板処理装置。
PCT/JP2007/065433 2006-10-30 2007-08-07 Procédé de dépôt de film et appareillage pour traiter des substrats WO2008053625A1 (fr)

Priority Applications (3)

Application Number Priority Date Filing Date Title
CN2007800009536A CN101346802B (zh) 2006-10-30 2007-08-07 成膜方法和基板处理装置
KR1020097007662A KR101163277B1 (ko) 2006-10-30 2007-08-07 성막 방법 및 기판 처리 장치
US12/445,813 US7981794B2 (en) 2006-10-30 2007-08-07 Film forming method and substrate processing apparatus

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2006293938A JP5207615B2 (ja) 2006-10-30 2006-10-30 成膜方法および基板処理装置
JP2006-293938 2006-10-30

Publications (1)

Publication Number Publication Date
WO2008053625A1 true WO2008053625A1 (fr) 2008-05-08

Family

ID=39343976

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2007/065433 WO2008053625A1 (fr) 2006-10-30 2007-08-07 Procédé de dépôt de film et appareillage pour traiter des substrats

Country Status (6)

Country Link
US (1) US7981794B2 (ja)
JP (1) JP5207615B2 (ja)
KR (1) KR101163277B1 (ja)
CN (1) CN101346802B (ja)
TW (1) TWI464790B (ja)
WO (1) WO2008053625A1 (ja)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5374039B2 (ja) * 2007-12-27 2013-12-25 東京エレクトロン株式会社 基板処理方法、基板処理装置及び記憶媒体
JP2010016136A (ja) * 2008-07-02 2010-01-21 Tokyo Electron Ltd 薄膜の成膜方法及び成膜装置
KR101108879B1 (ko) 2009-08-31 2012-01-30 주식회사 원익아이피에스 가스분사장치 및 이를 이용한 기판처리장치
KR101625078B1 (ko) * 2009-09-02 2016-05-27 주식회사 원익아이피에스 가스분사장치 및 이를 이용한 기판처리장치
JP5358366B2 (ja) * 2009-09-14 2013-12-04 東京エレクトロン株式会社 基板処理装置及び方法
CN102383106B (zh) * 2010-09-03 2013-12-25 甘志银 快速清除残余反应气体的金属有机物化学气相沉积反应腔体
JP5725454B2 (ja) * 2011-03-25 2015-05-27 株式会社アルバック NiSi膜の形成方法、シリサイド膜の形成方法、シリサイドアニール用金属膜の形成方法、真空処理装置、及び成膜装置
US8785310B2 (en) 2012-01-27 2014-07-22 Tokyo Electron Limited Method of forming conformal metal silicide films
FR2997420B1 (fr) * 2012-10-26 2017-02-24 Commissariat Energie Atomique Procede de croissance d'au moins un nanofil a partir d'une couche d'un metal de transition nitrure obtenue en deux etapes
JP5971870B2 (ja) * 2013-11-29 2016-08-17 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び記録媒体
JP6426893B2 (ja) * 2013-12-25 2018-11-21 東京エレクトロン株式会社 コンタクト層の形成方法
US10029217B2 (en) 2015-05-22 2018-07-24 Chevron U.S.A. Inc. Methods of making crosslinked membranes utilizing an inert gas atmosphere
JP2017022302A (ja) * 2015-07-14 2017-01-26 ルネサスエレクトロニクス株式会社 半導体装置の製造方法および半導体装置
US11217672B2 (en) * 2019-08-30 2022-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a source/drain
CN110729166A (zh) * 2019-09-23 2020-01-24 长江存储科技有限责任公司 存储器的制作设备及制作方法
KR20210040231A (ko) 2019-10-02 2021-04-13 삼성전자주식회사 반도체 장치
JP7023308B2 (ja) * 2020-03-19 2022-02-21 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、プログラムおよび基板処理方法
CN111524778B (zh) * 2020-04-24 2023-06-16 北京北方华创微电子装备有限公司 气体输运管路、控制气体输运的方法及半导体设备
US20220319991A1 (en) * 2021-03-31 2022-10-06 Nanya Technology Corporation Semiconductor device with dual barrier layers and method for fabricating the same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04196418A (ja) * 1990-11-28 1992-07-16 Fujitsu Ltd 半導体装置の製造方法
JPH05136087A (ja) * 1991-11-14 1993-06-01 Fujitsu Ltd 膜成長方法と膜成長装置
JPH08176823A (ja) * 1994-12-26 1996-07-09 Sony Corp 高融点金属薄膜の成膜方法

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4196418B2 (ja) 1997-09-05 2008-12-17 Dic株式会社 顔料組成物並びにこれを用いた分散液及びカラーフィルター用カラーレジスト
US6548402B2 (en) * 1999-06-11 2003-04-15 Applied Materials, Inc. Method of depositing a thick titanium nitride film
US6524952B1 (en) * 1999-06-25 2003-02-25 Applied Materials, Inc. Method of forming a titanium silicide layer on a substrate
KR20010007527A (ko) * 1999-06-25 2001-01-26 조셉 제이. 스위니 반도체 장치내에 실리사이드를 형성하는 방법 및 이를이용한 프로세서 판독가능 저장매체
KR100760291B1 (ko) * 2001-11-08 2007-09-19 에이에스엠지니텍코리아 주식회사 박막 형성 방법
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
JP3574651B2 (ja) * 2002-12-05 2004-10-06 東京エレクトロン株式会社 成膜方法および成膜装置
KR100538806B1 (ko) * 2003-02-21 2005-12-26 주식회사 하이닉스반도체 에피택셜 c49상의 티타늄실리사이드막을 갖는 반도체소자 및 그 제조 방법
JP4361747B2 (ja) * 2003-03-04 2009-11-11 東京エレクトロン株式会社 薄膜の形成方法
KR100884852B1 (ko) * 2003-08-11 2009-02-23 도쿄엘렉트론가부시키가이샤 성막 방법
KR100591157B1 (ko) * 2004-06-07 2006-06-19 동부일렉트로닉스 주식회사 반도체 소자의 제조방법
KR100604089B1 (ko) * 2004-12-31 2006-07-24 주식회사 아이피에스 In-situ 박막증착방법

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04196418A (ja) * 1990-11-28 1992-07-16 Fujitsu Ltd 半導体装置の製造方法
JPH05136087A (ja) * 1991-11-14 1993-06-01 Fujitsu Ltd 膜成長方法と膜成長装置
JPH08176823A (ja) * 1994-12-26 1996-07-09 Sony Corp 高融点金属薄膜の成膜方法

Also Published As

Publication number Publication date
TWI464790B (zh) 2014-12-11
TW200837813A (en) 2008-09-16
CN101346802B (zh) 2010-06-02
CN101346802A (zh) 2009-01-14
US20100304561A1 (en) 2010-12-02
JP5207615B2 (ja) 2013-06-12
US7981794B2 (en) 2011-07-19
JP2008112803A (ja) 2008-05-15
KR20090069298A (ko) 2009-06-30
KR101163277B1 (ko) 2012-07-05

Similar Documents

Publication Publication Date Title
JP5207615B2 (ja) 成膜方法および基板処理装置
JP5046506B2 (ja) 基板処理装置,基板処理方法,プログラム,プログラムを記録した記録媒体
US7737005B2 (en) Method for forming Ti film and TiN film, contact structure, computer readable storing medium and computer program
US20050233093A1 (en) Film formation method and apparatus utilizing plasma CVD
US20120171863A1 (en) Metal silicide film forming method
KR20170128123A (ko) 코발트에 대한 망간 배리어 층 및 접착 층
KR101061633B1 (ko) 금속막 성막 방법 및 컴퓨터 판독 가능한 기록 매체
EP1892752A1 (en) Method of film formation and computer-readable storage medium
KR101102739B1 (ko) 성막 방법, 기판 처리 장치, 및 반도체 장치
US7846839B2 (en) Film forming method, semiconductor device manufacturing method, semiconductor device, program and recording medium
JP6584326B2 (ja) Cu配線の製造方法
KR20180034265A (ko) 니켈 배선의 제조 방법
WO2022080153A1 (ja) 基板処理方法および基板処理装置
WO2008042691A2 (en) Processing system containing a hot filament hydrogen radical source for integrated substrate processing
TWI515326B (zh) Film forming method and plasma film forming device
WO2024070685A1 (ja) 成膜方法、成膜装置、および成膜システム
JP2004225162A (ja) 成膜方法

Legal Events

Date Code Title Description
WWE Wipo information: entry into national phase

Ref document number: 200780000953.6

Country of ref document: CN

121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 07792102

Country of ref document: EP

Kind code of ref document: A1

WWE Wipo information: entry into national phase

Ref document number: 1020097007662

Country of ref document: KR

WWE Wipo information: entry into national phase

Ref document number: 12445813

Country of ref document: US

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 07792102

Country of ref document: EP

Kind code of ref document: A1