KR102646828B1 - 저-k 막들의 증착을 위한 방법 및 장치 - Google Patents

저-k 막들의 증착을 위한 방법 및 장치 Download PDF

Info

Publication number
KR102646828B1
KR102646828B1 KR1020187020265A KR20187020265A KR102646828B1 KR 102646828 B1 KR102646828 B1 KR 102646828B1 KR 1020187020265 A KR1020187020265 A KR 1020187020265A KR 20187020265 A KR20187020265 A KR 20187020265A KR 102646828 B1 KR102646828 B1 KR 102646828B1
Authority
KR
South Korea
Prior art keywords
film
substrate
chamber
annealed
processing chamber
Prior art date
Application number
KR1020187020265A
Other languages
English (en)
Other versions
KR20190130466A (ko
Inventor
닝 리
제린 선
미하엘 발시누
리-춘 시아
바스카 죠티 부얀
마크 살리
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20190130466A publication Critical patent/KR20190130466A/ko
Application granted granted Critical
Publication of KR102646828B1 publication Critical patent/KR102646828B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Deposition Of Substances That Are Components Of Semiconductor Devices (AREA)

Abstract

표면 상에 등각적 SiOC 막을 형성하기 위한 방법들 및 장치가 설명된다. SiCN 막이 기판 표면 상에 형성되고, 그리고 질소 함유량을 감소시키고, 산소 함유량을 증가시키고, 탄소 함유량을 대략 동일하게 유지하기 위해, 스팀 어닐링 프로세스에 노출된다. 어닐링된 막은 막의 유전 상수 또는 습식 에칭 레이트 중 하나 또는 그 초과를 갖는다.

Description

저-K 막들의 증착을 위한 방법 및 장치
[0001] 본 개시내용은 일반적으로, 박막들을 증착하기 위한 장치 및 방법들에 관한 것이다. 특히, 본 개시내용은 스팀 어닐링을 사용하여 막을 선택적으로 증착하기 위한 방법들에 관한 것이다.
[0002] 집적 회로들은 기판 표면들 상에 복잡하게 패터닝된 재료 층들을 생성하는 프로세스들에 의해 가능하게 된다. 기판 상에 패터닝된 재료들을 생성하는 것은 재료 층들의 증착 및 제거를 위한 제어되는 방법들을 요구한다. 현대의 반도체 제조 프로세싱은 프로세스 단계들 사이에 에어 브레이크(air break)들 없이 막들을 집적하는 것에 점점 더 중점을 두고 있다. 그러한 요건은 단일 툴로의 다양한 프로세스 챔버들의 집적을 가능하게 해야 하는 난제를 장비 제조자들에게 제기한다.
[0003] 박막들의 증착에 대해 대중적이게 된 하나의 프로세스는 원자 층 증착(ALD)이다. 원자 층 증착은, 기판이 기판 표면에 화학흡착하는 전구체에 노출된 후에, 화학흡착된 전구체와 반응물이 반응하게 되는 방법이다. ALD 프로세스들은 자기-제한적이고, 막 두께들의 분자 레벨 제어를 제공할 수 있다. 그러나, ALD 프로세싱은, 전구체들 및 반응물들에 대한 노출들 사이에 반응 챔버를 퍼지(purge)해야 할 필요가 있기 때문에, 시간 소모적일 수 있다.
[0004] 선택적인 증착 프로세스들이 더 빈번하게 채용되고 있는데, 이는 반도체들에 대한 패터닝 애플리케이션들이 필요하기 때문이다. 종래에, 마이크로일렉트로닉스 산업에서 패터닝은 다양한 리소그래피 및 에칭 프로세스들을 사용하여 달성되어 왔다. 그러나, 리소그래피가 기하급수적으로 복잡하게 되고 비용이 많이 들게 되고 있기 때문에, 피처(feature)들을 증착하기 위한 선택적인 증착의 사용이 훨씬 더 매력적이게 되고 있다.
[0005] 디바이스 사이즈들이 10 nm 체제 미만으로 계속 감소되고 있기 때문에, 포토리소그래피 기술을 사용하는 종래의 패터닝 프로세스들은 더 어렵게 되고 있다. 정밀하지 않은 패터닝 및 저하되는 디바이스 성능은 더 작은 디바이스 사이즈들에서 더 만연하다. 부가적으로, 다수의 패터닝 기술들이 또한, 제작 프로세스들을 더 복잡하고 더 고가이게 만든다.
[0006] 따라서, 다른 표면에 비하여 선택적으로 하나의 표면 상에 막을 선택적으로 증착하기 위한 장치 및 방법들이 본 기술분야에 필요하다.
[0007] 본 개시내용의 하나 또는 그 초과의 실시예들은 막을 증착하는 방법들에 관한 것이다. 복수의 피처들이 내측에 형성된 기판 표면을 갖는 기판이 제공된다. 각각의 피처는 기판 표면으로부터 일정 거리만큼 연장되고, 하단 및 적어도 하나의 측벽을 갖는다. 등각적 막이 기판 표면 상에 형성된다. 등각적 막은 증가된 산소 함유량을 갖는 어닐링된 등각적 막을 형성하기 위해 스팀 어닐링에 노출된다.
[0008] 본 개시내용의 부가적인 실시예들은 막을 증착하는 방법들에 관한 것이다. 복수의 피처들이 내측에 형성된 기판 표면을 갖는 기판이 제공된다. 각각의 피처는 기판 표면으로부터 일정 거리만큼 연장되고, 하단 및 적어도 하나의 측벽을 갖는다. 비스(트리클로로실릴)메탄을 포함하는 실리콘 전구체 및 암모니아를 포함하는 반응물에 기판을 순차적으로 노출시킴으로써, 원자 층 증착 챔버에서 기판 표면 상에 등각적 막이 형성된다. 등각적 막은 초기 탄소 함유량, 초기 산소 함유량, 및 초기 질소 함유량을 갖는다. 기판은 원자 층 증착 챔버로부터 어닐링 챔버로 이동된다. 등각적 막은, 약 100 Å 내지 약 140 Å의 범위의 두께를 갖는 어닐링된 등각적 막을 형성하기 위해, 약 300 ℃ 내지 약 500 ℃의 범위의 온도 및 약 585 토르의 물의 부분 압력으로 스팀 어닐링에 노출된다. 어닐링된 등각적 막은 어닐링된 탄소 함유량, 어닐링된 산소 함유량, 및 어닐링된 질소 함유량을 갖는다. 어닐링된 질소 함유량은 초기 질소 함유량보다 더 낮다. 어닐링된 산소 함유량은 초기 산소 함유량보다 더 많다. 어닐링된 탄소 함유량은 초기 탄소 함유량의 ± 10 % 내에 있다. 어닐링된 등각적 막은 제1의 1분 동안 약 20 Å/분 미만인 희석된 HF에서의 습식 에칭 레이트, 약 1.5 x 10-7 A/cm2과 동일한 또는 그 미만의 누설 전류, 및 5 미만의 유전 상수를 갖는다.
[0009] 본 개시내용의 추가적인 실시예들은 중앙 이송 스테이션, 배치 프로세싱 챔버, 스팀 어닐링 챔버, 및 제어기를 포함하는 프로세싱 플랫폼들에 관한 것이다. 중앙 이송 스테이션은 복수의 측면들을 포함하고, 중앙 이송 스테이션에 포지셔닝된(positioned) 로봇을 갖는다. 배치 프로세싱 챔버는 중앙 이송 스테이션의 측면에 연결된다. 스팀 어닐링 챔버는 중앙 이송 스테이션의 측면에 연결된다. 제어기는 중앙 이송 스테이션, 배치 프로세싱 챔버, 및 스팀 어닐링 챔버에 커플링된다. 제어기는, 배치 프로세싱 챔버로 기판을 이동시키기 위한 제1 구성, 배치 프로세싱 챔버에서 기판 상에 막을 증착하기 위해, 배치 프로세싱 챔버에 복수의 프로세스 가스들을 제공하기 위한 제2 구성, 배치 프로세싱 챔버로부터 스팀 어닐링 챔버로 기판을 이동시키기 위한 제3 구성, 스팀 어닐링 챔버를 어닐링 온도로 가열하기 위한 제4 구성, 스팀 어닐링 챔버에 수증기의 유동을 제공하기 위한 제5 구성, 또는 스팀 어닐링 챔버로부터 기판을 제거하기 위한 제6 구성으로부터 선택되는 하나 또는 그 초과의 구성들을 갖는다.
[0010] 본 발명의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 발명의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 실시예들을 예시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0011] 도 1은 본 개시내용의 하나 또는 그 초과의 실시예에 따른 프로세싱 플랫폼의 개략도를 도시한다.
[0012] 도 2는 본 개시내용의 하나 또는 그 초과의 실시예에 따른 배치 프로세싱 챔버의 단면도를 도시한다.
[0013] 도 3은 본 개시내용의 하나 또는 그 초과의 실시예에 따른 배치 프로세싱 챔버의 부분적인 투시도를 도시한다.
[0014] 도 4는 본 개시내용의 하나 또는 그 초과의 실시예에 따른 배치 프로세싱 챔버의 개략도를 도시한다.
[0015] 도 5는 본 개시내용의 하나 또는 그 초과의 실시예에 따른, 배치 프로세싱 챔버에서 사용하기 위한 웨지 형상 가스 분배 조립체의 일부의 개략도를 도시한다.
[0016] 도 6은 본 개시내용의 하나 또는 그 초과의 실시예에 따른 배치 프로세싱 챔버의 개략도를 도시한다.
[0017] 도 7a 내지 도 7c는 본 개시내용의 하나 또는 그 초과의 실시예에 따른 선택적인 증착 방법의 개략적인 표현을 도시한다.
[0018] 도 8a 내지 도 8c는 본 개시내용의 하나 또는 그 초과의 실시예에 따른 등각적 증착 방법의 개략적인 표현을 도시한다.
[0019] 첨부된 도면들에서, 유사한 컴포넌트들 및/또는 피처들은 동일한 참조 라벨을 가질 수 있다. 추가로, 동일한 타입의 다양한 컴포넌트들은 대시 및 제2 라벨이 후속된 참조 라벨에 의해 구별될 수 있으며, 그 제2 라벨은 유사한 컴포넌트들을 구별한다. 본 명세서에서 제1 참조 라벨만이 사용된 경우에, 설명은 제2 참조 라벨과 무관하게, 동일한 제1 참조 라벨을 갖는 유사한 컴포넌트들 중 어떠한 컴포넌트에도 적용가능하다.
[0020] 본 발명의 여러 예시적인 실시예들을 설명하기 전에, 본 발명이 다음의 설명에서 제시되는 구성 또는 프로세스 단계들의 세부사항들로 제한되지 않는다는 것이 이해되어야 한다. 본 발명은 다른 실시예들에 대한 가능성이 있고, 다양한 방식들로 실시 또는 수행되는 것이 가능하다.
[0021] 본원에서 사용되는 바와 같은 “웨이퍼” 또는 "기판"은 제작 프로세스 동안에 막 프로세싱이 수행되는 임의의 기판 또는 기판 상에 형성된 재료 표면을 지칭한다. 예컨대, 프로세싱이 수행될 수 있는 기판 표면은, 애플리케이션에 따라, 재료들, 이를테면 실리콘, 실리콘 산화물, 스트레인드 실리콘(strained silicon), SOI(silicon on insulator), 탄소 도핑된 실리콘 산화물들, 비정질 실리콘, 도핑된 실리콘, 게르마늄, 갈륨 비소, 유리, 사파이어, 및 임의의 다른 재료들, 이를테면 금속들, 금속 질화물들, 금속 합금들, 및 다른 전도성 재료들을 포함한다. 기판들은, 제한되지 않게, 반도체 웨이퍼들을 포함한다. 기판들은 기판 표면을 폴리싱하고, 에칭하고, 환원시키고, 산화하고, 수산화하고, 어닐링하고, UV 경화시키고, e-빔 경화시키고, 그리고/또는 베이킹하기 위한 전처리 프로세스에 노출될 수 있다. 기판 그 자체의 표면에 대해 직접적인 막 프로세싱에 부가하여, 본 발명에서, 개시되는 막 프로세싱 단계들 중 임의의 단계는 또한, 아래에서 더 상세히 개시되는 바와 같이 기판 상에 형성된 하층에 대해 수행될 수 있고, "기판 표면"이라는 용어는, 문맥상 표시되는 바와 같이, 그러한 하층을 포함하도록 의도된다. 따라서, 예컨대, 막/층 또는 부분적인 막/층이 기판 표면 상에 증착된 경우에, 새롭게 증착된 막/층의 노출된 표면이 기판 표면이 된다.
[0022] 저-k 막들의 선택적인 증착은 10 nm 이상의 반도체 제작 프로세스들에 대해 매력적인 기술이다. 다른 잠재적인 애플리케이션들 중에서, 게이트와 소스/드레인 콘택들 사이의 기생 캐패시턴스들을 감소시키는 데 사용되는 저-k 스페이서 층들이 매우 요구된다. 선택적인 증착은, 막 성장이 기판 표면의 타입에 기초하여 선택적인 것을 의미하는데, 예컨대, 특정한 증착 시간 또는 목표 두께 내에서, 막이 실리콘 산화물 표면 상에서는 성장되지 않으면서 베어(bare) Si 표면 상에 성장될 수 있다. 선택적인 증착은 패턴 구조 상의 층을 획득하기 위한 일부 프로세스 단계들(예컨대, 포토리소그래피, 에칭)을 피하거나 또는 감소시킬 수 있다. 일반적으로, 선택적인 증착은 열 증착 프로세스들을 사용하는데, 이는 3D 구조들 상의 증착 등각성을 달성하기 용이하고, 표면 반응 선택성을 저하시키는 반응성 플라즈마 종의 사용을 피하기 용이하다. 그러나, 열 증착 프로세스들, 특히 550 ℃ 미만의 온도 제한들을 갖는 열 증착 프로세스들은 합당한 특성들, 공기 안정성(air stability), 습식 에칭 레이트, k 값 등을 갖는 막들을 제조하는 것이 거의 가능하지 않다. 따라서, 본 개시내용의 일부 실시예들은 유리하게, SiO2 표면에 비하여 Si 상에 선택적으로 막을 증착하는 방법들을 제공한다. 일부 실시예들은 유리하게, 저 습식 에칭 레이트들을 갖는 막들을 증착하는 방법들을 제공한다. 일부 실시예들은 유리하게, 저-k 값들을 갖는 막들을 증착하는 방법들을 제공한다.
[0023] 본 개시내용의 하나 또는 그 초과의 실시예들은, 표면 종단 화학 기들에 기초하여, 프로세싱 웨이퍼의 특정한 영역들 상에 선택적으로 유전체 막들을 형성하는 방법들을 제공한다. 원자 층 증착(ALD) 막 성장은 배치 프로세싱 챔버 내의 공간적 ALD 또는 종래의 시간-도메인 프로세싱에 의해 이루어질 수 있다.
[0024] 본 개시내용의 일부 실시예들은 기판 상에 등각적 막들을 형성하는 방법들을 제공한다. 등각적 막은 기판의 표면들 중 일부 또는 전부 상에 증착될 수 있다. 예컨대, 등각적 막은 적어도 하나의 표면 피처(예컨대, 트렌치 또는 비아)를 갖는 기판 상에 증착될 수 있다. 등각적 막은 피처의 상단에서 피처의 하단과 동일한 두께를 갖는다. 일부 실시예들에서, 막의 등각성은 피처의 하단에서의 두께에 대한 피처의 상단에서의 두께로서 측정되고, 그리고 약 90 %, 91 %, 92 %, 93 %, 94 %,95 %, 96 %, 97 %, 또는 98 %를 초과하거나 또는 그와 동일하다.
[0025] 도 1은 본 개시내용의 하나 또는 그 초과의 실시예에 따른 프로세싱 플랫폼(100)을 도시한다. 도 1에서 도시된 실시예는 단지, 하나의 가능한 구성을 표현할 뿐이며, 본 개시내용의 범위를 제한하는 것으로 취해지지 않아야 한다. 예컨대, 일부 실시예들에서, 프로세싱 플랫폼(100)은 상이한 개수의 프로세스 챔버들, 버퍼 챔버들, 및 로봇 구성들을 갖는다.
[0026] 프로세싱 플랫폼(100)은 복수의 측면들(111, 112, 113, 114, 115, 116)을 갖는 중앙 이송 스테이션(110)을 포함한다. 도시된 이송 스테이션(110)은 제1 측면(111), 제2 측면(112), 제3 측면(113), 제4 측면(114), 제5 측면(115), 및 제6 측면(116)을 갖는다. 6개의 측면들이 도시되어 있지만, 예컨대, 프로세싱 플랫폼(100)의 전체 구성에 따라, 이송 스테이션(110)에 임의의 적합한 개수의 측면들이 있을 수 있다는 것을 당업자는 이해할 것이다.
[0027] 이송 스테이션(110)은 이송 스테이션(110)에 포지셔닝된 로봇(117)을 갖는다. 로봇(117)은 프로세싱 동안에 웨이퍼를 이동시킬 수 있는 임의의 적합한 로봇일 수 있다. 일부 실시예들에서, 로봇(117)은 제1 암(118) 및 제2 암(119)을 갖는다. 제1 암(118) 및 제2 암(119)은 다른 암과 독립적으로 이동될 수 있다. 제1 암(118) 및 제2 암(119)은 x-y 평면에서 그리고/또는 z-축을 따라 이동할 수 있다. 일부 실시예들에서, 로봇(117)은 제3 암 또는 제4 암(미도시)을 포함한다. 암들 각각은 다른 암들과 독립적으로 이동할 수 있다.
[0028] 중앙 이송 스테이션(110)의 제1 측면(111)에 배치 프로세싱 챔버(120)가 연결될 수 있다. 배치 프로세싱 챔버(120)는 배치 시간 동안 한 번에 x개의 웨이퍼들을 프로세싱하도록 구성될 수 있다. 일부 실시예들에서, 배치 프로세싱 챔버(120)는 동시에 약 4개(x = 4) 내지 약 12개(x = 12)의 범위의 웨이퍼들을 프로세싱하도록 구성될 수 있다. 일부 실시예들에서, 배치 프로세싱 챔버(120)는 동시에 6개(x = 6)의 웨이퍼들을 프로세싱하도록 구성된다. 당업자에 의해 이해될 바와 같이, 배치 프로세싱 챔버(120)가 개별적인 웨이퍼의 로딩/언로딩 사이에 다수의 웨이퍼들을 프로세싱할 수 있지만, 각각의 웨이퍼는 임의의 주어진 시간에 상이한 프로세스 조건들을 받을 수 있다. 예컨대, 도 2 내지 도 6에서 도시된 바와 같은 공간적 원자 층 증착 챔버는 상이한 프로세싱 구역들에서 상이한 프로세스 조건들에 웨이퍼를 노출시키고, 그에 따라, 웨이퍼가 구역들 각각을 통해 이동될 때, 프로세스가 완료된다.
[0029] 도 2는, 인젝터들 또는 인젝터 조립체라고 또한 지칭되는 가스 분배 조립체(220) 및 서셉터 조립체(240)를 포함하는 프로세싱 챔버(200)의 단면을 도시한다. 가스 분배 조립체(220)는 프로세싱 챔버에서 사용되는 임의의 타입의 가스 전달 디바이스이다. 가스 분배 조립체(220)는 서셉터 조립체(240)를 향하는 전방 표면(221)을 포함한다. 전방 표면(221)은 서셉터 조립체(240)를 향하여 가스들의 유동을 전달하기 위한 임의의 개수의 또는 다양한 개구들을 가질 수 있다. 가스 분배 조립체(220)는 또한, 외측 에지(224)를 포함하며, 도시된 실시예들에서, 외측 에지(224)는 실질적으로 둥글다.
[0030] 사용되는 가스 분배 조립체(220)의 특정한 타입은 사용되고 있는 특정한 프로세스에 따라 변화될 수 있다. 본 개시내용의 실시예들은 서셉터와 가스 분배 조립체 사이의 갭이 제어되는 임의의 타입의 프로세싱 시스템에 대해 사용될 수 있다. 다양한 타입들의 가스 분배 조립체들(예컨대, 샤워헤드들)이 채용될 수 있지만, 본 개시내용의 실시예들은 복수의 실질적으로 평행한 가스 채널들을 갖는 공간적 가스 분배 조립체들에 대해 특히 유용할 수 있다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, “실질적으로 평행한”이라는 용어는 가스 채널들의 연장 축이 동일한 일반적인 방향으로 연장되는 것을 의미한다. 가스 채널들의 평행성에 약간의 불완전성들이 존재할 수 있다. 바이너리 반응에서, 복수의 실질적으로 평행한 가스 채널들은 적어도 하나의 제1 반응성 가스 A 채널, 적어도 하나의 제2 반응성 가스 B 채널, 적어도 하나의 퍼지 가스 P 채널, 및/또는 적어도 하나의 진공 V 채널을 포함할 수 있다. 제1 반응성 가스 A 채널(들), 제2 반응성 가스 B 채널(들), 및 퍼지 가스 P 채널(들)로부터 유동하는 가스들은 웨이퍼의 상단 표면을 향하여 지향된다. 가스 유동의 일부는 웨이퍼의 표면에 걸쳐 수평으로 이동하고, 퍼지 가스 P 채널(들)을 통해 프로세스 구역 밖으로 이동한다. 가스 분배 조립체의 하나의 단부로부터 다른 단부로 이동하는 기판은 프로세스 가스들 각각에 차례로 노출될 것이고, 그에 따라, 기판 표면 상에 층이 형성될 것이다.
[0031] 일부 실시예들에서, 가스 분배 조립체(220)는 단일 인젝터 유닛으로 이루어진 강성의 고정된 바디이다. 하나 또는 그 초과의 실시예들에서, 도 3에서 도시된 바와 같이, 가스 분배 조립체(220)는 복수의 개별적인 섹터들(예컨대, 인젝터 유닛들(222))로 구성된다. 설명되는 본 개시내용의 다양한 실시예들에 대해 단일 피스 바디 또는 멀티-섹터 바디가 사용될 수 있다.
[0032] 서셉터 조립체(240)는 가스 분배 조립체(220) 아래에 포지셔닝된다. 서셉터 조립체(240)는 상단 표면(241), 및 상단 표면(241)에서의 적어도 하나의 오목부(242)를 포함한다. 서셉터 조립체(240)는 또한, 바닥 표면(243) 및 에지(244)를 갖는다. 오목부(242)는 프로세싱되고 있는 기판들(60)의 형상 및 사이즈에 따라 임의의 적합한 형상 및 사이즈로 이루어질 수 있다. 도 2에서 도시된 실시예에서, 오목부(242)는 웨이퍼의 바닥을 지지하기 위해 평탄한 바닥을 갖지만, 오목부의 바닥은 변화될 수 있다. 일부 실시예들에서, 오목부는 오목부의 외측 주변 에지 주위에 스텝 구역들을 가지며, 그 스텝 구역들은 웨이퍼의 외측 주변 에지를 지지하도록 사이즈가 설정된다. 스텝들에 의해 지지되는 웨이퍼의 외측 주변 에지의 양은, 예컨대, 웨이퍼의 두께 및 웨이퍼의 배면 상에 이미 존재하는 피처(feature)들의 존재에 따라 변화될 수 있다.
[0033] 일부 실시예들에서, 도 2에서 도시된 바와 같이, 서셉터 조립체(240)의 상단 표면(241)에서의 오목부(242)는 오목부(242)에서 지지되는 기판(60)이 서셉터(240)의 상단 표면(241)과 실질적으로 동일 평면 상에 있는 상단 표면(61)을 갖도록 사이즈가 설정된다. 본 명세서 및 첨부된 청구항들에서 사용되는 바와 같이, "실질적으로 동일 평면 상"이라는 용어는 웨이퍼의 상단 표면과 서셉터 조립체의 상단 표면이 ± 0.2 mm 내에서 동일 평면 상에 있는 것을 의미한다. 일부 실시예들에서, 상단 표면들은 0.5 mm, ± 0.4 mm, ± 0.35 mm, ± 0.30 mm, ± 0.25 mm, ± 0.20 mm, ± 0.15 mm, ± 0.10 mm, 또는 ± 0.05 mm 내에서 동일 평면 상에 있다.
[0034] 도 2의 서셉터 조립체(240)는 서셉터 조립체(240)를 리프팅할 수 있고, 하강시킬 수 있고, 회전시킬 수 있는 지지 기둥(260)을 포함한다. 서셉터 조립체는 지지 기둥(260)의 중심 내에 가열기, 또는 가스 라인들, 또는 전기 컴포넌트들을 포함할 수 있다. 지지 기둥(260)은 서셉터 조립체(240)와 가스 분배 조립체(220) 사이의 갭을 증가시키거나 또는 감소시켜서 서셉터 조립체(240)를 적절한 포지션으로 이동시키는 주된 수단일 수 있다. 서셉터 조립체(240)는 또한, 서셉터 조립체(240)와 가스 분배 조립체(220) 사이에 미리 결정된 갭(270)을 생성하기 위해 서셉터 조립체(240)에 대해 마이크로-조정들을 행할 수 있는 미세 튜닝 액추에이터들(262)을 포함할 수 있다.
[0035] 일부 실시예들에서, 갭(270) 거리는 약 0.1 mm 내지 약 5.0 mm의 범위에 있거나, 또는 약 0.1 mm 내지 약 3.0 mm의 범위에 있거나, 또는 약 0.1 mm 내지 약 2.0 mm의 범위에 있거나, 또는 약 0.2 mm 내지 약 1.8 mm의 범위에 있거나, 또는 약 0.3 mm 내지 약 1.7 mm의 범위에 있거나, 또는 약 0.4 mm 내지 약 1.6 mm의 범위에 있거나, 또는 약 0.5 mm 내지 약 1.5 mm의 범위에 있거나, 또는 약 0.6 mm 내지 약 1.4 mm의 범위에 있거나, 또는 약 0.7 mm 내지 약 1.3 mm의 범위에 있거나, 또는 약 0.8 mm 내지 약 1.2 mm의 범위에 있거나, 또는 약 0.9 mm 내지 약 1.1 mm의 범위에 있거나, 또는 약 1 mm이다.
[0036] 도면들에서 도시된 프로세싱 챔버(200)는 서셉터 조립체(240)가 복수의 기판들(60)을 홀딩할 수 있는 캐러셀-타입 챔버이다. 도 3에서 도시된 바와 같이, 가스 분배 조립체(220)는 복수의 별개의 인젝터 유닛들(222)을 포함할 수 있으며, 각각의 인젝터 유닛(222)은, 웨이퍼가 인젝터 유닛 아래로 이동될 때, 웨이퍼 상에 막을 증착할 수 있다. 2개의 파이-형상 인젝터 유닛들(222)이 서셉터 조립체(240)의 대략적으로 대향하는 측들 상에 그리고 서셉터 조립체(240) 위에 포지셔닝된 것으로 도시된다. 이러한 개수의 인젝터 유닛들(222)은 예시적인 목적들만을 위해 도시된다. 더 많거나 또는 더 적은 인젝터 유닛들(222)이 포함될 수 있다는 것이 이해될 것이다. 일부 실시예들에서, 서셉터 조립체(240)의 형상과 일치하는 형상을 형성하도록 충분한 개수의 파이-형상 인젝터 유닛들(222)이 존재한다. 일부 실시예들에서, 개별적인 파이-형상 인젝터 유닛들(222) 각각은 다른 인젝터 유닛들(222) 중 어느 것에도 영향을 미치지 않으면서 독립적으로 이동, 제거, 및/또는 교체될 수 있다. 예컨대, 하나의 세그먼트가 로봇으로 하여금 기판들(60)을 로딩/언로딩하기 위해 서셉터 조립체(240)와 가스 분배 조립체(220) 사이의 구역에 접근할 수 있게 하도록 상승될 수 있다.
[0037] 다수의 가스 인젝터들을 갖는 프로세싱 챔버들은 웨이퍼들이 동일한 프로세스 흐름을 받도록 다수의 웨이퍼들을 동시에 프로세싱하기 위해 사용될 수 있다. 예컨대, 도 4에서 도시된 바와 같이, 프로세싱 챔버(200)는 4개의 가스 인젝터 조립체들 및 4개의 기판들(60)을 갖는다. 프로세싱의 착수 시에, 기판들(60)은 가스 분배 조립체들(220) 사이에 포지셔닝될 수 있다. 45°만큼 서셉터 조립체(240)를 회전시키는 것(17)은, 가스 분배 조립체들(220) 사이에 있는 각각의 기판(60)으로 하여금, 가스 분배 조립체들(220) 아래에 점선 원으로 예시된 바와 같이, 막 증착을 위해 가스 분배 조립체(220)로 이동되게 할 것이다. 부가적인 45° 회전은 기판들(60)을 가스 분배 조립체들(220)로부터 멀어지도록 이동시킬 것이다. 기판들(60) 및 가스 분배 조립체들(220)의 개수는 동일할 수 있거나 또는 상이할 수 있다. 일부 실시예들에서, 존재하는 가스 분배 조립체들의 개수와 동일한 개수의 프로세싱되고 있는 웨이퍼들이 존재한다. 하나 또는 그 초과의 실시예들에서, 프로세싱되고 있는 웨이퍼들의 개수는 가스 분배 조립체들의 개수의 정수배 또는 일부분(fraction)이다. 예컨대, 4개의 가스 분배 조립체들이 존재하는 경우에, 4x개의 프로세싱되고 있는 웨이퍼들이 존재하며, 여기에서, x는 1과 동일하거나 또는 그 초과인 정수 값이다. 예시적인 실시예에서, 가스 분배 조립체(220)는 가스 커튼들에 의해 분리된 8개의 프로세스 구역들을 포함하고, 서셉터 조립체(240)는 6개의 웨이퍼들을 홀딩할 수 있다.
[0038] 도 4에서 도시된 프로세싱 챔버(200)는 단지, 하나의 가능한 구성을 표현할 뿐이며, 본 개시내용의 범위를 제한하는 것으로 취해지지 않아야 한다. 여기에서, 프로세싱 챔버(200)는 복수의 가스 분배 조립체들(220)을 포함한다. 도시된 실시예에서, 프로세싱 챔버(200) 주위에 균등하게 이격된 4개의 가스 분배 조립체들(220)(또한, 인젝터 조립체들이라고 호칭됨)이 존재한다. 도시된 프로세싱 챔버(200)가 팔각형이지만, 이는 하나의 가능한 형상일 뿐이며, 본 개시내용의 범위를 제한하는 것으로 취해지지 않아야 한다는 것을 당업자는 이해할 것이다. 도시된 가스 분배 조립체들(220)이 사다리꼴이지만, 단일 원형 컴포넌트일 수 있거나, 또는 도 3에서 도시된 바와 같이, 복수의 파이-형상 세그먼트들로 구성될 수 있다.
[0039] 도 4에서 도시된 실시예는 로드 락 챔버(280), 또는 버퍼 스테이션과 같은 보조 챔버를 포함한다. 이 챔버(280)는, 예컨대, 기판들(또한, 기판들(60)이라고 지칭됨)이 챔버(200)에서 로딩/언로딩될 수 있게 하도록 프로세싱 챔버(200)의 측에 연결된다. 웨이퍼 로봇이 서셉터 상으로 기판을 이동시키기 위해 챔버(280)에 포지셔닝될 수 있다.
[0040] 캐러셀(예컨대, 서셉터 조립체(240))의 회전은 연속적일 수 있거나 또는 단속적(불연속적)일 수 있다. 연속적인 프로세싱에서, 웨이퍼들은 지속적으로 회전하고, 그에 따라, 웨이퍼들이 차례로 인젝터들 각각에 노출된다. 불연속적인 프로세싱에서, 웨이퍼들은 인젝터 구역으로 이동되고 정지될 수 있고, 그 후에, 인젝터들 사이의 구역(84)으로 이동되고 정지될 수 있다. 예컨대, 캐러셀은 웨이퍼들이 인젝터-간 구역으로부터 인젝터를 가로질러 이동하고(또는, 인젝터 근처에 정지하고) 그 다음 인젝터-간 구역으로 이동하도록 회전할 수 있으며, 이러한 그 다음 인젝터-간 구역에서 캐러셀이 다시 멈출 수 있다. 인젝터들 사이에서 멈추는 것은 각각의 층 증착 사이의 부가적인 프로세싱 단계들(예컨대, 플라즈마에 대한 노출)을 위한 시간을 제공할 수 있다.
[0041] 도 5는, 인젝터 유닛(222)이라고 지칭될 수 있는, 가스 분배 조립체(220)의 섹터 또는 부분을 도시한다. 인젝터 유닛들(222)은 개별적으로 사용될 수 있거나 또는 다른 인젝터 유닛들과 조합하여 사용될 수 있다. 예컨대, 도 6에서 도시된 바와 같이, 도 5의 인젝터 유닛(222) 4개가 단일 가스 분배 조립체(220)를 형성하도록 조합된다(4개의 인젝터 유닛들을 분리하는 라인들은 명료성을 위해 도시되지 않는다). 도 5의 인젝터 유닛(222)이 퍼지 가스 포트들(255) 및 진공 포트들(245)에 부가하여 제1 반응성 가스 포트(225) 및 제2 가스 포트(235) 양자 모두를 갖지만, 인젝터 유닛(222)은 모든 그러한 컴포넌트들을 필요로 하지 않는다.
[0042] 도 5 및 도 6 양자 모두를 참조하면, 하나 또는 그 초과의 실시예에 따른 가스 분배 조립체(220)는 복수의 섹터들(또는 인젝터 유닛들(222))을 포함할 수 있으며, 각각의 섹터는 동일하거나 또는 상이하다. 가스 분배 조립체(220)는 프로세싱 챔버 내에 포지셔닝되고, 가스 분배 조립체(220)의 전방 표면(221)에 복수의 세장형 가스 포트들(225, 235, 245)을 포함한다. 복수의 세장형 가스 포트들(225, 235, 245, 255)은 가스 분배 조립체(220)의 내측 주변 에지(223)에 인접한 영역으로부터 외측 주변 에지(224)에 인접한 영역을 향하여 연장된다. 도시된 복수의 가스 포트들은 제1 반응성 가스 포트(225), 제2 가스 포트(235), 제1 반응성 가스 포트들 및 제2 반응성 가스 포트들 각각을 둘러싸는 진공 포트(245), 및 퍼지 가스 포트(255)를 포함한다.
[0043] 그러나, 도 5 또는 도 6에서 도시된 실시예들을 참조로, 포트들이 적어도 내측 주변 구역 주위로부터 적어도 외측 주변 구역 주위로 연장되는 것을 서술할 때, 포트들은 단지 반경방향으로 내측 구역으로부터 외측 구역으로 연장되는 것에 그치지 않을 수 있다. 진공 포트(245)가 반응성 가스 포트(225) 및 반응성 가스 포트(235)를 둘러싸면서 포트들이 접선방향으로 연장될 수 있다. 도 5 및 도 6에서 도시된 실시예에서, 웨지 형상 반응성 가스 포트들(225, 235)은 내측 주변 구역 및 외측 주변 구역에 인접한 에지들을 포함하여 모든 에지들이 진공 포트(245)에 의해 둘러싸인다.
[0044] 도 5를 참조하면, 기판이 경로(227)를 따라 이동함에 따라, 기판 표면의 각각의 부분이 다양한 반응성 가스들에 노출된다. 경로(227)를 따라, 기판은 퍼지 가스 포트(255), 진공 포트(245), 제1 반응성 가스 포트(225), 진공 포트(245), 퍼지 가스 포트(255), 진공 포트(245), 제2 반응성 가스 포트(235), 및 진공 포트(245)를 "보게(see)'될 것이거나 또는 이들에 노출될 것이다. 따라서, 도 5에서 도시된 경로(227)의 끝에서, 기판이 제1 반응성 가스(225) 및 제2 반응성 가스(235)에 노출되어 층이 형성되었다. 도시된 인젝터 유닛(222)은 사분원을 이루지만, 더 클 수 있거나 또는 더 작을 수 있다. 도 6에서 도시된 가스 분배 조립체(220)는 연속하여 연결된, 도 4의 인젝터 유닛(222) 4개의 조합인 것으로 고려될 수 있다.
[0045] 도 5의 인젝터 유닛(222)은 반응성 가스들을 분리하는 가스 커튼(250)을 도시한다. "가스 커튼"이라는 용어는 반응성 가스들이 혼합되지 않도록 분리하는 가스 유동들 또는 진공의 임의의 조합을 설명하기 위해 사용된다. 도 5에서 도시된 가스 커튼(250)은 제1 반응성 가스 포트(225) 옆의 진공 포트(245)의 부분, 중간의 퍼지 가스 포트(255), 및 제2 가스 포트(235) 옆의 진공 포트(245)의 부분을 포함한다. 가스 유동과 진공의 이러한 조합은 제1 반응성 가스와 제2 반응성 가스의 가스 상 반응들을 방지하거나 또는 최소화하기 위해 사용될 수 있다.
[0046] 도 6을 참조하면, 가스 분배 조립체(220)로부터의 가스 유동들과 진공의 조합은 복수의 프로세스 구역들(350)로의 분리를 형성한다. 프로세스 구역들은, 가스 커튼(250)이 프로세스 구역들(350) 사이에 있는 개별적인 반응성 가스 포트들(225, 235) 주위로 대략적으로 정의된다. 도 6에서 도시된 실시예는 8개의 별개의 가스 커튼들(250)이 사이에 있는 8개의 별개의 프로세스 구역들(350)을 구성한다. 프로세싱 챔버는 적어도 2개의 프로세스 구역을 가질 수 있다. 일부 실시예들에서, 적어도 3개, 4개, 5개, 6개, 7개, 8개, 9개, 10개, 11개, 또는 12개의 프로세스 구역들이 존재한다.
[0047] 프로세싱 동안에, 기판은 임의의 주어진 시간에서 하나 초과의 프로세스 구역(350)에 노출될 수 있다. 그러나, 상이한 프로세스 구역들에 노출되는 부분들은 2개의 구역들을 분리하는 가스 커튼을 가질 것이다. 예컨대, 제2 가스 포트(235)를 포함하는 프로세스 구역에 기판의 선행 에지가 진입하는 경우에, 기판의 중간 부분은 가스 커튼(250) 아래에 있을 것이고, 기판의 후행 에지는 제1 반응성 가스 포트(225)를 포함하는 프로세스 구역에 있을 것이다.
[0048] 예컨대 로드 락 챔버일 수 있는 (도 4에서 도시된 바와 같은) 팩토리 인터페이스(280)가 프로세싱 챔버(200)에 연결된 것으로 도시된다. 기판(60)은 기준 프레임(frame of reference)을 제공하기 위해 가스 분배 조립체(220) 위에 중첩된(superimposed) 것으로 도시된다. 기판(60)은 종종, 가스 분배 플레이트(220)의 전방 표면(221) 근처에 홀딩되도록 서셉터 조립체 상에 놓일 수 있다. 기판(60)은 팩토리 인터페이스(280)를 통해 프로세싱 챔버(200) 내로 기판 지지부 또는 서셉터 조립체 상에 로딩된다(도 4 참조). 기판(60)은, 기판이 제1 반응성 가스 포트(225) 근처에 그리고 2개의 가스 커튼들(250a, 250b) 사이에 위치되기 때문에, 프로세스 구역 내에 포지셔닝된 것으로 도시될 수 있다. 경로(227)를 따라 기판(60)을 회전시키는 것은 프로세싱 챔버(200) 주위에서 반시계 방향으로 기판을 이동시킬 것이다. 따라서, 기판(60)은, 사이에 있는 모든 프로세스 구역들을 포함하여 제1 프로세스 구역(350a) 내지 제8 프로세스 구역(350h)에 노출될 것이다.
[0049] 본 개시내용의 일부 실시예들은 복수의 프로세스 구역들(350a 내지 350h)을 갖는 프로세싱 챔버(200)를 포함하는 프로세싱 방법들에 관한 것이며, 각각의 프로세스 구역은 가스 커튼(250)에 의해 인접한 구역으로부터 분리된다. 예컨대, 프로세싱 챔버는 도 6에서 도시된다. 프로세싱 챔버 내의 가스 커튼들 및 프로세스 구역들의 개수는, 가스 유동들의 어레인지먼트에 따라, 임의의 적합한 개수일 수 있다. 도 6에서 도시된 실시예는 8개의 가스 커튼들(250) 및 8개의 프로세스 구역들(350a 내지 350h)을 갖는다.
[0050] 다시 도 1을 참조하면, 프로세싱 플랫폼(100)은 중앙 이송 스테이션(110)의 제2 측면(112)에 연결된 처리 챔버(140)를 포함한다. 일부 실시예들의 처리 챔버(140)는 제1 배치 프로세싱 챔버(120)에서 프로세싱하기 전에 그리고/또는 프로세싱한 후에 웨이퍼들을 처리하기 위해, 프로세스에 웨이퍼들을 노출시키도록 구성된다. 일부 실시예들의 처리 챔버(140)는 어닐링 챔버를 포함한다. 어닐링 챔버는 퍼니스 어닐링 챔버 또는 급속 열 어닐링 챔버, 또는 미리 결정된 온도 및 압력에서 웨이퍼를 홀딩하고 챔버에 가스의 유동을 제공하도록 구성된 상이한 챔버일 수 있다.
[0051] 일부 실시예들에서, 프로세싱 플랫폼은 중앙 이송 스테이션(110)의 제3 측면(113)에 연결된 제2 배치 프로세싱 챔버(130)를 더 포함한다. 제2 배치 프로세싱 챔버(130)는 배치 프로세싱 챔버(120)와 유사하게 구성될 수 있거나, 또는 상이한 프로세스를 수행하도록 또는 상이한 개수의 기판들을 프로세싱하도록 구성될 수 있다.
[0052] 제2 배치 프로세싱 챔버(130)는 제1 배치 프로세싱 챔버(120)와 동일할 수 있거나 또는 상이할 수 있다. 일부 실시예들에서, 제1 배치 프로세싱 챔버(120) 및 제2 배치 프로세싱 챔버(130)는 동일한 배치 시간 내에 동일한 개수의 웨이퍼들에 대해 동일한 프로세스를 수행하도록 구성되고, 그에 따라, x(제1 배치 프로세싱 챔버(120) 내의 웨이퍼들의 개수)와 y(제2 배치 프로세싱 챔버(130) 내의 웨이퍼들의 개수)가 동일하게 되고, 제1 배치 시간과 (제2 배치 프로세싱 챔버(130)의) 제2 배치 시간이 동일하게 된다. 일부 실시예들에서, 제1 배치 프로세싱 챔버(120) 및 제2 배치 프로세싱 챔버(130)는 상이한 개수의 웨이퍼들(x와 y가 동일하지 않음), 상이한 배치 시간들, 또는 둘 모두 중 하나 또는 그 초과를 갖도록 구성된다.
[0053] 도 1에서 도시된 실시예에서, 프로세싱 플랫폼(100)은 중앙 이송 스테이션(110)의 제4 측면(114)에 연결된 제2 처리 챔버(150)를 포함한다. 제2 처리 챔버(150)는 처리 챔버(140)와 동일할 수 있거나 또는 상이할 수 있다.
[0054] 프로세싱 플랫폼(100)은 로봇(117)에 연결된 제어기(195)를 포함할 수 있다(연결은 도시되지 않음). 제어기(195)는 로봇(117)의 제1 암(118)을 이용하여 사전-세정 챔버(140)와 제1 배치 프로세싱 챔버(120) 사이에서 웨이퍼들을 이동시키도록 구성될 수 있다. 일부 실시예들에서, 제어기(195)는 또한, 로봇(117)의 제2 암(119)을 이용하여 제2 단일 웨이퍼 프로세싱 챔버(150)와 제2 배치 프로세싱 챔버(130) 사이에서 웨이퍼들을 이동시키도록 구성된다.
[0055] 프로세싱 플랫폼(100)은 또한, 중앙 이송 스테이션(110)의 제5 측면(115)에 연결된 제1 버퍼 스테이션(151), 및/또는 중앙 이송 스테이션(110)의 제6 측면(116)에 연결된 제2 버퍼 스테이션(152)을 포함할 수 있다. 제1 버퍼 스테이션(151) 및 제2 버퍼 스테이션(152)은 동일한 또는 상이한 기능들을 수행할 수 있다. 예컨대, 버퍼 스테이션들은, 프로세싱되고 원래의 카세트로 리턴되는 웨이퍼들의 카세트를 홀딩할 수 있거나, 또는 제1 버퍼 스테이션(151)은, 프로세싱 후에 제2 버퍼 스테이션(152)으로 이동되는 프로세싱되지 않은 웨이퍼들을 홀딩할 수 있다. 일부 실시예들에서, 버퍼 스테이션들 중 하나 또는 그 초과는 프로세싱하기 전에 그리고/또는 프로세싱한 후에 웨이퍼들을 사전-처리, 사전-가열 또는 세정하도록 구성된다.
[0056] 일부 실시예들에서, 제어기(195)는 로봇(117)의 제1 암(118)을 사용하여 처리 챔버(140)와 제1 배치 프로세싱 챔버(120) 중 하나 또는 그 초과와 제1 버퍼 스테이션(151) 사이에서 웨이퍼들을 이동시키도록 구성된다. 일부 실시예들에서, 제어기(195)는 로봇(117)의 제2 암(119)을 사용하여 제2 처리 챔버(150) 또는 제2 배치 프로세싱 챔버(130) 중 하나 또는 그 초과와 제2 버퍼 스테이션(152) 사이에서 웨이퍼들을 이동시키도록 구성된다.
[0057] 프로세싱 플랫폼(100)은 또한, 프로세싱 챔버들 중 임의의 프로세싱 챔버와 중앙 이송 스테이션(110) 사이에 하나 또는 그 초과의 슬릿 밸브들(160)을 포함할 수 있다. 도시된 실시예에서, 중앙 이송 스테이션(110)과 프로세싱 챔버들(120, 130, 140, 150) 각각 사이에 슬릿 밸브(160)가 있다. 슬릿 밸브들(160)은 중앙 이송 스테이션(110) 내의 환경으로부터 프로세싱 챔버 내의 환경을 격리시키기 위해 개방 및 폐쇄될 수 있다. 예컨대, 프로세싱 챔버가 프로세싱 동안에 플라즈마를 생성할 경우에, 스트레이 플라즈마(stray plasma)가 이송 스테이션 내의 로봇을 손상시키는 것을 방지하기 위해, 프로세싱 챔버에 대해 슬릿 밸브를 폐쇄하는 것이 유익할 수 있다.
[0058] 일부 실시예들에서, 프로세싱 챔버들은 중앙 이송 스테이션(110)으로부터 쉽게 제거가능하지 않다. 프로세싱 챔버들 중 임의의 프로세싱 챔버에 대해 유지보수가 수행될 수 있게 하기 위해, 프로세싱 챔버들 각각은 프로세싱 챔버들의 측면들에 복수의 접근 도어들(170)을 더 포함할 수 있다. 접근 도어들(170)은, 중앙 이송 스테이션(110)으로부터 프로세싱 챔버를 제거하지 않지 않으면서, 프로세싱 챔버로의 수동적인 접근을 가능하게 한다. 도시된 실시예에서, 각각의 프로세싱 챔버의 각각의 측면은, 이송 스테이션에 연결된 측면을 제외하고, 접근 도어(170)를 갖는다. 너무 많은 접근 도어들(170)의 포함은 채용되는 프로세싱 챔버들의 구성을 복잡하게 할 수 있는데, 이는 챔버들 내의 하드웨어가 도어들을 통해 접근가능하도록 구성될 필요가 있을 것이기 때문이다.
[0059] 일부 실시예들의 프로세싱 플랫폼은 이송 챔버(110)에 연결된 워터 박스(water box)(180)를 포함한다. 워터 박스(180)는 프로세싱 챔버들 중 임의의 프로세싱 챔버 또는 모든 프로세싱 챔버에 냉각제를 제공하도록 구성될 수 있다. “워터” 박스라고 지칭되지만, 당업자는 임의의 냉각제가 사용될 수 있다는 것을 이해할 것이다.
[0060] 일부 실시예들에서, 프로세싱 플랫폼(100)의 사이즈는 단일 전력 커넥터(190)를 통한 하우스 전력(house power)으로의 연결을 가능하게 한다. 단일 전력 커넥터(190)는 중앙 이송 스테이션(110) 및 프로세싱 챔버들 각각에 전력을 제공하기 위해 프로세싱 플랫폼(100)에 부착된다.
[0061] 프로세싱 플랫폼(100)은 웨이퍼들 또는 웨이퍼들의 카세트들이 플랫폼(100) 내에 로딩될 수 있게 하기 위한 팩토리 인터페이스(102)에 연결될 수 있다. 팩토리 인터페이스(102) 내의 로봇(103)은 버퍼 스테이션들(151, 152) 내외로 웨이퍼들 또는 카세트들을 이동시킬 수 있다. 웨이퍼들 또는 카세트들은 중앙 이송 스테이션(110) 내의 로봇(117)에 의해 플랫폼(100) 내에서 이동될 수 있다. 일부 실시예들에서, 팩토리 인터페이스(102)는 다른 클러스터 툴의 이송 스테이션이다.
[0062] 본 개시내용의 하나 또는 그 초과의 실시예들은 막을 증착하는 방법들에 관한 것이다. 도 7a 내지 도 7c를 참조하면, 제1 기판 표면(701) 및 제2 기판 표면(702)을 갖는 기판(700)이 제공된다. 제1 기판 표면(701) 및 제2 기판 표면(702)은 상이한 재료들(예컨대, 실리콘 및 실리콘 산화물)일 수 있거나, 또는 상이한 표면 종단들(예컨대, -H 및 -OH)을 갖는 동일한 재료일 수 있다.
[0063] 일부 실시예들에서, 제1 기판 표면(701)은 실리콘을 포함한다. 일부 실시예들에서, 제1 기판 표면(701)은 본질적으로 실리콘으로 구성된다. 일부 실시예들에서, 제1 기판 표면(701)은 수소 종단들을 갖는다.
[0064] 일부 실시예들에서, 제2 기판 표면(702)은 실리콘 산화물을 포함한다. 일부 실시예들에서, 제2 기판 표면(702)은 본질적으로 실리콘 산화물로 구성된다. 일부 실시예들에서, 제2 기판 표면(702)은 수산기 종단들을 갖는다.
[0065] 제1 기판 표면(701) 및 제2 기판 표면(702)은 선택적인 증착을 위한 임의의 적합한 표면들일 수 있다. 일부 실시예들에서, 제1 기판 표면(701)은 Si-H 기들을 갖는 실리콘 표면을 포함하고, 제2 기판 표면(702)은 자연 산화물이 있거나 또는 없는 -OH 기들을 갖는 실리콘 산화물 표면을 포함한다. 일부 실시예들에서, 제2 기판 표면(702)은 자연 산화물이 있거나 또는 없는 -OH 말단기들을 갖는 유전체 표면을 포함하고, 제1 기판 표면(701)은 금속 표면을 포함한다.
[0066] 제1 기판 표면(701)과 제2 기판 표면(702) 중 어느 하나 또는 둘 모두 상에 자연 산화물이 존재하는 경우에, 자연 산화물의 제거는 더 효과적인 선택적인 증착 프로세스를 가능하게 할 수 있다. 에칭 프로세스에 기판(100)을 노출시키는 것은 영향을 받는 표면으로부터 자연 산화물을 제거할 수 있다. 에칭 프로세스는 습식 에칭 프로세스(예컨대, 희석된 HF(1 %)에 대한 노출) 또는 건식 에칭 프로세스(예컨대, 플라즈마에 대한 노출)일 수 있다. 일부 실시예들에서, 에칭 프로세스는 플라즈마-기반 프로세스이다. 일부 실시예들에서, 플라즈마-기반 에칭 프로세스는 플루오르화 수소산 및 암모니아의 플라즈마에 기판을 노출시키는 것을 포함한다.
[0067] 일부 실시예들에서, 영향을 받는 표면으로부터 자연 산화물을 제거하는 것은 실질적으로 수소 종단들만을 갖는 표면을 제공한다. 그러한 방식으로 사용되는 바와 같이, “실질적으로 수소 종단들만을 갖는”이라는 용어는 표면적의 약 98 %와 동일한 또는 그 초과의 면적에 대해 표면 종단들이 수소인 것을 의미한다. 일부 실시예들에서, 표면으로부터 자연 산화물을 제거하는 것은 실질적으로 산소 종단들을 갖지 않는 표면을 제공한다. 그러한 방식으로 사용되는 바와 같이, “실질적으로 산소 종단들을 갖지 않는”이라는 용어는 표면적의 약 2 % 미만에 대해 표면 종단들이 산소 원자들을 포함하는 것을 의미한다.
[0068] 하나 또는 그 초과의 실시예들에서, 표면으로부터 자연 산화물을 제거하는 데 사용되는 프로세스는 또한, 실질적으로 수소 종단들을 갖지 않는 표면을 제공하기 위해 다른 표면을 산화시킨다. 그러한 방식으로 사용되는 바와 같이, “실질적으로 수소 종단들을 갖지 않는”이라는 용어는 표면적의 약 2 %와 동일한 또는 그 미만의 면적에 대해, 명시된 표면의 표면 종단들이 수소인 것을 의미한다.
[0069] 도 7b에서 예시된 바와 같이, 막(710)이 제2 기판 표면(702)에 비하여 선택적으로 제1 기판 표면(701) 상에 형성된다. 그러한 방식으로 사용되는 바와 같이, “비하여 선택적으로”는, 형성 또는 증착이 대상 표면에 우선적인 것을 의미하고, 그에 따라, 막은 제2 기판 표면(702)에 비하여 제1 기판 표면(701) 상에 우선적으로 형성된다. 예컨대, 막(710)은, 제2 기판 표면(702) 상에 형성되는 막의 두께의 20배, 30배, 40배, 또는 50배와 동일한 또는 그 초과의 두께로 더 두껍게 제1 기판 표면(701) 상에 형성될 수 있다.
[0070] 막(710)의 형성은 원자 층 증착을 포함하는(그러나 이에 제한되지는 않음) 임의의 적합한 기법에 의해 발생할 수 있다. 일부 실시예들에서, 막(710)은 도 2 내지 도 6에서 도시된 바와 같은 배치 프로세싱 챔버에서 형성된다. 예컨대, 막(710)은 실리콘 전구체 및 반응물에 대한 순차적인 노출에 의해 형성될 수 있다. 실리콘 전구체는 실리콘 할로겐화물들, 및 할로겐들을 갖는 또는 갖지 않는 유기 실리콘 화합물들을 포함하는(그러나 이에 제한되지는 않음) 임의의 적합한 실리콘 전구체일 수 있다. 하나 또는 그 초과의 실시예들에서, 실리콘 전구체는 비스(트리클로로실릴)메탄을 포함한다. 일부 실시예들에서, 실리콘 전구체는 실리콘 원자들을 분리하는 적어도 하나의 탄소와 배열된 하나 초과의 실리콘 원자를 포함한다. 예컨대, 실리콘 전구체는 메틸렌 유닛(methylene unit)에 의해 분리된 2개의 실리콘 원자들을 가질 수 있다.
[0071] 반응물은 질소 기여 종, 산소 기여 종, 및/또는 탄소 기여 종을 포함하는(그러나 이에 제한되지는 않음) 임의의 적합한 반응물일 수 있다. 일부 실시예들에서, 반응물은 암모니아를 포함한다.
[0072] 형성된 막(710)은 실리콘 전구체와 반응물의 상관(function)이다. 일부 실시예들에서, 막은 SiC 또는 SiCN 중 하나 또는 그 초과를 포함한다. 일부 실시예들에서, 형성된 막은 실리콘 카보나이트라이드를 포함한다. 실리콘 카보나이트라이드 또는 SiCN이라는 명칭이 특정 화학량을 암시하는 것이 아니라; 그 보다는, 단지 막의 벌크를 구성하는 원소들을 암시한다는 것을 당업자는 이해할 것이다. 일부 실시예들에서, 막은 원자 기준으로 최대 약 2 퍼센트의 양으로 B, As, 또는 P 중 하나 또는 그 초과로 도핑된다.
[0073] 배치 프로세싱 챔버에서, 기판은 프로세싱 챔버의 교번하는 프로세스 구역들에서 실리콘 전구체 및 반응물에 노출될 수 있다. 도 6을 참조하면, 예컨대, 프로세스 구역들(350a, 350c, 350e, 350g)은 실리콘 전구체에 기판 표면을 노출시킬 수 있고, 프로세스 구역들(350b, 350d, 350f, 350h)은 반응물에 기판 표면을 노출시킬 수 있으며, 그에 따라, 프로세싱 챔버 주위로의 기판의 각각의 회전은 실리콘 전구체/반응물의 4개의 사이클들에 기판 표면을 노출시킨다.
[0074] 막(710) 두께는 미리 결정된 양으로 증착될 수 있다. 일부 실시예들에서, 막은 약 20 Å 내지 약 500 Å의 범위, 또는 약 200 Å 내지 약 400 Å의 범위의 두께로 증착된다. 일부 실시예들에서, 막은 약 50 Å, 100 Å, 150 Å, 200 Å 또는 250 Å과 동일한 또는 그 초과의 두께 내지 약 700 Å, 650 Å, 600 Å, 550 Å 또는 500 Å과 동일한 또는 그 미만의 두께로 증착된다. 약간의 시간 후에, 막은, 블로킹 층 또는 패시베이팅 층(미도시)이 존재할 수 있음에도 불구하고, 제2 표면 상에 증착되기 시작할 수 있다. 막의 두께를 증가시키고 선택성을 유지하기 위해, 블로킹 층 또는 패시베이팅 층이 주기적으로 보충될 수 있다.
[0075] 막(710)은 임의의 적합한 온도에서 형성될 수 있다. 일부 실시예들에서, 막(710)은 약 200 ℃ 내지 약 600 ℃의 범위, 또는 약 250 ℃ 내지 약 550 ℃의 범위, 또는 약 300 ℃ 내지 약 500 ℃의 범위의 온도에서 형성된다. 일부 실시예들에서, 막은 플라즈마 노출이 없는 열 프로세스에 의해 형성된다. 일부 실시예들에서, 막은 플라즈마 강화 프로세스에 의해 형성된다.
[0076] 증착된 막은 증착-후 프로세싱에 의해 최적화 또는 개선될 수 있는 막 특성들을 가질 수 있다. 예컨대, 증착된 실리콘 카보나이트라이드 막은 고 습식 에칭 레이트를 가질 수 있다. 증착-후 처리에 막을 노출시키는 것은 증착된 막의 습식 에칭 레이트를 개선하는 데 사용될 수 있다. 일부 실시예들에서, 처리는 막의 품질을 개선한다. 일부 실시예들에서, 개선된 막의 품질은 습식 에칭 레이트, 굴절률, 밀도, 수소 농도, 누설 전류, 브레이크다운 전압, 유전 상수, 또는 응력 중 하나 또는 그 초과를 포함한다.
[0077] 막(710)은 처리 프로세스의 일부로서 변화될 수 있는 조성을 갖는다. 막 조성의 변화는 막의 품질 또는 특성들의 변화에 관련될 수 있다. 막(710)은 초기 질소 함유량, 초기 산소 함유량, 및 초기 탄소 함유량을 갖는다. 일부 실시예들에서, 초기 산소 함유량은 실질적으로 제로(zero)이다. 그러한 방식으로 사용되는 바와 같이, “실질적으로 제로”라는 용어는 막의 함유량이, 원자 기준으로, 명시된 원소(예컨대, 산소)의 약 1 %, 0.5 %, 또는 0.1 %와 동일한 또는 그 미만인 것을 의미한다.
[0078] 일부 실시예들의 처리 프로세스는, 스팀 어닐링 후에 개선된 막의 적어도 하나의 특성을 갖는 어닐링된 막을 형성하기 위해, 스팀 어닐링 프로세스에 막을 노출시키는 것을 포함한다. 스팀 어닐링은 임의의 적합한 환경에서 임의의 적합한 온도 및 압력으로 발생할 수 있다. 도 7c에서 예시된 바와 같이, 어닐링된 막(720)을 형성하기 위해, 스팀 어닐링으로 막이 처리될 수 있다. 어닐링된 막(720)은 어닐링된 질소 함유량, 어닐링된 산소 함유량, 및 어닐링된 탄소 함유량을 갖는다.
[0079] 일부 실시예들에서, 스팀 어닐링은 약 150 ℃ 내지 약 600 ℃의 범위, 또는 약 200 ℃ 내지 약 500 ℃의 범위, 또는 약 250 ℃ 내지 약 450 ℃의 범위의 기판 온도에서 발생한다. 일부 실시예들에서, 스팀 어닐링은 약 150 ℃, 200 ℃, 250 ℃, 300 ℃, 350 ℃, 또는 400 ℃와 동일한 또는 그 초과의 온도에서 발생한다.
[0080] 일부 실시예들에서, 스팀 어닐링은 약 20 T 내지 약 100 T의 범위, 또는 약 30 T 내지 약 90 T의 범위, 또는 약 40 T 내지 약 80 T의 범위, 또는 약 50 T 내지 약 75 T의 범위의 압력에서 발생한다. 일부 실시예들에서, 스팀 어닐링 프로세스의 압력은 사용되고 있는 프로세스에 따라 좌우된다.
[0081] 일부 실시예들에서, 스팀 어닐링은 어닐링 퍼니스에서 발생한다. 일부 실시예들에서, 어닐링 퍼니스의 압력은 약 400 T 내지 약 850 T의 범위, 또는 약 450 T 내지 약 800 T의 범위, 또는 약 500 T 내지 약 760 T의 범위, 또는 약 550 T 내지 약 650 T의 범위에 있다. 일부 실시예들에서, 어닐링 퍼니스 내의 수증기의 부분 압력은 약 100 T, 200 T, 300 T, 400 T, 500 T, 또는 600 T와 동일하거나 또는 그 초과이다. 일부 실시예들에서, 어닐링 퍼니스 내의 수증기의 부분 압력은 약 585 토르이다.
[0082] 일부 실시예들에서, 스팀 어닐링은, 물을 수용하는 앰플을 가열하고 수증기를 앰플로부터 프로세싱 챔버로 유동시키는 것을 포함한다. 일부 실시예들의 앰플 온도는 약 25 ℃ 내지 약 80 ℃의 범위, 또는 약 25 ℃ 내지 약 70 ℃의 범위, 또는 약 20 ℃ 내지 약 50 ℃의 범위에 있다. 일부 실시예들에서, 앰플은 약 30 ℃, 35 ℃, 40 ℃, 45 ℃, 50 ℃, 또는 55 ℃와 동일한 또는 그 초과의 온도까지 가열된다.
[0083] 캐리어 가스가 프로세싱 챔버로 수증기를 운반하기 위해 앰플을 통해 통과될 수 있다. 일반적으로, 캐리어 가스는 비활성 가스이지만, 또한, 반응성 가스일 수 있다. 일부 실시예들에서, 캐리어 가스는 아르곤, 수소, 질소, 헬륨, 크세논, 크립톤, CO, CO2, NO, 또는 NO2 중 하나 또는 그 초과를 포함한다. 일부 실시예들에서, 캐리어 가스의 유량은 약 300 sccm 내지 약 5000 sccm의 범위, 또는 약 400 sccm 내지 약 4000 sccm의 범위에 있다.
[0084] 일부 실시예들에서, 형성된 막은 SiCN을 포함하고, 스팀 어닐링은 SiCN을 SiOC로 변환시킨다. 일부 실시예들에서, 스팀 어닐링 프로세스는 실질적으로 모든 SiCN을 SiOC로 변환시킨다. 이에 대하여 사용되는 바와 같이, “실질적으로 모두 변환시킨다”라는 용어는 약 90 %, 95 %, 98 %, 또는 99 %와 동일한 또는 그 초과의 벌크 조성이 변환되는 것을 의미한다.
[0085] 일부 실시예들에서, 어닐링된 막(720)은 초기 질소 함유량과 동일한 또는 그 미만의 어닐링된 질소 함유량을 갖는다. 일부 실시예들에서, 어닐링된 질소 함유량은 초기 질소 함유량의 약 50 %, 40 %, 30 %, 20 %, 또는 10 %와 동일하거나 또는 그 미만이다. 일부 실시예들에서, 어닐링은 질소 함유량을 실질적으로 제로로 감소시킨다. 일부 실시예들에서, 어닐링된 질소 함유량은 원자 기준으로 약 1 %, 0.5 %, 또는 0.1 %와 동일하거나 또는 그 미만이다.
[0086] 일부 실시예들에서, 어닐링된 막(720)은 초기 탄소 함유량과 대략 동일한 어닐링된 탄소 함유량을 갖는다. 일부 실시예들에서, 어닐링된 탄소 함유량은 초기 탄소 함유량의 ± 20 %, 15 %, 10 %, 5 %, 2 %, 또는 1 % 내에 있다. 막의 탄소 함유량은 스팀 어닐링 프로세스의 결과로서 감소될 것으로 예상되었었다. 스팀 어닐링 프로세스 후에 탄소 함유량이 대략 동일하게 유지되는 사실은 예상되지 않았다. 일부 실시예들의 어닐링된 탄소 함유량은, 원자 기준으로, 약 5 % 내지 약 15 %의 범위, 또는 약 6 % 내지 약 14 %의 범위, 또는 약 7 % 내지 약 13 %의 범위, 또는 약 8 % 내지 약 12 %의 범위, 또는 약 9 % 내지 약 11 %의 범위에 있다.
[0087] 막은 스팀 어닐링과 동일한 프로세싱 챔버에서 또는 상이한 프로세싱 챔버들에서 형성될 수 있다. 예컨대, 도 6의 배치 프로세싱 챔버가 막 형성과 스팀 어닐링 둘 모두를 위해 사용될 수 있다. 하나 또는 그 초과의 실시예들에서, 막은 상이한 프로세스 구역들(250)에서 실리콘 전구체 및 반응물에 대한 순차적인 노출에 의해 형성된다. 막 두께가 형성되었으면, 반응성 가스들(즉, 실리콘 전구체 및 반응물)이 중단될 수 있고, 스팀이 프로세스 구역들(250) 내로 유동될 수 있다. 서셉터가 정지될 수 있거나, 또는 어닐링 프로세스 전반에 걸쳐 계속 회전될 수 있다. 일부 실시예들에서, 스팀 어닐링은 증착 챔버와 상이한 어닐링 퍼니스에서 발생한다. 예컨대, 도 1을 참조하면, 막은 제1 배치 프로세싱 챔버(120)에서 증착될 수 있고, 스팀 어닐링은 처리 챔버(140)에 위치된 어닐링 퍼니스에서 발생할 수 있다.
[0088] 일부 실시예들에서, 막의 특성들은 스팀 어닐링 후에 개선된다. 일부 실시예들에서, 스팀 어닐링된 막의 (1 % HF에서의) 습식 에칭 레이트는 약 25 Å/분, 20 Å/분, 15 Å/분, 또는 10 Å/분과 동일하거나 또는 그 미만이다. 습식 에칭 레이트는 제2의 꽉채운 1분의 에칭(second full minute of etching)(즉, 에칭 프로세스에 대한 61초 내지 120초)에서 측정된다. 일부 실시예들에서, 스팀 어닐링된 막의 유전 상수는 약 6.0, 5.9, 5.8, 5.7, 5.6, 5.5, 5.4, 5.3, 5.2, 5.1, 5.0, 4.9, 4.8, 또는 4.7과 동일하거나 또는 그 미만이다.
[0089] 본 개시내용의 일부 실시예들은 기판 상에 등각적 막을 증착하는 방법들에 관한 것이다. 도 8a 내지 도 8c를 참조하면, 기판(800)이 프로세싱을 위해 제공된다. 이에 대하여 사용되는 바와 같이, “제공된다”라는 용어는 기판(800) 상에 하나 또는 그 초과의 막들을 증착하기 위한 포지션 또는 환경 내에 기판(800)이 배치되는 것을 의미한다. 기판(800)은 복수의 피처들(804)이 내측에 형성된 기판 표면(802)을 갖는다. 각각의 피처(804)는 기판 표면(802)으로부터 거리(D)만큼 연장된다. 각각의 피처(804)는 하단(806) 및 적어도 하나의 측벽(808)을 갖는다. 피처가 원통형 형상을 갖는 경우에, 하나의 측벽(808)이 존재하지만, 도 8a에서 도시된 바와 같이 단면으로 보면, 2개의 측벽들(808)을 갖는 것으로 나타날 것이다. 측벽들(808)의 개수는 본 개시내용의 범위로 제한되지 않으며, 임의의 개수의 측벽들(808)을 갖는 임의의 형상의 피처(804)가 사용될 수 있다.
[0090] 피처(804)가 표면(802)으로부터 연장되는 거리(D)는 임의의 적합한 거리일 수 있다. 피처(804)의 거리(D) 대 폭의 비율은 종횡비라고 지칭된다. 일부 실시예들에서, 피처(804)는 약 10:1, 15:1, 20:1, 25:1, 30:1, 35:1, 40:1, 45:1, 또는 50:1과 동일한 또는 그 초과의 고 종횡비를 갖는다. 일부 실시예들에서, 피처는 약 9:1, 8:1, 7:1, 6:1, 5:1, 4:1, 3:1, 또는 2:1과 동일한 또는 그 미만의 저 종횡비를 갖는다.
[0091] 도 8a 내지 도 8c에서 예시된 기판(800)은 피처(804) 형성에 대해 직각들을 갖는다. 이러한 것이 단지, 예시적인 실시예를 표현하는 것일 뿐이고, 피처(804)가 반드시 정사각형 코너들을 가질 필요는 없다는 것을 당업자는 이해할 것이다. 피처(804)의 형상은 구별가능한 하단을 갖지 않을 수 있고, 측벽은 v-형상 트렌치 또는 비아가 형상되도록 기판 표면 법선에 대하여 각도를 이룰 수 있다.
[0092] 일부 실시예들의 기판(800)은 V-NAND 디바이스의 일부이다. 예시된 피처들(804)은 단일 재료 내의 오목부인 것으로 도시되고, 그에 따라, 하단(806)과 측벽들(808)은 동일한 재료로 이루어진다. 일부 실시예들에서, 피처들은 상이한 재료들의 교번 층들에 의해 형성되고, 그에 따라, 제1 재료는 오목부 형상을 형성하기 위해 제2 재료보다 더 짧다. 이러한 타입의 시스템에서, 피처의 하단은 제1 재료에 의해 형성되고, 피처의 측벽은 더 높은 제2 재료에 의해 형성된다. 예컨대, V-NAND 구조가 실리콘 산화물과 실리콘 질화물 막들의 교번 층들로 구성된다.
[0093] 도 8b는 등각적 막(810)의 증착 후의 기판(800)을 도시한다. 등각적 막은 초기 탄소 함유량, 초기 산소 함유량, 및 초기 질소 함유량을 갖는다. 도 8c는 어닐링 막(820)을 형성하기 위한 스팀 어닐링 후의 기판(800)을 도시한다. 위에서 설명된 바와 같이, 어닐링된 막(820)은 어닐링된 탄소 함유량, 어닐링된 질소 함유량, 및 어닐링된 산소 함유량을 갖는다.
[0094] 일부 실시예들에서, 등각적 막(810)은 약 10 Å 내지 약 500 Å의 범위의 두께를 갖는다. 일부 실시예들에서, 등각적 막(810)은 약 20 Å 내지 약 400 Å의 범위, 또는 약 50 Å 내지 약 300 Å의 범위, 또는 약 70 Å 내지 약 200 Å의 범위, 또는 약 80 Å 내지 약 150 Å의 범위, 또는 약 100 Å 내지 약 140 Å의 범위, 또는 약 120 Å의 두께를 갖는다.
[0095] 어닐링 프로세스는 막의 하부 부분들보다 막(810)의 상단 부분에 더 많은 정도로 영향을 미칠 것으로 예상되었었다. 그러나, 놀랍게도, 어닐링 프로세스가 최대 약 300 Å 또는 그 초과의 깊이들까지 균일한 방식으로 막에 영향을 미친다는 것이 발견되었다. 일부 실시예들의 어닐링된 등각적 막(820)은 탄소, 질소, 및 산소의 실질적으로 균일한 조성을 갖는다. 그러한 방식으로 사용되는 바와 같이, “실질적으로 균일한 조성”이라는 용어는 막의 조성이 상대적으로 막 내의 깊이에 따라 약 10, 5, 2, 또는 1 %이상 변하지 않는 것을 의미한다. 예컨대, 10 Å의 깊이에서의 막의 조성은 약 50 Å의 깊이에서의 막의 조성과 실질적으로 균일하다.
[0096] 도 1을 참조하면, 일부 실시예들의 제어기(195)는 판독가능 매체 상에 저장된 하나 또는 그 초과의 구성들 또는 실행가능 프로그램들을 갖는다. 일부 실시예들에서, 제어기(195)는 회로들, 프로세서, 입력/출력 디바이스들, 일시적 메모리(즉, 랜덤 액세스 메모리), 비-일시적 메모리(즉, 하드 디스크 드라이브), 및/또는 하드웨어 컴포넌트들에 대한 연결부들 중 하나 또는 그 초과를 포함한다. 일부 실시예들에서, 제어기(195)는, 배치 프로세싱 챔버로 기판을 이동시키기 위한 제1 구성, 배치 프로세싱 챔버에서 기판 상에 막을 증착하기 위해, 배치 프로세싱 챔버에 복수의 프로세스 가스들을 제공하기 위한 제2 구성, 배치 프로세싱 챔버로부터 스팀 어닐링 챔버로 기판을 이동시키기 위한 제3 구성, 스팀 어닐링 챔버를 어닐링 온도로 가열하기 위한 제4 구성, 스팀 어닐링 챔버에 수증기의 유동을 제공하기 위한 제5 구성, 또는 스팀 어닐링 챔버로부터 기판을 제거하기 위한 제6 구성으로부터 선택되는 적어도 하나의 구성을 갖는다. 구성들은, 다양한 구성들을 수행하기 위해 유량들, 가스 밸브들, 회전, 이동, 가열, 냉각, 또는 다른 프로세스들을 제어하기 위한 임의의 커맨드들 또는 기능들을 포함할 수 있다.
예들
[0097] 실리콘 카보나이트라이드 막들이 비스(트리클로로실릴)메탄 및 암모니아에 대한 순차적인 노출에 의해 실리콘 기판들 상에 증착되었다. SiCN 막이 스팀 어닐링 프로세스에 노출되었다. 약 340 Å의 SiCN이 증착되었고, 이어서, 500 ℃, 75 T, 600 sccm 물 앰플 푸시 플로우(push flow)로 1 시간 동안 어닐링되었다. 막 특성들에 대한 물 앰플 온도의 영향이 표 1에 열거된다.
[0098] 습식 에칭 레이트 및 유전 상수는 물 투여량이 증가됨에 따라(즉, 앰플 온도가 더 높아짐에 따라) 감소되는 것으로 관찰되었다. 습식 에칭 레이트는 에천트(1 % HF)에 대한 제2의 꽉채운 1분의 노출에 따라 측정되었다.
[0099] SiCN 막들이 증착되었고, 그리고 SiOC 막을 형성하기 위해, 상이한 조건들 하의 퍼니스 어닐링에 노출되었다. 결과들이 표 2에 열거된다.
*인-시튜 어닐링은 증착과 동일한 배치 프로세싱 챔버에서 발생하였다.
[00100] SiOC 막들의 원자 조성이 표 3에 열거된다.
[00101] 하나 또는 그 초과의 실시예들에 따르면, 기판은 층을 형성하기 전에 그리고/또는 층을 형성한 후에 프로세싱을 받는다. 이러한 프로세싱은 동일한 챔버에서, 또는 하나 또는 그 초과의 별개의 프로세싱 챔버들에서 수행될 수 있다. 일부 실시예들에서, 기판은 추가적인 프로세싱을 위해 제1 챔버로부터 별개의 제2 챔버로 이동된다. 기판은 제1 챔버로부터 별개의 프로세싱 챔버로 직접적으로 이동될 수 있거나, 또는 기판은 제1 챔버로부터 하나 또는 그 초과의 이송 챔버들로 이동된 후에 별개의 프로세싱 챔버로 이동될 수 있다. 따라서, 프로세싱 장치는 이송 스테이션과 연통하는 다수의 챔버들을 포함할 수 있다. 이러한 종류의 장치는 "클러스터 툴" 또는 "클러스터형 시스템" 등이라고 지칭될 수 있다.
[00102] 일반적으로, 클러스터 툴은, 기판 중심-발견 및 배향, 탈기, 어닐링, 증착, 및/또는 에칭을 포함하는 다양한 기능들을 수행하는 다수의 챔버들을 포함하는 모듈식 시스템이다. 하나 또는 그 초과의 실시예들에 따르면, 클러스터 툴은 적어도 제1 챔버 및 중앙 이송 챔버를 포함한다. 중앙 이송 챔버는, 프로세싱 챔버들과 로드 락 챔버들 사이에서 그리고 이들 간에서 기판들을 셔틀링(shuttle)할 수 있는 로봇을 하우징할 수 있다. 이송 챔버는 전형적으로, 진공 조건에서 유지되고, 하나의 챔버로부터 다른 챔버로, 그리고/또는 클러스터 툴의 전단부에 포지셔닝된 로드 락 챔버로 기판들을 셔틀링하기 위한 중간 스테이지를 제공한다. 본 발명에 대해 적응될 수 있는 2개의 잘-알려진 클러스터 툴들은 Centura® 및 Endura®이며, 이들 양자 모두는 캘리포니아, 산타클라라의 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능하다. 그러나, 챔버들의 정확한 어레인지먼트 및 조합은 본원에서 설명되는 바와 같은 프로세스의 특정한 단계들을 수행하는 목적들을 위해 변경될 수 있다. 사용될 수 있는 다른 프로세싱 챔버들은, 순환 층 증착(CLD), 원자 층 증착(ALD), 화학 기상 증착(CVD), 물리 기상 증착(PVD), 에칭, 사전-세정, 화학 세정, 열 처리, 이를테면 RTP, 플라즈마 질화, 탈기, 배향, 수산화, 및 다른 기판 프로세스들을 포함한다(그러나 이에 제한되지는 않는다). 클러스터 툴 상의 챔버에서 프로세스들을 수행함으로써, 후속 막을 증착하기 전의 산화 없이도, 대기 불순물들에 의한 기판의 표면 오염이 방지될 수 있다.
[00103] 하나 또는 그 초과의 실시예들에 따르면, 기판은 계속 진공 또는 "로드 락" 조건들 하에 있고, 하나의 챔버로부터 다음 챔버로 이동되는 경우에 주변 공기에 노출되지 않는다. 그에 따라, 이송 챔버들은 진공 하에 있고, 진공 압력 아래로 "펌프 다운(pump down)"된다. 비활성 가스들이 프로세싱 챔버들 또는 이송 챔버들에 존재할 수 있다. 일부 실시예들에서, 비활성 가스는 반응물들 중 일부 또는 전부를 제거하기 위해 퍼지 가스로서 사용된다. 하나 또는 그 초과의 실시예들에 따르면, 퍼지 가스는, 반응물들이 증착 챔버로부터 이송 챔버로 그리고/또는 부가적인 프로세싱 챔버로 이동하는 것을 방지하기 위해, 증착 챔버의 출구에서 주입된다. 따라서, 비활성 가스의 유동은 챔버의 출구에서 커튼을 형성한다.
[00104] 기판은, 단일 기판이 로딩되고, 프로세싱되고, 다른 기판이 프로세싱되기 전에 언로딩되는 단일 기판 증착 챔버들에서 프로세싱될 수 있다. 기판은 또한, 컨베이어 시스템과 유사하게 연속적인 방식으로 프로세싱될 수 있고, 여기에서, 다수의 기판이 챔버의 제1 부분 내로 개별적으로 로딩되고, 챔버를 통해 이동하고, 챔버의 제2 부분으로부터 언로딩된다. 챔버 및 연관된 컨베이어 시스템의 형상은 직진 경로 또는 휘어진 경로를 형성할 수 있다. 부가적으로, 프로세싱 챔버는, 다수의 기판들이 중심 축을 중심으로 이동되고, 캐러셀 경로의 전체에 걸쳐 증착, 에칭, 어닐링, 세정 등의 프로세스들에 노출되는 캐러셀일 수 있다.
[00105] 프로세싱 동안에, 기판은 가열 또는 냉각될 수 있다. 그러한 가열 또는 냉각은, 기판 지지부의 온도를 변화시키는 것 및 가열된 또는 냉각된 가스들을 기판 표면으로 유동시키는 것을 포함하는(그러나 이에 제한되지는 않는) 임의의 적합한 수단에 의해 달성될 수 있다. 일부 실시예들에서, 기판 지지부는, 전도 방식으로 기판 온도를 변화시키도록 제어될 수 있는 가열기/냉각기를 포함한다. 하나 또는 그 초과의 실시예들에서, 채용되고 있는 가스들(반응성 가스들 또는 비활성 가스들)은 기판 온도를 국부적으로 변화시키도록 가열 또는 냉각된다. 일부 실시예들에서, 대류 방식으로 기판 온도를 변화시키기 위해, 챔버 내에서 기판 표면 근처에 가열기/냉각기가 포지셔닝된다.
[00106] 기판은 또한, 프로세싱 동안에 고정될 수 있거나 또는 회전될 수 있다. 회전하는 기판은 연속적으로 또는 불연속적인 스텝들로 회전될 수 있다. 예컨대, 기판이 전체 프로세스의 전체에 걸쳐 회전될 수 있거나, 또는 상이한 반응성 또는 퍼지 가스들에 대한 노출들 사이에서 기판이 소량 회전될 수 있다. (연속적으로 또는 스텝들로) 프로세싱 동안에 기판을 회전시키는 것은, 예컨대, 가스 유동 기하형상들의 국부적인 변동성의 영향을 최소화함으로써, 더 균일한 증착 또는 에칭을 발생시키는 것을 도울 수 있다.
[00107] 본 명세서의 전체에 걸친 "일 실시예", "특정한 실시예들", "하나 또는 그 초과의 실시예들", 또는 "실시예"에 대한 언급은, 그 실시예에 관하여 설명되는 특정한 피처, 구조, 재료, 또는 특성이 본 발명의 적어도 하나의 실시예에 포함되는 것을 의미한다. 따라서, 본 명세서의 전체에 걸친 다양한 개소들에서의 "하나 또는 그 초과의 실시예들에서", "특정한 실시예들에서", "일 실시예에서", 또는 "실시예에서"와 같은 문구들의 출현들은 반드시 본 발명의 동일한 실시예를 지칭하는 것은 아니다. 게다가, 특정한 피처들, 구조들, 재료들, 또는 특성들은 하나 또는 그 초과의 실시예들에서 임의의 적합한 방식으로 조합될 수 있다.
[00108] 여기에서 본 발명이 특정한 실시예들을 참조하여 설명되었지만, 이들 실시예들이 단지, 본 발명의 적용들 및 원리들을 예시할 뿐이라는 것이 이해될 것이다. 본 발명의 사상 및 범위로부터 벗어나지 않으면서, 본 발명의 방법 및 장치에 대해 다양한 변형들 및 변화들이 이루어질 수 있다는 것이 당업자에게 자명할 것이다. 따라서, 본 발명이 첨부된 청구항들 및 이들의 등가물들의 범위 내에 있는 변형들 및 변화들을 포함하도록 의도된다.

Claims (15)

  1. 막을 증착하는 방법으로서,
    복수의 피처(feature)들이 내측에 형성된 기판 표면을 갖는 기판을 제공하는 단계 ― 각각의 피처는 상기 기판 표면으로부터 일정 거리만큼 연장되고, 하단 및 적어도 하나의 측벽을 가짐 ―;
    상기 기판 표면 상에 등각적(conformal) 막을 형성하는 단계; 및
    증가된 산소 함유량을 갖는 어닐링된 등각적 막을 형성하기 위해, 스팀 어닐링에 상기 등각적 막을 노출시키는 단계
    를 포함하고,
    형성된 상기 등각적 막은 초기 탄소 함유량, 초기 질소 함유량, 및 초기 산소 함유량을 갖는 SiCN을 포함하며, 상기 스팀 어닐링은 상기 초기 질소 함유량을 어닐링된 질소 함유량으로 감소시키고, 상기 초기 산소 함유량을 어닐링된 산소 함유량으로 증가시키고, 상기 스팀 어닐링 후의 어닐링된 탄소 함유량은 상기 초기 탄소 함유량의 ± 10 % 내에 있는,
    막을 증착하는 방법.
  2. 삭제
  3. 삭제
  4. 제1 항에 있어서,
    상기 어닐링된 질소 함유량은 원자 기준으로 1 %와 동일하거나 또는 그 미만인,
    막을 증착하는 방법.
  5. 삭제
  6. 제1 항에 있어서,
    상기 어닐링된 탄소 함유량은 원자 기준으로 10 %인,
    막을 증착하는 방법.
  7. 제1 항에 있어서,
    상기 등각적 막은 10 Å 내지 500 Å의 범위의 두께를 갖는,
    막을 증착하는 방법.
  8. 제7 항에 있어서,
    상기 어닐링된 등각적 막은 탄소, 질소, 및 산소의 실질적으로 균일한 조성을 갖는,
    막을 증착하는 방법.
  9. 제8 항에 있어서,
    상기 어닐링된 등각적 막은 100 Å 내지 140 Å의 범위의 두께, 제1의 1분 동안(for the first minute) 20 Å/분 미만인, 희석된 HF에서의 습식 에칭 레이트, 1.5 x 10-7 A/cm2과 동일한 또는 그 미만의 누설 전류, 및 5 미만의 유전 상수를 갖는,
    막을 증착하는 방법.
  10. 제1 항에 있어서,
    상기 등각적 막을 형성하는 단계는 실리콘 전구체 및 반응물에 상기 기판을 순차적으로 노출시키는 단계를 포함하는,
    막을 증착하는 방법.
  11. 제10 항에 있어서,
    상기 실리콘 전구체는 비스(트리클로로실릴)메탄을 포함하고, 상기 반응물은 암모니아를 포함하는,
    막을 증착하는 방법.
  12. 제1 항에 있어서,
    상기 등각적 막을 형성하는 단계 및 상기 스팀 어닐링은 동일한 프로세싱 챔버에서 발생하는,
    막을 증착하는 방법.
  13. 제1 항에 있어서,
    상기 등각적 막을 형성하는 단계는 원자 층 증착 챔버에서 발생하고, 상기 스팀 어닐링은 퍼니스(furnace)에서 발생하는,
    막을 증착하는 방법.
  14. 제1 항에 있어서,
    상기 스팀 어닐링은 300 ℃ 내지 600 ℃의 범위의 온도에서 발생하는,
    막을 증착하는 방법.
  15. 프로세싱 플랫폼으로서,
    복수의 측면들 및 내부에 포지셔닝된(positioned) 로봇을 포함하는 중앙 이송 스테이션;
    상기 중앙 이송 스테이션의 측면에 연결된 배치(batch) 프로세싱 챔버;
    상기 중앙 이송 스테이션의 측면에 연결된 스팀 어닐링 챔버; 및
    상기 중앙 이송 스테이션, 상기 배치 프로세싱 챔버, 및 상기 스팀 어닐링 챔버에 커플링된 제어기
    를 포함하며,
    상기 제어기는, 상기 배치 프로세싱 챔버로 기판을 이동시키기 위한 제1 구성, 상기 배치 프로세싱 챔버에서 상기 기판 상에 막을 증착하기 위해, 상기 배치 프로세싱 챔버에 복수의 프로세스 가스들을 제공하기 위한 제2 구성, 상기 배치 프로세싱 챔버로부터 상기 스팀 어닐링 챔버로 기판을 이동시키기 위한 제3 구성, 상기 스팀 어닐링 챔버를 어닐링 온도로 가열하기 위한 제4 구성, 상기 스팀 어닐링 챔버에 수증기의 유동을 제공하기 위한 제5 구성, 또는 상기 스팀 어닐링 챔버로부터 상기 기판을 제거하기 위한 제6 구성으로부터 선택되는 하나 또는 그 초과의 구성들을 갖고,
    상기 막은 초기 탄소 함유량, 초기 질소 함유량, 및 초기 산소 함유량을 갖는 SiCN을 포함하는 등각적 막이며, 스팀 어닐링은 상기 초기 질소 함유량을 어닐링된 질소 함유량으로 감소시키고, 상기 초기 산소 함유량을 어닐링된 산소 함유량으로 증가시키고, 상기 스팀 어닐링 후의 어닐링된 탄소 함유량은 상기 초기 탄소 함유량의 ± 10 % 내에 있는,
    프로세싱 플랫폼.
KR1020187020265A 2017-04-13 2018-04-12 저-k 막들의 증착을 위한 방법 및 장치 KR102646828B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762485354P 2017-04-13 2017-04-13
US62/485,354 2017-04-13
US201762523546P 2017-06-22 2017-06-22
US62/523,546 2017-06-22
PCT/US2018/027280 WO2018191484A1 (en) 2017-04-13 2018-04-12 Method and apparatus for deposition of low-k films

Publications (2)

Publication Number Publication Date
KR20190130466A KR20190130466A (ko) 2019-11-22
KR102646828B1 true KR102646828B1 (ko) 2024-03-11

Family

ID=63790278

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187020265A KR102646828B1 (ko) 2017-04-13 2018-04-12 저-k 막들의 증착을 위한 방법 및 장치

Country Status (5)

Country Link
US (2) US10453678B2 (ko)
KR (1) KR102646828B1 (ko)
CN (2) CN110612596B (ko)
TW (2) TWI791508B (ko)
WO (1) WO2018191484A1 (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020016914A1 (ja) * 2018-07-17 2020-01-23 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置及びプログラム
WO2020251696A1 (en) * 2019-06-10 2020-12-17 Applied Materials, Inc. Processing system for forming layers
JP7138130B2 (ja) 2020-03-04 2022-09-15 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置およびプログラム
CN116926511A (zh) * 2023-09-18 2023-10-24 上海陛通半导体能源科技股份有限公司 气相沉积设备和晶圆应力调整方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004047996A (ja) 2002-07-02 2004-02-12 Asm Japan Kk 窒素がドープされたシリコンカーバイド膜の蒸着方法

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5591681A (en) * 1994-06-03 1997-01-07 Advanced Micro Devices, Inc. Method for achieving a highly reliable oxide film
US5900290A (en) * 1998-02-13 1999-05-04 Sharp Microelectronics Technology, Inc. Method of making low-k fluorinated amorphous carbon dielectric
US6100160A (en) * 1998-02-17 2000-08-08 Texas Instruments Incorporated Oxide etch barrier formed by nitridation
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7101812B2 (en) * 2002-09-20 2006-09-05 Mattson Technology, Inc. Method of forming and/or modifying a dielectric film on a semiconductor surface
US7658973B2 (en) * 2003-02-04 2010-02-09 Applied Materials, Inc. Tailoring nitrogen profile in silicon oxynitride using rapid thermal annealing with ammonia under ultra-low pressure
KR100558008B1 (ko) * 2003-12-29 2006-03-06 삼성전자주식회사 반도체 소자의 배선 방법
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
TWI366876B (en) * 2006-05-30 2012-06-21 Applied Materials Inc A novel deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US20080132039A1 (en) * 2006-12-01 2008-06-05 Yonah Cho Formation and treatment of epitaxial layer containing silicon and carbon
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7541297B2 (en) * 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US20090305515A1 (en) * 2008-06-06 2009-12-10 Dustin Ho Method and apparatus for uv curing with water vapor
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
JP2013516763A (ja) * 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US8524004B2 (en) * 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) * 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US20120177846A1 (en) * 2011-01-07 2012-07-12 Applied Materials, Inc. Radical steam cvd
US8809175B2 (en) 2011-07-15 2014-08-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of anneal after deposition of gate layers
US9243324B2 (en) * 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
US9643844B2 (en) * 2013-03-01 2017-05-09 Applied Materials, Inc. Low temperature atomic layer deposition of films comprising SiCN or SiCON
US9136166B2 (en) 2013-03-08 2015-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and methods of making same
US9159604B2 (en) 2013-03-11 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method for forming the same
US20140273526A1 (en) * 2013-03-12 2014-09-18 David Thompson Atomic Layer Deposition Of Films Comprising Si(C)N Using Hydrazine, Azide And/Or Silyl Amine Derivatives
US20140329027A1 (en) * 2013-05-02 2014-11-06 Applied Materials, Inc. Low temperature flowable curing for stress accommodation
CN103646908B (zh) * 2013-12-02 2016-04-06 上海华力微电子有限公司 一种利用高深宽比工艺的器件隔离方法
US9514933B2 (en) * 2014-01-05 2016-12-06 Applied Materials, Inc. Film deposition using spatial atomic layer deposition or pulsed chemical vapor deposition
TW201610215A (zh) * 2014-03-27 2016-03-16 應用材料股份有限公司 用於低熱預算處理的循環尖峰退火化學曝露
TW201615879A (zh) * 2014-10-03 2016-05-01 應用材料股份有限公司 高溫二氧化矽原子層沉積技術
US9875888B2 (en) * 2014-10-03 2018-01-23 Applied Materials, Inc. High temperature silicon oxide atomic layer deposition technology
TWI693295B (zh) * 2015-02-06 2020-05-11 美商諾發系統有限公司 碳化矽膜之保形沉積
US9799511B2 (en) * 2015-05-02 2017-10-24 Applied Materials, Inc. Methods for depositing low k and low wet etch rate dielectric thin films
US9460920B1 (en) 2015-05-11 2016-10-04 Applied Materials, Inc. Horizontal gate all around device isolation
US10916542B2 (en) * 2015-12-30 2021-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Recessed STI as the gate dielectric of HV device
US20170222026A1 (en) 2016-02-03 2017-08-03 United Microelectronics Corp. Method of fabricating fin field effect transistor
US10115624B2 (en) 2016-06-30 2018-10-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of semiconductor integrated circuit fabrication

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004047996A (ja) 2002-07-02 2004-02-12 Asm Japan Kk 窒素がドープされたシリコンカーバイド膜の蒸着方法

Also Published As

Publication number Publication date
CN116892014A (zh) 2023-10-17
US10453678B2 (en) 2019-10-22
US20180301333A1 (en) 2018-10-18
WO2018191484A1 (en) 2018-10-18
TWI791508B (zh) 2023-02-11
TW201900914A (zh) 2019-01-01
US10957532B2 (en) 2021-03-23
KR20190130466A (ko) 2019-11-22
US20200006064A1 (en) 2020-01-02
CN110612596A (zh) 2019-12-24
TW202322217A (zh) 2023-06-01
CN110612596B (zh) 2023-08-15

Similar Documents

Publication Publication Date Title
KR102493326B1 (ko) 유전체 막들의 선택적 증착을 위한 방법 및 장치
JP7125343B2 (ja) 表面毒化処理によるボトムアップ式間隙充填
KR102646828B1 (ko) 저-k 막들의 증착을 위한 방법 및 장치
KR102312827B1 (ko) 저-k 막들의 증착을 위한 방법들 및 장치
US9799511B2 (en) Methods for depositing low k and low wet etch rate dielectric thin films
US10014185B1 (en) Selective etch of metal nitride films
WO2017034855A1 (en) High temperature thermal ald silicon nitride films
US20180155834A1 (en) Integrated Atomic Layer Deposition Tool
KR20190129146A (ko) 고 종횡비 구조들에서의 갭충전을 위한 방법들
KR102649084B1 (ko) 알루미늄 함유 막들을 이용한 갭충전
KR102307233B1 (ko) 금속 산화물 후처리를 위한 방법들
US20220238331A1 (en) Gapfill process using pulsed high-frequency radio-frequency (hfrf) plasma
KR20190123804A (ko) 워드라인 저항을 낮추는 방법들

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant