JP2004047996A - 窒素がドープされたシリコンカーバイド膜の蒸着方法 - Google Patents

窒素がドープされたシリコンカーバイド膜の蒸着方法 Download PDF

Info

Publication number
JP2004047996A
JP2004047996A JP2003176881A JP2003176881A JP2004047996A JP 2004047996 A JP2004047996 A JP 2004047996A JP 2003176881 A JP2003176881 A JP 2003176881A JP 2003176881 A JP2003176881 A JP 2003176881A JP 2004047996 A JP2004047996 A JP 2004047996A
Authority
JP
Japan
Prior art keywords
silicon
nitrogen
carbon
chemical
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2003176881A
Other languages
English (en)
Inventor
Auguste J L Sophie
オーグスト・ジェー・エル・ソフィー
Noritoshi Ozaki
尾崎 文紀
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM Japan KK
Original Assignee
ASM Japan KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Japan KK filed Critical ASM Japan KK
Publication of JP2004047996A publication Critical patent/JP2004047996A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si

Abstract

【課題】エッチストップ層として機能するSi−C−N材料をPECVDを使って基板上に蒸着するための改良された方法を与える。
【解決手段】炭素窒化物シリコン(Si−C−N)材料を蒸着するための処理はプラズマ強化化学気相成長(PECVD)に関し、そこではシリコン及び炭素用の化学前駆物質が窒素ガス(N2)によって補助される。窒素ガスは他の化学前駆物質及びPECVD処理中のプラズマ種を補助するだけでなく、膜形成に関与する。窒素キャリアガスは他の化学前駆物質と同様にプラズマエネルギーによって活性化される。窒素ガスの励起種は基板上にSi−C−N材料を蒸着するようシリコン及び炭素の励起種と反応する。窒素ガスの使用はプラズマの安定性を改善しかつPECVD処理中のアーキングを排除する。
【選択図】図1

Description

【0001】
【発明の属する技術分野】
本発明は概して集積回路製造過程での膜蒸着に関し、特に窒素がドープされたシリコンカーバイド膜の蒸着に関する。
【0002】
【従来技術及び発明が解決しようとする課題】
集積回路が製造される際、所望の構造を作り出すため絶縁体材料、導体材料及び半導体材料が蒸着されかつパターン化される。“バックエンド”若しくは金属化処理はコンタクト形成及び金属線若しくは導線形成を含む。コンタクト形成は絶縁層を通じて導体層を垂直方向に結合する。従来、コンタクトビア若しくは開口は、典型的にボロフォスフォシリケートグラス(BPSG)のような酸化物、テトラエチルオルソシリケート(TEOS)前駆物質若しくはより新規な低k材料から形成される酸化物から成る絶縁層内に形成される。その後、ビアは導電材料で充填され、それによって電気デバイスと配線とが絶縁層の上下で連結される。典型的に、垂直コンタクトによって連結された層は集積回路を横切って延びる水平金属線を含む。従来そのような導線は、絶縁層上に金属層を蒸着し、該金属層を所望の配線パターンでマスキングし、所望の配線若しくは導線の間から金属をエッチングすることによって形成されている。
【0003】
ダマシン加工は、所望の導線パターン内にトレンチを形成し、該トレンチを金属若しくは他の導電材料で充填し、該金属を絶縁層までエッチバック若しくは研磨する工程を含む。配線はトレンチ内に残り、所望のパターン内で互いに絶縁される。エッチバック処理は、従来の金属線画成(特に銅メタライゼーション用)のより困難なフォトリソグラフィーマスク及びエッチング処理を回避する。
【0004】
ダマシン加工の発展型である、デュアルダマシンとして知られる加工は、エッチストップ材料によって典型的に分離される2つの絶縁層を形成する工程、及びダマシン加工に対して上述されたように上部絶縁層内にトレンチを形成する工程を含む。コンタクトビアは、接触させたい下側の導電部材を露出させるようトレンチの床及び下側絶縁層を通じてエッチングされる。当業者が認識するように、デュアルダマシン加工には多くの処理方法が利用できる。例えば、トレンチは上側の絶縁層を通じてエッチングされ、その後コンタクトビアをエッチングするのにさらにマスクが使用されてもよい。他の方法において、絶縁層間に埋められたハードマスクがコンタクトビアを画成し、該ハードマスクを通じて続けられるエッチングがトレンチの床からビアを延ばす。変形的実施例において、最初にコンタクトビアが上側及び下側の絶縁膜を通じてエッチングされ、その後他のマスクを使ってトレンチを形成するべく上側の絶縁層内のビアが広げられる。
【0005】
ビア若しくはトレンチ壁内部に蒸着材料を閉じ込めるのを助けるよう、しばしば保護バリアがビア若しくはトレンチ壁と基板アセンブリ内の金属との間に形成される。その後これらの一列のビア若しくはトレンチは、化学気相成長(CVD)、物理気相成長(PVD)及び電気メッキを含むさまざまな処理のいずれかによって金属で充填される。
【0006】
図1は、好適にはバリア層15を介在して導電配線層14の上に下側絶縁層12が形成され、続いてその上に上側絶縁層10が形成されるところの自己整合デュアルダマシン加工を示す。このバリア層15は下層金属層14から上層絶縁層12への銅若しくは他の導電材料の拡散を減少させるか若しくは防止するよう機能し、同時にビア形成中のエッチストップとしても機能する。
【0007】
所望の配線パターンでトレンチ16及びコンタクトビア20をパターン化しかつエッチングするのにマスクが使用される。図示された実施例において、トレンチ16は2つの絶縁層10、12の間に形成されるエッチストップ層19のレベルまでエッチングされる。自己整合デュアルダマシン加工において、典型的にこのエッチストップ層19はトレンチ16の底部から伸長するべく所望のコンタクトビアの水平寸法を画成する埋め込みハードマスクを形成するよう上側の絶縁層10の蒸着に先立ってパターン化及びエッチングされる。トレンチ16が上側の絶縁層10を通じてエッチングされた後、ハードマスク19を通じて続けられるエッチングによりトレンチ16の底部から下側導電配線層14へコンタクトビア20が開口される。図1は当業者によって認識されるように、後の平坦化工程をやめるための上側の絶縁層10上の上部エッチストップ若しくは化学的機械的研磨(CMP)ストップ層21を示す。トレンチ16及びコンタクトビア20が形成されると、典型的にそれらはバリア層22と一列に並べられ、導電配線層14と接続するために銅若しくは他の導電材料23で充填される。その後、トレンチ16及びコンタクトビア20を充填した銅若しくは他の導電材料は研磨によってエッチバック(図示せず)され、金属線をトレンチ16内に及びコンタクトをビア20内に残す。
【0008】
上述したように、典型的に層15、19、21はダマシン加工においてドライエッチもCMP処理工程の間ストップ層として働く。ストップ層として働く際、エッチストップはエッチング若しくはCMP処理による下層絶縁層及び/または導電材料層の磨耗を防止する。また、エッチストップ層は付加的に拡散バリアとして機能し、銅若しくは他の導電材料が絶縁層内に拡散するのを防止する。従来これらのエッチストップ層は窒化シリコン、特にSiであった。しかし最近は炭化シリコン(SiC)及び酸化炭化シリコン(SiOC)が使用されている。
【0009】
典型的に、エッチストップ層はプラズマ強化化学気相成長(PECVD)によって蒸着される。PECVDは気相化学前駆物質を使って基板上に所望の材料を蒸着するための化学気相成長(CVD)技術の一種である。概して、CVD技術は化学前駆物質を供給しながら、それらを反応させ基板上に蒸着させることによって実行される。化学前駆物質は、ひとつ若しくはそれ以上の化学結合を解くことによって前駆物質を破壊するのに有効な量のエネルギーへ化学前駆物質をさらすことによって活性化される。PECVDにおいて、プラズマ相内で活性の高い種に変えるために気相化学前駆物質へ電磁場が印加される。これらの活性種は互いに反応し、基板上に所望の組成材料を蒸着させる。
【0010】
【課題を解決するための手段】
発明のひとつの態様に従って、基板上に炭素窒化物シリコン(Si−C−N)材料を蒸着するための方法は、面を有する基板を処理チャンバ内にロードする工程を含む。少なくともひとつの化学前駆物質及び窒素ガスを含むキャリアガスが処理チャンバ内に導入される。電磁エネルギーが少なくともひとつの化学前駆物質及びキャリアガスに印加され、それによって基板面上にSi−C−N材料が蒸着される。
【0011】
発明の他の態様に従って、プラズマ強化化学気相成長により炭素窒化物シリコン材料を形成する方法は、面を有する基板をチャンバ内に与える工程を含む。シリコン元素、炭素元素及び窒素元素から成る励起種が窒素ガス(N)から成るプラズマ補助ガス内に生成される。基板面はプラズマ補助ガスによって補助された励起種に晒される。
【0012】
発明の他の態様に従って、集積回路製造におけるシリコン及び炭素から成る層を形成するための処理方法が与えられる。当該方法は、化学前駆物質を伴出するキャリアガスとともにひとつ若しくはそれ以上の化学前駆物質をプラズマ強化化学気相成長(PECVD)用のチャンバ内に導入する工程を含む。該化学前駆物質はシリコン及び炭素を含む。PECVDは、キャリアガスがそれ自身の励起種を生成するよう活性化され、それによってシリコン、炭素及びキャリアガスの元素から成る層がチャンバ内の基板上に蒸着されるようにチャンバ内で実行される。
【0013】
【発明の実施の態様】
本発明の好適実施例はプラズマ強化化学気相成長(PECVD)によるSi−C−N材料の蒸着に関する。本開示において、用語Si−C−Nは、それに関連する材料全体の化学量を示していないため通常の意味での化学式を表すものではない。Si−C−Nは少なくともシリコン元素、炭素元素及び窒素元素を含む組成材料であり、ひとつ若しくはそれ以上の付加的元素を含んでもよい。Si−C−N材料は、“炭素窒化物シリコン”若しくは“窒素ドープされたシリコンカーバイド”と呼ばれる。同様にして、“シリコンカーバイド”と呼ばれるSi−Cは少なくともシリコン元素及び炭素元素を含む材料であり、窒素のようなひとつ若しくはそれ以上の付加的元素を含んでも良くその場合Si−C−NはSi−Cの一種として扱われる。
【0014】
従来技術で説明したように、ハードマスク、エッチストップ若しくは不動態化層として使用するためのSi−C層はPECVD処理を使って蒸着されてきた。典型的に、ひとつ若しくはそれ以上の化学前駆物質ガスがプラズマを形成するべく活性化される。化学前駆物質の活性種は基板表面上で互いに反応し、Si−C膜を形成する。化学前駆物質ガスに加え、典型的なPECVD処理はキャリアガスを利用する。概して、キャリアガスは化学前駆物質をPECVDチャンバへの通路へ伴出するための流れを与えるガス若しくはガスの混合物である。キャリアガスは、振動電場内でプラズマを点火するために適当なガス密度を与えるという意味で“プラズマ補助ガス”としても機能する。ヘリウム、ネオン、アルゴン、クリプトン若しくはキセノンのような不活性若しくは貴ガスがしばしばキャリアガスとして使用される。例えば、典型的にSi−C膜のPECVDはSi及びC元素に対して化学前駆物質分子を補助するのに不活性ガスを使用する。不活性ガスは化学前駆物質のプラズマ種との成膜反応に関与しない。
【0015】
本発明者らは、不活性ガスとともに有機シリコン前駆物質を使用すると、プラズマが不安定になり、蒸着中にアーキングが生じすることを発見した。この不安定のひとつの可能な説明は、不活性ガスが化学種の活性化用のガスに結合されたエネルギーを吸収し、該エネルギーをアーキングによって放電し、PECVD処理の効率を低下させるというものである。そのようなアーキングは蒸着されたSi−C膜内に欠陥を生じさせる。また、アーキング無しで蒸着されたSi−C膜でも比較的不安定であり、エージング効果を示す。蒸着後、例えばその後の最初の1週間で、膜の屈折率及び応力は時間の関数として大きく変化する。
【0016】
本発明の好適実施例に従い、Si−C−Nハードマスク、エッチストップ若しくは不動態化層用のPECVDが、変形的に“窒素ガス”とも呼ばれる2元素窒素(N)の存在下で実行される。窒素ガスは貴ガスの替わりのキャリア若しくはプラズマ補助ガスとして機能する。窒素キャリアガスは運搬中及びSi−C−N材料の蒸着中に化学前駆物質及びプラズマ種を補助する。付加的に、窒素ガスは窒素種に対する化学前駆物質として機能する。窒素ガス及び化学前駆物質はさまざまなN、C及びSi種を含むプラズマ組成を作成するようプラズマエネルギーによって活性化される。活性窒素種はプラズマ中でシリコン及び炭素種と反応することにより膜形成に関与する。好適には、窒素ガスは生成されるSi−C−N材料内の窒素元素の唯一のソースである。
【0017】
2元素窒素キャリアガスの存在はプラズマを安定化し、アーキングを生じさせないか若しくは貴ガスキャリアを使用する場合に比べ蒸着中に発生するアーキングは少なくとも非常に小さくなる。さらに、発明者らは、蒸着された膜中に組み込まれる窒素は生成膜に安定性を与えるが、膜中での窒素の存在はハードマスク若しくは不動態化層としての膜の応用にとって不利ではないことを見出した。生成膜のエージング効果は減少を示した。例えば、膜の屈折率は窒素の替わりに貴キャリアガスを使い同じ処理を行って蒸着されたSi−C膜より小さい変化を有する。また、膜の熱安定性が改善される。
【0018】
Si−C−N層を形成するための処理は周知である。しかし典型的に、従来の窒素ソースは素早い調整が可能な方法で使用される。例えば、前駆物質としてNH3を有する従来のCVDを使用する際アンモニアはたやすく分解し、化学前駆物質の相対濃度を変化させ、組み込まれる窒素の比率に影響を及ぼす。対照的に、PECVD用のキャリアガスとして窒素ガスを使用すると、窒素濃度の調整にそれほど影響しない。
【0019】
一方、アンモニアガスを使用する際、生成層は大量の水素を含む。本発明の好適実施例に従う窒素ガスの使用は、従来のプラズマ補助ガス(例えば、貴ガス)を使用するときより安定なプラズマを与える。また、生成材料中の水素含有量はアンモニアガスの代わりに前駆物質として窒素ガスを使用することで非常に減少する。ハードマスク若しくは不動態化層の作成には低濃度の水素が好適である。生成膜の窒素含有量は制御可能ではないが、生成膜はエッチストップ層として適していることがわかった。
【0020】
図示の都合上、図2はPECVD蒸着システム30の例を単純化したものである。このシステム30はプラズマを保持するのに適した圧力まで真空排気され得る処理チャンバ32を含む。チャンバ32において、2つの平面電極34及び36が対向して配置され、それらの間に空間37を画成する。これらの電極34及び36はチャンバ32の外側に配置されたプラズマエネルギー発生器38へ電気的に接続されている。プラズマエネルギー発生器38は好適にはRF発生器である。プラズマエネルギー発生器38が作動されると、高エネルギーの電磁場が電極34と36との間の空間37に作成される。下側の電極36はひとつ若しくはそれ以上の基板42を上に載置するよう構成される。好適には、下側の電極36は内部に動作中基板42を加熱するための加熱コイル若しくは加熱ブロック(図示せず)を有する。ガス輸送ライン40はガス状の化学前駆物質をチャンバ32内に輸送するよう構成される。好適には上側の電極34は、ガス状の化学前駆物質を受け入れるようガス輸送ライン40へ結合される。好適には上側の電極34は複数の穴を有し、図2の点線で示されるように、それを通じてガス輸送ライン40からのガス状前駆物質が基板42方向へ放出される。反応チャンバはさまざまな他の構成を有することが可能であることが理解できよう。例えば、チャンバの壁は電極のひとつとして機能し得る。変形的に、誘導結合のような他のエネルギーソースがプラズマエネルギーを与えることもできる。また、基板は内部加熱基板要素によって輻射加熱されることもできる。
【0021】
動作中、ひとつ若しくはそれ以上の半導体基板42がチャンバ32内の下側電極36上にロードされる。好適には、基板42は、Si−C−N材料が蒸着されるそれぞれの基板42のひとつの面のみが空間37に晒されるように配置される。必要なら、チャンバ32はチャンバ内部に残存する材料を除去するべく真空排気される。基板42はウエハ支持体若しくは下側電極34の内部加熱によって所望の温度に加熱される。好適にはチャンバ32の壁もまた汚染を避けるべく加熱コイル44によって加熱される。
【0022】
システム30がPECVD蒸着を実行する準備ができると、少なくともひとつの化学前駆物質及びキャリアガスのガス状混合物がチャンバ32内に導入される。本発明の好適実施例において、キャリアガスは窒素ガス(N)である。少なくともひとつの化学前駆物質はシリコンソースガス及び炭素ソースガスを含む。好適には、有機シリコンガスのような単一の化学化合物はシリコン及び炭素ソースの両方として機能する。ガス状混合物がチャンバ32を満たすと、電極34と36との間の空間37に高エネルギー電磁場を作成するようプラズマエネルギー発生器38が作動される。空間37内の窒素ガス及び他の化学前駆物質分子は電磁場の高エネルギーを受け、それによって分子中のひとつ若しくはそれ以上の化学結合が破壊され、プラズマ状態が形成される。プラズマ状態は、N、Si及びC元素及び化合物の種を含むイオン及びラジカルのようなさまざまな活性種を含むことが知られている。プラズマ状態のこれらの活性種は互いに及び/または基板42と反応し、それによって基板42上にSi−C−Nの層が形成される。
【0023】
ここで使用される“化学前駆物質”は、Si−C−N材料を形成するべくここで説明された条件の下で活性化され若しくは化学的に反応されるシリコン、炭素及び/または窒素の元素を含む化学化合物である。ここで適用可能な化学前駆物質は、シリコン含有(Si含有)化学化合物、炭素含有(C含有)化学化合物、窒素含有(N含有)化学化合物、3つの元素すべてを含む(Si−C−N含有)化学化合物またはシリコン及び炭素の両方を含む(Si−C含有)化学化合物、シリコン及び窒素の両方を含む(Si−N含有)化学化合物若しくは炭素及び窒素の両方を含む(C−N含有)化学化合物を含む。
【0024】
ここで議論されるように、好適には窒素キャリアガスはキャリアガスであると同時に窒素ソース材料として機能する。したがって、N含有化学前駆物質は窒素ガスを含む。好適には、ここで議論されるように、2原子窒素キャリアガスは付加的なN含有化学前駆物質を有しない唯一のN含有化学前駆物質である。他の例において、窒素ガス以外にひとつ若しくはそれ以上のN含有化学前駆物質がSi−C−Nの蒸着中に窒素含有量を補給するために加えられる。
【0025】
好適実施例において、生成されたSi−C−N材料内のシリコン及び炭素元素の少なくとも一部は、ひとつ若しくはそれ以上のC−Si結合を有するSi−C含有若しくは“有機シリコン”化学前駆物質によって供給される。より好適には、Si−C含有化学前駆物質は実質的にすべてのシリコン及び炭素元素を与える。他の例において、シリコン及び炭素原子の少なくとも一部がSi含有化学前駆物質及び別のC含有化学前駆物質の混合物によって供給される。さまざまな有機シリコン化合物がSi−Cソースとして使用されるが、好適例としてジメチルシラン、トリメチルシラン及びテトラメチルシランが含まれ、特にトリメチルシラン(TMS)が好適である。
【0026】
好適なSi含有化学前駆物質は、化学式SiX、XSiSiX、XSiSiXSiX、SiX4−n、(XSi)4−nCX、及び(R3−nSiXOの化学物質を含み、ここで、nは0、1、2若しくは3であり、各XはF、Cl、H及びDから成るグループから個別に選択され、各Rはメチル、エチル、フェニル及び第三級ブチルから成るグループから個別に選択される。Si−C及びSi−N含有前駆物質は、それらがシリコンを含んでいるためSi含有前駆物質として機能する。Si含有化学前駆物質の特別の例は、SiH、Si、Si、SiF、SiCl、HSiCl、HSiBr等を含む。
【0027】
好適なC含有化学前駆物質は化学式C2n+2、C2nの化学物質を含む。Si−C若しくはC−N含有前駆物質はそれらが炭素を含むためC含有前駆物質の一種である。好適なC含有化学前駆物質の特別な例は、CH、C、C、C10及びCを含む。窒素キャリアガスに付加してN含有化学前駆物質が与えられるところの実施例において、N含有化学前駆物質はRNX3−m、X2−pN−NR2−p及びXN=NXから成るグループから選択され、ここでmは0、1若しくは2であり、pは0若しくは1であり、各XはF、Cl、H及びDから成るグループから個別に選択され、各Rはメチル、エチル、フェニル及び第三級ブチルから成るグループから個別に選択される。好適なN含有化学前駆物質の非制限的例は、NF、NCl、HN、FNNF及びFNNFを含む。
【0028】
好適に、化学前駆物質はガス若しくはキャリアとしての窒素ガスを有する気相の形式で容易に与えられる。汚染を最小化しかつより高品質の膜を製造するために、チャンバ内に基板を配置しかつチャンバへ化学前駆物質を導入することによって基板上にSi−C−N材料を蒸着するのが好適である。密閉チャンバは制御された条件の下で化学前駆物質の導入及び不所望な種の排除を可能にするため、その使用が好適である。液体化学前駆物質は例えばキャリアガスを化学前駆物質を通じてバブリングするバブラーを使用するか若しくは蒸発器を使用することによって気相形式で与えられる。
【0029】
好適にはSi−C−Nが基板上に蒸着される。“基板”はSi−C−N材料が蒸着されるか若しくは適用されるあらゆる下層面を含む通常の意味で使用される。好適な基板は、無制限の金属、シリコン、ゲルマニウム、プラスチック、及び/またはガラス、好適にはシリコン、シリコン化合物(Si−O−C−H低誘電率膜を含む)及びシリコン合金を含む、仮想的にあらゆる材料から作られる。特に好適な基板は、例えばシリコンウエハ及びマイクロエレクトロニクス及び集積回路の製造に使用されるIII−V族材料の層である半導体基板を含む。用語“集積回路”はマイクロ電子デバイスが存在したか若しくは適用される基板を含むマイクロエレクトロニクス分野での通常の意味で使用され、製造途中にありまだ機能しない集積回路を含む。好適に本発明のPECVD処理に晒される基板はプレハブ構造を含み、その上にSi−C−Nが蒸着される。より好適には、蒸着されたSi−C−N層が図1に示されるエッチストップ、バリア若しくはハードマスクのひとつとして機能するように、プレハブ構造の最上層は導体配線層(Cu)若しくは絶縁(誘電体)層である。
【0030】
PECVDにおいて、マイクロ波若しくはラジオ周波数エネルギーのような電磁場を化学前駆物質に印加することによって化学前駆物質を活性化するのにプラズマエネルギーが使用される。好適には、プラズマエネルギーは約400kHzから約40MHzの周波数で動作するRF発生器38によって生成される。例えば、20mm若しくは300mmウエハを処理するように設計されたリアクタに対し、13.56MHzの高周波数におけるRF電力は、好適には約100Wから約1000W、より好適には約150Wから約750Wである。同じリアクタに対し、高周波数は27.12MHzに設定することもでき、好適な電力レベルは約500Wから約5000W、より好適には約3000Wから約4000Wである。例えば、430kHzの低周波数でのRF電力は、好適には約0Wから約1000W、より好適には約150Wから約500Wである。当業者によって認識されるように高及び低周波数は蒸着中にマッチングネットワーク内で混合される。図1に示されるように、電極34と36との間のギャップは好適には約3mmから約40mm、より好適には約10mmから約25mmの範囲に設定される。
【0031】
好適には、PECVD蒸着は、熱CVDほど高くはないが、プラズマ種中の膜形成反応を促進するよう上昇した温度で実行される。好適には、チャンバ32にはチャンバを所望の温度へプレヒートするための加熱コイル44のような加熱装置が取り付けられている。変形的に、加熱装置は基板若しくはその近傍のみをプレヒートすることができる。好適な蒸着温度の範囲は約25℃から約650℃であり、より好適には約350℃から約450℃である。
【0032】
好適には、窒素キャリアガス及び化学前駆物質の量はガスの分圧若しくは流量を調節することによって制御される。当該量は化学前駆物質とキャリアガスを混合しかつ混合ガス中の化学前駆物質の全圧若しくは分圧を調節することによっても制御される。好適には、チャンバが使用され、その結果化学前駆物質の流量は真空ポンプ若しくは類似の装置を使って全圧を操作することによって制御されることも可能である。窒素ガスの流量の範囲は好適には約300sccmから約5.0slmであり、より好適には1.0slmから約3.0slmである。例えば有機シラン及びより特定的にはSi−C含有前駆物質のようなトリメチルシランのような化学前駆物質の流量は、好適には約100sccmから約1slmの範囲、より好適には約200sccmから約700sccmの範囲に制御される。好適な全圧は約200Paから約800Paの範囲にあり、より好適には約400Paから約600Paの範囲にある。
【0033】
PECVDを実行するための適当なチャンバは商業的に入手でき、好適モデルは日本国東京にある日本ASM 株式会社から商業的に入手できるEagleシリーズのリアクタを含む。例えば、Eagle10は200mmウエハを処理するように設計され、Eagle12は300mmウエハ用に設計されている。商業的に入手可能なPECVDチャンバは、マイクロエレクトロニクス応用に適した高品質な膜を終始一貫して製造するべく操作される温度、ガス流量及び切替、並びにチャンバ圧力のコンピュータ制御のような多くの特徴を具備する。当業者はそのような方法及び装置に精通しており、ここで説明した化学前駆物質を使ってSi−C−N材料を蒸着するための適当な条件を選択するのにルーチン試験が使用される。
【0034】
ここで使用されるように、Si−C−N材料は主にシリコン元素、炭素元素及び窒素元素から構成される。Si−C−N材料において、窒素の量は好適には約5重量%から約50重量%の範囲であり、より好適には約10重量%から約25重量%の範囲であり、最も好適には約15重量%から約17重量%の範囲である。Si−C−N材料中のシリコンと炭素の比率(Si:C)は好適には約1:2から約4:1の範囲であり、より好適には約1:1から約3:1の範囲である。Si−C−N材料は酸素若しくは水素のような付加的元素を含む合金であっても良い。シリコン、炭素及び窒素以外の元素の量は好適には約5原子%以下、より好適には約3原子%以下、最も好適には約0原子%から約1原子%の間である。
【0035】
蒸着材料中に含まれる窒素の量は可変である。しかし窒素ガスが唯一の窒素ソースであるところの好適実施例において、生成材料中の窒素含有量は窒素ガスの分圧を含むPECVD処理条件のさまざまなパラメータとともに実質的に変化する傾向にある。しかし窒素含有量は付加的な窒素ソースガスを使って調節可能である。しかし好適には付加的ソースガスは使用されず、むしろ貴キャリアガスを窒素ガス(N)と置換することによってPECVDのSi−C蒸着用の既知の処理レシピが修正される。発明者らは上記した最も好適な範囲での窒素含有レベルの生成がバリア及びエッチストップ機能並びに膜安定性の改善に特に有利であることを見出した。
【0036】
他の元素の組成(特にSi:C)は互いに関連して変化する。多くの場合、所望の組成を有する膜を蒸着するために化学前駆物質の混合物を与えることが所望される。以下のガイドラインを使ったルーチン試験は所望の化学組成を有する膜の蒸着を一緒に生じさせる特定の化学前駆物質の適当な比率を選択するのに使用される。
【0037】
開始点として、蒸着されるべきシリコン及び炭素の所望の相対的組成に比較的近い元素組成を有する前駆物質若しくは前駆物質の混合物が好適に選択される。前駆物質若しくは前駆物質混合物中の各元素の重量%は前駆物質の分子量及び混合物中の各前駆物質の分子量に基づいて容易に計算される。
【0038】
開始前駆物質若しくは混合物を選択したら、最初の膜が通常の方法で蒸着される。概して、この膜の元素組成は開始前駆物質若しくは混合物の元素組成と同一ではない。例えば、蒸着温度は、前駆物質の分解の相対速度ばかりでなく、水素及びハロゲン含有量に影響を及ぼす傾向がある。最初の膜の蒸着後、開始前駆物質若しくは混合物及び/または処理は所望の組成を有する膜を生成するために反復形式で調節される。好適には、生成膜の化学的組成及び/または物理的性質に対するさまざまな処理変数及びその組合せの効果を決定するのに試験的設計方法が使用される。試験的設計方法それ自体は周知であり、例えば、Douglas C. Montgomeryによる ”Design and Analysis of Experiments”1984年John Wiley and Sons出版第2版を参照されたい。特定の処理に対して、化学組成及び/または物理的性質に対するさまざまな処理変数及びその組合せの効果がこれらの試験的設計方法によって決定された後、次の製造時の一貫性を保証するために処理は好適にコンピュータ制御により自動化される。
【0039】
蒸着されたSi−C−N材料中のシリコン及び炭素の相対組成は付加的な所望の元素の補給ソース、好適には補給シリコンソース、窒素ソース、及び/または炭素ソースを与えることによって調節若しくは制御される。補給ソースはさまざまな物理的形式で与えられる。好適には、化学前駆物質及び補給ソースを同時に構成するガスが与えられ、生成されたSi−C−N材料中の各元素の量が上で与えられたガイダンスに従ってルーチン試験を使って各元素の分圧を調節することにより制御される。例えば、上述したように、好適には化学前駆物質及び補給ソースの開始混合物は、選択された特定の蒸着処理の効果のあらゆる知識によって修正されるように、蒸着されたSi−C−N材料の元素組成に近似したSi:C比率を有するよう選択される。
【0040】
補給ソースの内、好適なシリコンソースは、シラン、四塩化シリコン、四フッ化シリコン、ジシラン、トリシラン、メチルシラン、ジメチルシラン、シロキサン、ジシロキサン、ジメチルシロキサン、メトキシシラン、ジメトキシシラン、及びジメチルジメトキシシランを含む。好適な補給窒素ソースは、アンモニア、三フッ化窒素、三塩化窒素及び亜酸化窒素を含む。好適な炭素ソースは、メチルシラン、ジシリルメタン、トリシシルメタン及びテトラシリルメタンを含む。好適な補給ソースは2つ若しくはそれ以上の元素用のソースであり、例えばジメチルシロキサンは炭素及びシリコンのソースである。しかし上記したように、最も好適には、PECVDレシピは有機シリコンソース及び窒素キャリアガスのみを使用する。
【0041】
ここで説明されるSi−C−N材料は、例えばパターン化、エッチング、アニール、ドープ等のさまざまな処理に晒される。例えば、集積回路の製造において、誘電体層、金属線若しくは半導体層のような他の材料の付加的層がここで述べられたように形成されたSi−C−N材料の面上に蒸着され得る。そのような蒸着はさまざまなソース材料を与えかつ通常の方法で付加的層を蒸着することによって実行される。集積回路を完成するためのさらなる処理として典型的にフォトリソグラフィー、エッチング、蒸着、アニール及びさまざまな他の工程が含まれることは当業者の知るところである。
【0042】
本発明に従って製造されたSi−C−N材料は粒子若しくは繊維のようなさまざまな形式であり得るが、好適には膜形式である。“膜”は自立した膜及び基板に適用される層若しくは被覆の両方を含む通常の意味で使用される。膜は平坦若しくは下層の3次元面に合致し、いずれの場合も一定若しくは可変の厚さ、好適には一定の厚さを有する。好適には、平均的厚さの膜は、例えばエッチストップ、拡散バリア、ゲート誘電体、不動態層、スペーサ−材料等のような所望の機能を与えるのに効果的である。しばしば、平均膜厚は、約100Åから約10000Å、好適には約200Åから約5000Å、より好適には約300Åから約3000Åの範囲内にある。
【0043】
ここで述べられたSi−C−N膜はさまざまな応用に対し有用であり、特定的にハードマスク、エッチストップ層、拡散バリア若しくは不動態化層、より特定的に図1に示されるデュアルダマシン金属化の態様において有用である。
【0044】
【実施例】
例1
本発明の実施例にしたがって、Si−C−N膜がPECVD処理によって蒸着された。この例において、シリコン及び炭素の両方に対する有機シリコン化学前駆物質としてトリメチルシランが使用された。窒素ガス(N)がキャリアガスとして使用された。処理条件は以下の通りである。トリメチルシラン流量=300sccm、N流量=1.8slm、P=500Pa、高周波RF電力(13.56MHz)=300W、低周波RF電力(430kHz)=300W、T=420℃、電極間距離=14mm。この処理は200mmのウエハを使ってEagle10PECVD装置によって実行された。
【0045】
蒸着された膜はX線光電子分光法(XPS)によって分析された。蒸着された膜の組成は次の通りであった。Si=46原子%、C=35原子%、N=17原子%、及びO=2原子%。この膜の圧縮応力は約150MPaであると測定された。この膜応力は実質的に120時間以上変化しなかった。蒸着膜の屈折率は1.97であると測定されたが、より一般的には膜厚に依存することがわかった。
【0046】
例2
本発明の実施例に従い、Eagle10PECVD装置を使って、Si−C−N膜が200mmウエハ上に蒸着された。処理条件は変更され、温度及び電極間距離はそれぞれ400℃及び15mmに設定された。変更された処理条件には、トリメチルシラン流量、窒素流量、リアクタ圧力、高周波RF電力及び低周波RF電力が含まれる。
【0047】
膜の平均蒸着速度、厚さ均一性、屈折率、膜応力、誘電率及びリーク電流が測定され、図3〜7に示されている。図3はトリメチルシラン流量を200、300及び400sccmとし、他の条件を窒素流量=1.0slm、P=500Pa、高周波RF電力(13.56MHz)=300W、低周波RF電力(430kHz)=300Wとした場合の蒸着を表す。図4は窒素流量を1.0、1.5及び2slmとし、他の条件をトリメチルシラン流量=200sccm、P=500Pa、高周波RF電力=300W、低周波RF電力=300Wとした場合の蒸着を表す。図5は、リアクタ圧力を400、500若しくは600Paに設定し、他の条件をトリメチルシラン流量=200sccm、窒素流量=1.0slm、高周波RF電力=300W、低周波RF電力=300Wとした場合の蒸着を表す。図6は、高周波RF電力を変化させ、他の条件をトリメチルシラン流量=200sccm、窒素流量1.0slm、P=500Pa、低周波RF電力=300Wとした場合の蒸着を表す。図7において、低周波RF電力が変化し、他の条件はトリメチルシラン流量=200sccm、窒素流量=1.0slm、P=500Pa、高周波RF電力=300Wに設定されている。
【0048】
本発明の思想から離れることなく多くのさまざまな修正が可能であることは当業者の知るところである。したがって、上記された実施例及び例はほんの例証的なものであり、本発明の態様を限定するものではない。
【図面の簡単な説明】
【図1】図1は、デュアルダマシン加工におけるエッチストップ層部分を示すデュアルダマシン構造の断面略示図である。
【図2】図2は、本発明のひとつの実施例に従うPECVDで使用するための蒸着装置の略示図である。
【図3】図3は、本発明のひとつの実施例に従って蒸着された炭素窒化物シリコンの平均成長速度及びさまざまな物理的特性を示すグラフである。
【図4】図4は、本発明のひとつの実施例に従って蒸着された炭素窒化物シリコンの平均成長速度及びさまざまな物理的特性を示すグラフである。
【図5】図5は、本発明のひとつの実施例に従って蒸着された炭素窒化物シリコンの平均成長速度及びさまざまな物理的特性を示すグラフである。
【図6】図6は、本発明のひとつの実施例に従って蒸着された炭素窒化物シリコンの平均成長速度及びさまざまな物理的特性を示すグラフである。
【図7】図7は、本発明のひとつの実施例に従って蒸着された炭素窒化物シリコンの平均成長速度及びさまざまな物理的特性を示すグラフである。
【符号の説明】
10   上側絶縁層
12   下側絶縁層
14   下側導電配線層
15   バリア層
16   トレンチ
19   エッチストップ層
20   コンタクトビア
21   エッチストップ層
22   バリア層
23   導電材料

Claims (13)

  1. 炭素窒化シリコン(Si−C−N)材料を面上に蒸着する方法であって、
    面を有する基板を処理チャンバ内へロードする工程と、
    少なくとも一つの化学前駆物質及びキャリアガスを処理チャンバ内に導入する工程であって、キャリアガスは窒素ガスから成るところの工程と、
    電磁エネルギーを少なくとも一つの化学前駆物質及びキャリアガスに印加する工程であって、それによって基板面上にシリコン、炭素及び窒素から成るSi−C−N材料が蒸着される、ところの工程と、
    から成り、
    蒸着された材料内に含まれる実質的にすべての窒素は窒素ガスから生じるところの方法。
  2. 請求項1に記載の方法であって、電磁エネルギーはプラズマ状態を作るために少なくとも一つの化学前駆物質及びキャリアガスの分子を活性化するのに充分である、ところの方法。
  3. 請求項1に記載の方法であって、少なくとも一つの化学前駆物質はシリコン用の化学前駆物質及び炭素用の化学前駆物質を含む、ところの方法。
  4. 請求項3に記載の方法であって、シリコン用の化学前駆物質は、SiH、Si、Si、SiF、SiCl、SiCl及びHSiBrから成るグループから選択される、ところの方法。
  5. 請求項3に記載の方法であって、炭素用の化学前駆物質は、CH、C、C、C10及びCから成るグループから選択された一つ若しくはそれ以上である、ところの方法。
  6. 請求項1に記載の方法であって、少なくとも一つの化学前駆物質はシリコン及び炭素元素から成る単一の化学化合物である、ところの方法。
  7. 請求項6に記載の方法であって、単一の化学化合物は、メチルシラン、ジメチルシラン、トリメチルシラン及びテトラメチルシランから成るグループから選択される、ところの方法。
  8. 請求項1に記載の方法によって基板上に蒸着される炭素窒化物シリコン(Si−C−N)材料。
  9. プラズマ強化化学気相成長によって炭素窒化物シリコン材料を形成する方法であって、
    面を有する基板をチャンバ内に与える工程と、
    シリコン種、炭素種及び窒素種から成る元素の励起種を生成する工程と、
    から成り、
    生成された種は窒素ガスから成るプラズマ補助ガスによって補助され、実質的にすべての窒素種は窒素ガスから生じ、及び基板の面はプラズマ補助ガスによって補助された励起種に晒される、ところの方法。
  10. 請求項9に記載の方法であって、励起種は基板の面の近傍で生成される、ところの方法。
  11. 集積回路製造においてシリコン及び炭素から成る層を形成するための処理方法であって、
    化学前駆物質をチャンバ内に伴出するキャリアガスとともにシリコン及び炭素から成る一つ若しくはそれ以上の化学前駆物質をプラズマ強化化学気相成長(PECVD)用のチャンバ内に導入する工程と、
    キャリアガスがそれ自身の励起種を生成するよう活性化されるようにチャンバ内でPECVDを実行する工程であって、それによってシリコン、炭素及びキャリアガスからの元素から成る層がチャンバ内の基板上に蒸着される、ところの工程と、
    から成り、
    キャリアガスは層に対する元素の専用ソースである、ところの方法。
  12. 請求項11に記載の処理方法であって、キャリアガスからの元素は窒素である、ところの方法。
  13. 請求項12に記載の処理方法であって、キャリアガスは窒素ガスから成る、ところの方法。
JP2003176881A 2002-07-02 2003-06-20 窒素がドープされたシリコンカーバイド膜の蒸着方法 Pending JP2004047996A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/188,723 US20040018750A1 (en) 2002-07-02 2002-07-02 Method for deposition of nitrogen doped silicon carbide films

Publications (1)

Publication Number Publication Date
JP2004047996A true JP2004047996A (ja) 2004-02-12

Family

ID=30769455

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003176881A Pending JP2004047996A (ja) 2002-07-02 2003-06-20 窒素がドープされたシリコンカーバイド膜の蒸着方法

Country Status (2)

Country Link
US (1) US20040018750A1 (ja)
JP (1) JP2004047996A (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011210881A (ja) * 2010-03-29 2011-10-20 Taiyo Nippon Sanso Corp 炭窒化珪素膜及び炭窒化珪素膜の成膜方法
JP2015522707A (ja) * 2012-04-13 2015-08-06 オーティーアイ ルミオニクス インコーポレーテッドOti Lumionics Inc. 基板の官能基化
JP2015529852A (ja) * 2012-09-03 2015-10-08 東方電気グループ(宜興)Magi太陽エネルギー科技有限公司 アンチpid効果を有する反射防止コーティングの製作方法
KR20180037670A (ko) * 2016-10-05 2018-04-13 주식회사 아르케 탄화규소 단결정 성장장치 및 이를 이용한 탄화규소 단결정 성장방법
KR20190130466A (ko) * 2017-04-13 2019-11-22 어플라이드 머티어리얼스, 인코포레이티드 저-k 막들의 증착을 위한 방법 및 장치

Families Citing this family (361)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6849562B2 (en) * 2002-03-04 2005-02-01 Applied Materials, Inc. Method of depositing a low k dielectric barrier film for copper damascene application
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US7381644B1 (en) * 2005-12-23 2008-06-03 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
WO2007106943A1 (en) * 2006-03-22 2007-09-27 Ultraclean Fuel Pty Ltd Process for removing sulphur from liquid hydrocarbons
US7981810B1 (en) 2006-06-08 2011-07-19 Novellus Systems, Inc. Methods of depositing highly selective transparent ashable hardmask films
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US7981777B1 (en) 2007-02-22 2011-07-19 Novellus Systems, Inc. Methods of depositing stable and hermetic ashable hardmask films
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
US7820556B2 (en) * 2008-06-04 2010-10-26 Novellus Systems, Inc. Method for purifying acetylene gas for use in semiconductor processes
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
DE102008045035B4 (de) * 2008-08-29 2017-11-16 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verbessern der Strukturintegrität von Dielektrika mit kleinem ε in Metallisierungssystemen von Halbleiterbauelementen unter Anwendung einer Risse verhindernden Materialschicht
US7745346B2 (en) * 2008-10-17 2010-06-29 Novellus Systems, Inc. Method for improving process control and film conformality of PECVD film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US7955990B2 (en) * 2008-12-12 2011-06-07 Novellus Systems, Inc. Method for improved thickness repeatability of PECVD deposited carbon films
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8563414B1 (en) 2010-04-23 2013-10-22 Novellus Systems, Inc. Methods for forming conductive carbon films by PECVD
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10707082B2 (en) * 2011-07-06 2020-07-07 Asm International N.V. Methods for depositing thin films comprising indium nitride by atomic layer deposition
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130217243A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Doping of dielectric layers
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) * 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9048316B2 (en) * 2013-08-29 2015-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Flash memory structure and method of forming the same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
KR102193180B1 (ko) 2015-01-13 2020-12-21 삼성디스플레이 주식회사 박막 트랜지스터 표시판
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
KR20220002748A (ko) 2019-05-29 2022-01-06 램 리써치 코포레이션 고 전력 펄싱된 저 주파수 rf에 의한 고 선택도, 저 응력, 및 저 수소 다이아몬드-유사 탄소 하드 마스크들
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
CN111128815A (zh) * 2019-12-20 2020-05-08 浙江爱旭太阳能科技有限公司 一种perc太阳能电池用的石墨舟饱和工艺
US11499014B2 (en) * 2019-12-31 2022-11-15 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Cureable formulations for forming low-k dielectric silicon-containing films using polycarbosilazane
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0635323B2 (ja) * 1982-06-25 1994-05-11 株式会社日立製作所 表面処理方法
US4737379A (en) * 1982-09-24 1988-04-12 Energy Conversion Devices, Inc. Plasma deposited coatings, and low temperature plasma method of making same
US4720395A (en) * 1986-08-25 1988-01-19 Anicon, Inc. Low temperature silicon nitride CVD process
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US4894352A (en) * 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
JPH04299515A (ja) * 1991-03-27 1992-10-22 Shin Etsu Chem Co Ltd X線リソグラフィ−マスク用x線透過膜およびその製造方法
JP2641385B2 (ja) * 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド 膜形成方法
US5731238A (en) * 1996-08-05 1998-03-24 Motorola Inc. Integrated circuit having a jet vapor deposition silicon nitride film and method of making the same
US6153541A (en) * 1999-02-23 2000-11-28 Vanguard International Semiconductor Corporation Method for fabricating an oxynitride layer having anti-reflective properties and low leakage current

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011210881A (ja) * 2010-03-29 2011-10-20 Taiyo Nippon Sanso Corp 炭窒化珪素膜及び炭窒化珪素膜の成膜方法
JP2015522707A (ja) * 2012-04-13 2015-08-06 オーティーアイ ルミオニクス インコーポレーテッドOti Lumionics Inc. 基板の官能基化
JP2015529852A (ja) * 2012-09-03 2015-10-08 東方電気グループ(宜興)Magi太陽エネルギー科技有限公司 アンチpid効果を有する反射防止コーティングの製作方法
KR20180037670A (ko) * 2016-10-05 2018-04-13 주식회사 아르케 탄화규소 단결정 성장장치 및 이를 이용한 탄화규소 단결정 성장방법
KR101930997B1 (ko) * 2016-10-05 2019-03-11 주식회사 아르케 탄화규소 단결정 성장장치 및 이를 이용한 탄화규소 단결정 성장방법
KR20190130466A (ko) * 2017-04-13 2019-11-22 어플라이드 머티어리얼스, 인코포레이티드 저-k 막들의 증착을 위한 방법 및 장치
KR102646828B1 (ko) 2017-04-13 2024-03-11 어플라이드 머티어리얼스, 인코포레이티드 저-k 막들의 증착을 위한 방법 및 장치

Also Published As

Publication number Publication date
US20040018750A1 (en) 2004-01-29

Similar Documents

Publication Publication Date Title
JP2004047996A (ja) 窒素がドープされたシリコンカーバイド膜の蒸着方法
US11894227B2 (en) Conformal deposition of silicon carbide films
US10832904B2 (en) Remote plasma based deposition of oxygen doped silicon carbide films
US20230203646A1 (en) Conformal deposition of silicon carbide films using heterogeneous precursor interaction
US10297442B2 (en) Remote plasma based deposition of graded or multi-layered silicon carbide film
KR102515238B1 (ko) 실리콘 카바이드 막들의 컨포멀한 증착
KR20210014180A (ko) 유기금속 공-반응물들과의 교차-복분해 반응들을 통해 sic 및 sicn 막들을 증착시키기 위한 장치들 및 방법들
US20130217239A1 (en) Flowable silicon-and-carbon-containing layers for semiconductor processing
US20180330945A1 (en) Remote plasma based deposition of silicon carbide films using silicon-containing and carbon-containing precursors
US8921235B2 (en) Controlled air gap formation
KR20130135301A (ko) 라디칼 증기 화학 기상 증착
JP2013507003A (ja) 平坦化後の高密度化
KR20070007937A (ko) 낮은 k 유전체의 전도성 재료들에 대한 접착 개선
SG182333A1 (en) In-situ ozone cure for radical-component cvd
WO2011109148A2 (en) Conformal layers by radical-component cvd
KR20140010434A (ko) 평탄화-후 치밀화
JP2013513235A (ja) 非炭素ラジカル成分cvd膜向けの酸素ドーピング
KR20220056249A (ko) 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
JP2020528670A (ja) 酸化ケイ素上の超薄型アモルファスシリコン膜の連続性を向上させるための前処理手法
KR20050091780A (ko) 저-k 유전체 재료의 크랙 한계 및 기계적 특성 개선 방법및 장치
US20020142104A1 (en) Plasma treatment of organosilicate layers
TWI837151B (zh) 使用含矽及含碳前驅物的基於遠端電漿之矽碳化物膜沉積
CN112469846B (zh) 使用异质前体相互作用的硅碳化物膜的保形沉积
TW202314807A (zh) 完全自對準通孔整合處理
WO2023196437A1 (en) Deposition of metal-containing films and chamber clean