JP2013507003A - 平坦化後の高密度化 - Google Patents

平坦化後の高密度化 Download PDF

Info

Publication number
JP2013507003A
JP2013507003A JP2012532201A JP2012532201A JP2013507003A JP 2013507003 A JP2013507003 A JP 2013507003A JP 2012532201 A JP2012532201 A JP 2012532201A JP 2012532201 A JP2012532201 A JP 2012532201A JP 2013507003 A JP2013507003 A JP 2013507003A
Authority
JP
Japan
Prior art keywords
substrate
silicon
plasma
oxygen
density
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2012532201A
Other languages
English (en)
Other versions
JP2013507003A5 (ja
Inventor
ジンメイ リャン,
ニティン ケー. イングル,
シャンカー ヴェンカタラマン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2013507003A publication Critical patent/JP2013507003A/ja
Publication of JP2013507003A5 publication Critical patent/JP2013507003A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • H01L21/76229Concurrent filling of a plurality of trenches having a different trench shape or dimension, e.g. rectangular and V-shaped trenches, wide and narrow trenches, shallow and deep trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Element Separation (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

パターン付き基板上に高密度の間隙充填酸化ケイ素を形成するプロセスについて記載する。このようなプロセスは、特に狭いトレンチ内で間隙充填酸化ケイ素の密度を増大させる。密度は、広いトレンチおよび凹んだ開放領域内でも増大させることができる。処理の後では、狭いトレンチと広いトレンチ/開放領域内の間隙充填酸化ケイ素の密度は互いに接近し、エッチング速度の一致度が高まる。この効果は、パターンローディング効果の低減として説明することもできる。このプロセスは、酸化ケイ素を形成し、次いで平坦化するステップを伴う。平坦化により、狭いトレンチに近接して配置された新しい誘電体インターフェースが露出する。新しく露出されたインターフェースは、平坦化された表面をアニールし、かつ/またはプラズマに露出させることによって、高密度化処理を容易にする。

Description

関連出願の相互参照
本願は、Jingmei Liangらによる「POST−PLANARIZATION ANNEAL」という名称の2009年10月5日出願の米国仮特許出願第61/248,693号の非仮出願であり、同願の出願日の利益を主張する。同願の開示全体を、あらゆる目的で参照により本明細書に組み込む。
半導体デバイスの形状寸法は、数十年前の導入以来、劇的に低減してきた。現在の半導体製造機器は、45nm、32nm、および28nmの特徴寸法を有するデバイスを日常的に生産しており、さらに小さい形状寸法を有するデバイスを作るために、新しい機器が開発および実施されている。特徴寸法が低減する結果、デバイス上の構造的な特徴の空間寸法が低減する。デバイス上の間隙およびトレンチの幅は、この間隙を誘電体材料で充填するのが困難になるほど間隙の深さと幅のアスペクト比が大きくなるところまで狭くなっている。堆積する誘電体材料は、間隙が完全に充填される前に上部で詰まりやすく、間隙の中間にボイドまたはシームを生じさせる。
ここ数年、誘電体材料で間隙の上部を詰まらせないように、または形成されたボイドまたはシームを「回復」させるように、多くの技法が開発されてきた。ある種の手法は通常、エッチバックプロセスの前後で別個の堆積を行うことを伴う。この結果、堆積−エッチング−堆積という順序になるが、この順序では、堆積とエッチングの両方に対してより厳しいプロセス仕様を課すことがある。別の手法は、回転する基板表面に非常に流動性の高い前駆体材料を液相で塗布すること(例えば、SOG堆積技法)から開始することである。これらの流動性の高い前駆体は、非常に小さい基板間隙内へ流れ込んで充填することができ、ボイドまたは弱いシームを形成しない。しかし、これらの非常に流動性の高い材料は、堆積させた後、硬化および焼入れして固体の誘電体材料にしなければならない。
堆積プロセスおよび/または後処理を修正することによって、より小さい応力を有する代替の間隙充填膜を生じさせることが必要とされている。これらのプロセス順序で、狭いトレンチと広いトレンチ内に類似の特性を有する膜を生じさせることも必要とされている。本願では、上記その他の必要に対処する。
パターン付き基板上に高密度の間隙充填酸化ケイ素を形成するプロセスについて記載する。このようなプロセスは、特に狭いトレンチ内で間隙充填酸化ケイ素の密度を増大させる。密度は、広いトレンチおよび凹んだ開放領域内でも増大させることができる。処理の後では、狭いトレンチと広いトレンチ/開放領域内の間隙充填酸化ケイ素の密度は互いに接近し、それによってエッチング速度の一致度が高まる。この効果は、パターンローディング効果の低減として説明することもできる。このプロセスは、酸化ケイ素を形成し、次いで平坦化するステップを伴う。平坦化により、狭いトレンチに近接して配置された新しい誘電体インターフェースが露出する。新しく露出されたインターフェースは、平坦化された表面をアニールし、かつ/またはプラズマに露出させることによって、高密度化処理を容易にする。
本発明の実施形態は、狭いトレンチおよび凹んだ開放領域を有するパターン付き基板上でケイ素および酸素含有層を処理する方法を含む。これらの方法は、狭いトレンチ内および凹んだ開放領域内を含むパターン付き基板上でケイ素および酸素含有層を形成するステップを含む。これらの方法は、ケイ素および酸素含有層を平坦化して、狭いトレンチ内に狭い間隙充填部分を残し、凹んだ開放領域内に広い間隙充填部分を残すステップを含む。ケイ素および酸素含有層を平坦化するステップは、狭いトレンチの上のケイ素および酸素含有層の一部分を除去して、対応する平坦化前の誘電体インターフェースよりも、狭いトレンチの近くに配置された平坦化後の誘電体インターフェースを露出させることを含む。これらの方法は、平坦化工程後に基板を処理して狭い間隙充填部分の密度を増大させるステップをさらに含む。処理中、平坦化後の誘電体インターフェースを狭いトレンチにより近く配置することで、狭い間隙充填部分では、平坦化工程前に基板が処理された場合より密度を高くすることができる。
追加の実施形態および特徴について、部分的には以下の説明で述べるが、部分的には、本明細書の説明を読めば当業者には明らかになり、または開示する実施形態の実施によって習得することができる。開示する実施形態の特徴および利点は、本明細書に記載する道具、組合せ、および方法を用いて実現および達成することができる。
本発明の性質および利点のさらなる理解は、本明細書の残りの部分および図面を参照することによって実現することができ、いくつかの図面全体にわたって、同じ参照番号を使用して類似の構成要素を指す。場合によっては、参照番号にサブラベルを関連付け、ハイフンに続いて複数の類似の構成要素のうちの1つを指す。既存のサブラベルを指定しないで参照番号を参照するときは、そのような複数の類似の構成要素をすべて参照するものとする。
開示する実施形態によるケイ素含有膜を処理する選択されたステップを示す流れ図である。 エッチングされた酸化ケイ素膜および開示する実施形態によって準備されたエッチングされた酸化ケイ素膜の概略断面図である。 エッチングされた酸化ケイ素膜および開示する実施形態によって準備されたエッチングされた酸化ケイ素膜の概略断面図である。 開示する実施形態による酸化ケイ素間隙充填膜を処理する選択されたステップを示す別の流れ図である。 開示する実施形態による基板処理システムを示す図である。 開示する実施形態による基板処理チャンバを示す図である。 開示する実施形態による基板処理チャンバのシャワーヘッドを示す図である。
パターン付き基板上に高密度の間隙充填酸化ケイ素を形成するプロセスについて説明する。これらのプロセスは、特に狭いトレンチ内で間隙充填酸化ケイ素の密度を増大させる。密度は、広いトレンチおよび凹んだ開放領域内でも増大させることができる。処理の後では、狭いトレンチと広いトレンチ/開放領域内の間隙充填酸化ケイ素の密度は互いに接近し、それによってエッチング速度の一致度が高まる。この効果は、パターンローディング効果の低減として説明することもできる。このプロセスは、酸化ケイ素を形成し、次いで平坦化するステップを伴う。平坦化により、狭いトレンチに近接して配置された新しい誘電体インターフェースが露出する。新しく露出されたインターフェースは、平坦化された表面をアニールし、かつ/またはプラズマに露出させることによって、高密度化処理を容易にする。
トレンチ内の誘電体は、開放領域(または広いトレンチ)内の誘電体とは異なる特性を有することがある。これは、広いトレンチと比較すると、狭いトレンチ内では幾何形状がより制限されることに起因することがある。平坦化ステップ(例えば、平坦化エッチングまたは化学機械研磨、CMP)後、周囲の大気への追加の露出により、積層膜を処理して間隙充填材料の密度を増大させ、また狭いトレンチ内と広いトレンチ(または凹んだ開放領域)内の材料の特性をより類似させることが可能になる。熱処理から利益を得る誘電体膜は、PECVD、APCVD、FCVD、SOGなどで堆積された酸化ケイ素などの比較的低密度の膜を含む。これらの方法は、流動性の高いCVD(FCVD)およびスピンオンガラス(SOG)などの堆積中、流動性の高い膜に特定の有用性を提供することができる。狭いトレンチの内側と外側の特性の違いは、例えばフッ化水素HFを含む湿式エッチングにおける湿式エッチング速度を比較することによって評価することができる。酸化ケイ素は、ケイ素および酸素含有層を意味する略称として、本明細書全体にわたって使用され、オキシ炭化ケイ素およびオキシ窒化ケイ素などの膜を含む。
特許請求の範囲の包含範囲を仮定上のプロセス機構に拘束することなく、平坦化後に積層膜を加熱することで、誘電体内に網状組織を再構築し、その結果、特にトレンチ内で湿式の緩衝酸化物エッチング(BOE)速度を低減させると考えられる。高温で誘電体膜をアニールすると、引張り応力から圧縮応力へ膜を遷移させることがわかった。誘電体から水素のような材料を除去することは、別の可能な機構であり、再構築と同時に行うことができる。狭いトレンチ内の領域は、広いトレンチおよび開放領域内の領域より大きな利益を得ることがわかる。酸化ケイ素は、CMP後のアニールから利益を得る誘電体の一例である。制限された形状寸法(狭いトレンチなど)内の酸化ケイ素の密度は、CMP後のアニール中に増大され、それによって湿式エッチング速度(WER)の低減を引き起こすことができる。基板の物理的な湾曲もまた、膜の形成中および後処理中の圧縮層の存在によって、全体として軽減することができる。
平坦化された誘電体表面をプラズマに露出させることで、間隙充填誘電体の高密度化に関して類似の利益を提供することもわかった。プラズマ励起された大気中の平坦化された表面のイオン衝撃は、間隙充填誘電体の密度を増大させると考えられる。場合によっては、プラズマによって励起された大気に酸素を添加すると、酸素を供給し、それが組み込まれて酸化ケイ素になることによって、密度をさらに増大させるのを助ける。酸素は、比較的多孔質の間隙充填誘電体内に存在するボイド内へ組み込むことができ、および/または間隙充填誘電体内の材料に対する結合もより弱いことがあるより低密度の成分に取って代わることができる。酸素と組み合わせて水素を添加すると、水分が増大する結果、間隙充填誘電体の密度を増大させるのも助ける。
追加の詳細は、いくつかの例示的な方法について説明する中で提供する。図1は、本発明の実施形態による酸化ケイ素膜を作る方法100における選択されたステップを示す流れ図である。方法100は、狭い間隙またはトレンチおよび凹んだ開放領域を有するパターン付き基板を反応チャンバ内へ移動させるステップ102を含む。異なる実施形態では、凹んだ開放領域は、50nm、100nm、200nm、500nm、または1000nmより大きい幅を有する広いトレンチとすることができる。異なる実施形態では、狭いトレンチは、100nm、70nm、50nm、35nm、25nm、または20nmより小さい幅を有することができる。狭いトレンチは、1:1より著しく大きい高さと幅のアスペクト比(AR)(すなわち、H/W)(例えば、5:1以上、6:1以上、7:1以上、8:1以上、9:1以上、10:1以上、11:1以上、12:1以上など)を画定する高さおよび幅を有することができる。狭いトレンチおよび凹んだ開放領域の充填は、炭素を含まないケイ素前駆体およびラジカル窒素前駆体を基板処理領域に同時に提供するステップ104によって始まる。
炭素を含まないケイ素前駆体は、ケイ素前駆体の分類の中でもとりわけ、例えば、ケイ素および窒素前駆体、ケイ素および水素前駆体、またはケイ素、窒素、および水素含有前駆体とすることができる。これらの前駆体の特有の例は、シリルアミンの中でもとりわけ、HN(SiH)、HN(SiH、およびN(SiHなどのシリルアミンを含むことができる。これらのシリルアミンは、キャリアガス、反応性ガス、または両方として作用できる追加のガスと混合することができる。追加のガスの例は、ガスの中でもとりわけ、H、N、NH、N、He、およびArを含むことができる。炭素を含まないケイ素前駆体の例はまた、シラン(SiH)を単独で、または他のケイ素含有ガス(例えば、N(SiH)、水素含有ガス(例えば、H)、および/もしくは窒素含有ガス(例えば、N、NH、N)と混合された状態で含むことができる。炭素を含まないケイ素前駆体はまた、ジシラン、トリシラン、より高次のシラン、および塩化シランを単独で、または互いに、もしくは前述の炭素を含まないケイ素前駆体と組み合わせて含むことができる。ケイ素前駆体は、炭素がないことに加えて、酸素のないものにすることができる。酸素がない結果、これらの前駆体から形成されるケイ素および窒素層内のシラノール(Si−OH)基の濃度がより低くなる。堆積された膜内の余分なシラノール部分は、堆積された層からヒドロキシル(−OH)部分を除去する堆積後のステップ中に、多孔率および収縮率を増大させる可能性がある。
ラジカル窒素前駆体は、より安定した窒素前駆体から反応チャンバの外で生成された窒素ラジカル含有種である。例えば、NHなどの安定した窒素前駆体は、反応チャンバの外のプラズマユニット内で活性化させてラジカル窒素前駆体を形成することができ、次いでこのラジカル窒素前駆体が反応チャンバ内へ輸送される。異なる実施形態では、安定した窒素前駆体はまた、NHおよびN、NHおよびH、NHおよびNおよびH、ならびにNおよびHを含む混合物とすることができる。NHの代わりに、またはNHに加えて、ヒドラジン(N)を使用することができ、上記のNおよび/またはHと組み合わせることができる。生じさせるラジカル窒素前駆体は、Ν、NH、NHなどの1つまたは複数を含むプラズマ流出物を含んでおり、プラズマ内で形成されたイオン化種を伴うことができる。
ラジカル前駆体は、前述の前駆体とともに遠隔プラズマ領域へ供給される窒素を含む場合、ラジカル窒素前駆体とすることができる。一般に、窒素を含まないラジカル前駆体でも、ケイ素および窒素含有層を形成することができる。ラジカル前駆体は、前駆体が混合および反応して堆積基板(例えば、半導体ウェーハ)上にケイ素および窒素層を堆積させる堆積領域から区切られた反応チャンバの一区域内に生成される。ラジカル前駆体がラジカル窒素前駆体である一実施形態では、安定した窒素前駆体は、遠隔プラズマ領域内へ流れ込み、プラズマによって励起される。安定した窒素前駆体(およびラジカル窒素前駆体)は、水素(H)、窒素(N)、アルゴン、ヘリウムなどのキャリアガスを伴うこともできる。本質的に窒素(N)からなる入力ガス(追加の不活性キャリアガスの有無にかかわらない)から形成されるラジカル窒素前駆体もまた、開示する実施形態で有益な膜を生じさせることがわかった。ケイ素含有前駆体が所望の膜内で必要な窒素を提供する実施形態では、ラジカル窒素前駆体は、本質的に水素(H)からなる入力ガス(および任意選択で不活性キャリアガス)から形成されるラジカル前駆体と交換することもできる。本明細書では、プラズマ内へ流れ込んで励起される前駆体をプラズマ前駆体と呼び、プラズマから流れ出るラジカル前駆体をプラズマ流出物と呼ぶ。
反応チャンバでは、炭素を含まないケイ素前駆体およびラジカル窒素前駆体が混合および反応して、堆積基板上にケイ素および窒素含有膜を堆積させる(106)。堆積されるケイ素および窒素含有膜は、実施形態のいくつかの方策の組合せと共形に堆積させることができる。他の実施形態では、堆積されたケイ素および窒素含有膜は、従来の窒化ケイ素(Si)膜堆積技法とは異なり、流動性の高い特性を有する。形成の流動性の高い性質により、膜は、基板の堆積表面上の狭い間隙、トレンチ、および他の構造内へ流れ込むことができる。実施形態では、流動性の高い膜は、充填材料の中心の周りにボイドまたは弱いシームを作ることなく、高いアスペクト比を有する間隙を充填する。流動性の高い膜は、狭い間隙またはトレンチの上部を早まって詰まらせる可能性がより低い。
流動性は、ラジカル窒素前駆体と炭素を含まないケイ素前駆体との混合に起因する様々な特性によって生じることがある。これらの特性は、堆積された膜内のかなりの水素成分、および/または短鎖ポリシラザンポリマーの存在を含むことができる。これらの短鎖は、成長して網状組織をなし、膜の形成中および形成後により高密度の誘電体材料を形成する。例えば、堆積された膜は、シラザンタイプのSi−NH−Siバックボーン(すなわち、Si−N−H膜)を有することができる。ケイ素前駆体とラジカル窒素前駆体のどちらにも炭素がないとき、堆積されたケイ素および窒素含有膜にもまた、実質上炭素がない。もちろん、「炭素がない」とは、膜に微量の炭素すらないことを必ずしも意味するわけではない。前駆体材料内には、堆積されたケイ素および窒素前駆体内に入り込んだ炭素汚染物質が存在することがある。しかし、これらの炭素不純物の量は、炭素部分(例えば、TEOS、TMDSOなど)を有するケイ素前駆体内に見られるはずの量よりはるかに少ない。
ケイ素および窒素含有層の堆積に続いて、堆積基板に酸素含有大気中での処理を行うことができる(108)。開示する実施形態では、基板は最初に、オゾン含有大気中で硬化される。堆積基板は、硬化用の基板処理領域内に残すことができ、または基板は、異なるチャンバへ移動させることができ、そのチャンバ内でオゾン含有大気が導入される。異なる実施形態では、基板の硬化温度は、約400℃以下、約300℃以下、約250℃以下、約200℃以下、または約150℃以下とすることができる。異なる実施形態では、基板の温度は、ほぼ室温以上、約50℃以上、約100℃以上、約150℃以上、または約200℃以上とすることができる。開示する追加の実施形態によれば、上限のいずれかと下限のいずれかを組み合わせて、基板温度に対して追加の範囲を形成することができる。実施形態では、表面近くの網状組織を閉じて表面の下の酸化を妨害することがある原子状酸素の生成を回避するために、硬化中の基板処理領域には、プラズマは全くまたは実質上印加されない。硬化ステップ中の基板処理領域内へのオゾンの流量は、約200sccm以上、約300sccm以上、または約500sccmとすることができ、通常、比較的より安定した分子状酸素のより大きい流れを伴う。硬化ステップ中のオゾンの分圧は、約10トル以上、約20トル以上、または約40トル以上とすることができる。いくつかの条件(例えば、約100℃〜約200℃の基板温度)下では、変換が実質上完了することがわかっており、したがって実施形態では、酸素含有環境での比較的高温のアニールは不要であることがある。いくつかの実施形態では、平坦化は、ここで説明したオゾン処理に続いて行うことができる。
他の実施形態では、酸素含有大気への露出は、より高温の処理の形で継続される。ケイ素および窒素含有層の硬化に続いて、堆積基板を酸素含有大気中でアニールすることができる(110)。堆積基板は、酸素含有大気が導入されるとき、硬化に使用されたのと同じ基板処理領域内に残すことができ、または基板は、異なるチャンバへ移動させることができ、そのチャンバ内で酸素含有大気が導入される。酸素含有大気は、酸素含有ガスの中でもとりわけ、分子状酸素(O)、オゾン(O)、水蒸気(HO)、過酸化水素(H)、および酸化窒素(NO、NOなど)などの1つまたは複数の酸素含有ガスを含むことができる。酸素含有大気はまた、遠隔で生成して基板チャンバ内へ輸送できる原子状酸素(O)、水酸化物(OH)などのラジカル酸素およびヒドロキシル種を含むことができる。酸素含有種のイオンが存在することもある。異なる実施形態では、基板の酸素アニール温度は、約1100℃以下、約1000℃以下、約900℃以下、または約800℃以下とすることができる。異なる実施形態では、酸素アニール中の基板の温度は、約500℃以上、約600℃以上、約700℃以上、または約800℃以上とすることができる。開示する追加の実施形態によれば、上限のいずれかと下限のいずれかを組み合わせて、基板温度に対して追加の範囲を形成することができる。パターン付き基板は、より高温の不活性環境内でさらにアニールすることができる。異なる実施形態では、不活性アニール中の基板の温度は、約800℃以上、約900℃以上、約1000℃以上、または約1100℃以上とすることができる。
次いでパターン付き基板は、化学機械研磨(CMP)工具へ移動される。パターン付き基板上の酸化ケイ素を研磨して、酸化ケイ素層を平坦化する(110)。CMPなどの平坦化プロセスは通常、基板からより遠くへ延びる材料を、凹んだ材料より急速に除去し、その結果、選択可能な横方向の長さスケールにおいてさらなる平面性を得ることができる。横方向の長さスケールは通常、基板の「長さ」または直径より著しく小さい。凹んだ領域より上に延びる酸化ケイ素を優先的に除去するように調整されたエッチングプロセスを含む他の技法を使用して、表面を平坦化することもできる。実施形態では、延びた領域と凹んだ領域の両方から材料が除去される。CMPを使用する平坦化後、平坦化後の誘電体インターフェースが形成され、研磨前のインターフェースよりパターン付き基板近くに配置される。
平坦化後の誘電体インターフェースにより、(特に)狭いトレンチ内の材料を処理して、平坦化前に可能なはずのものより密度を増大させることができる。基板は、工程108に関連する議論で提示したすべてのプロセスパラメータ範囲および大気を含む平坦化前に、記載のように硬化およびアニールすることができる(112)。平坦化後の誘電体インターフェースにより、上記で提示したのと同じ実施形態で、すべての凹んだ領域内、特に狭いトレンチ内の材料の密度をさらに増大させることができる。酸素が存在することと、平坦化後の誘電体インターフェースが狭いトレンチにより近接することを組み合わせると、膜内に残った未反応の窒素を酸素にさらに置き換えることができる。言い換えれば、酸素の露出は、平坦化前はインターフェースから単に遠すぎた領域内で、ケイ素および窒素含有層からケイ素および酸素含有層への変換を進めることができる。CMP後に可能になる追加の高密度化は、上にある誘電体層によってトレンチ内のSiOの網状組織を維持できることを示す。上にある層を除去した後、トレンチ内のSiOは、CMP後のアニール中に自由に再構築することができる。トレンチの幾何形状を制限することで、CMP前のアニール中に網状組織の再構築を抑制するのを助けることができ、一方、CMP後の新しくなった露出により、著しい追加の網状組織の再構築を行うことができる。酸素含有大気は、前述の酸素含有化合物およびラジカルを含むことができる。実施形態では、酸素含有大気は、湿気を増大させ、網状組織の再構築を容易にし、凹んだ領域内の密度を増大させるために、水素をさらに含むことができる。
平坦化後のアニールを導入する結果、平坦化ステップ前のアニールを修正することができる。下流の処理に備えて膜を高密度化するのではなく、CMP前のアニールでは、CMPステップに耐えるように膜を高密度化することのみを必要とする。これにより、処理の高温部分の必要を低減または解消することができる。実施形態では、膜は、オゾン含有環境中での低温の硬化を必要とする。他の実施形態では、膜は、オゾン含有環境中での低温の硬化および酸素含有環境中での低温のアニールを必要とする。層剥離および研磨の均一性を考慮することに加えて、CMP前のアニールは、許容可能な欠陥レベルを可能にするように選択されるべきである。CMP前のアニールではCMP後のアニールより熱負荷を低くすることができるため、場合によっては、平坦化後のアニールを含むことを使用して、処理中の流動性の高い膜に対する膜の亀裂を低減、軽減、制御、または防止することができる。CMP後のアニールは、高温を有することがあるが、膜の厚さが低減され、それによってアニール中の膜の亀裂の可能性を低減させることができる。
いくつかの実施形態では、パターン付き基板は、狭いトレンチおよび凹んだ開放領域を含み、狭いトレンチと凹んだ開放領域はそれぞれ、上述したように酸化ケイ素で充填される。様々な効果の結果、狭いトレンチ内の酸化ケイ素の密度を、凹んだ開放領域内の酸化ケイ素の密度より低いことがある。これは、各材料がフッ化水素酸ベースのエッチング溶液(例えば、6:1の緩衝酸化物エッチング溶液)に露出されたときの湿式エッチング速度を測定することによって求めることができる。特有の試験構造を使用して、開示する実施形態による方法がトレンチ内の膜の品質に与える利益を実証した。この構造は、幅60〜120nmのトレンチおよび開放領域を有する。
図2A〜2Bは、エッチングされた間隙充填酸化ケイ素膜および開示する実施形態によって処理されたエッチングされた間隙充填酸化ケイ素膜の概略断面図である。図2Aの概略図は、トレンチ壁202間に複数のトレンチを有する支持基板200を示す。ウェーハ全体を、流動性の高いケイ素および窒素含有膜で堆積させ、次いで、200℃〜400℃の蒸気中および800℃〜1100℃のN中で硬化およびアニールした。次いでCMPを使用して、パターン付き基板上のトレンチの上部までウェーハを平坦化した。窒化物停止層を設けて、所望の位置での研磨を停止するのを助けた。平坦化後の誘電体インターフェースの位置を、点線201で示す。6:1のBOEで湿式エッチングを10秒間行った後の、狭いトレンチ204−1および凹んだ開放領域205−1内の残りの酸化ケイ素を、実線を使用して示す。除去される材料の量は、ウェットリセスと呼ぶことができ、WERに比例する。ウェットリセスは、異なる領域内で異なることがあり、例えばリセスは、トレンチの幅とともに変動することがある。狭い間隙充填部分204−1のエッチング速度は、広い間隙充填部分205−1のエッチング速度より大きく、その結果、エッチング後の誘電体インターフェースがより低くなる。ウェットリセスは、トレンチ幅65nmを有する狭いトレンチ内で約90nmであり、開放領域内で約36nmである。
CMP後のアニール(不活性環境中)後の別の湿式エッチング速度の比較を、図2Bに示す。このとき、狭い間隙充填部分内の密度が増大されたため、狭い間隙充填部分204−2と広い間隙充填部分205−2の高さは類似している。図2Bの概略断面図では、異なる領域内のウェットリセスは実質上一致する。ウェットリセスは、狭いトレンチ内では34nmに低減され、開放領域内では30nmに低減された。CMP後のアニール中、狭い間隙充填部分204−2と広い間隙充填部分205−2の両方の密度が増大したが、狭い間隙充填部分内の密度はより著しく増大した。これにより、狭い間隙充填部分のWERを、広い間隙充填部分のWERにより類似させることができる。基板を処理して密度を増大させた後の広い間隙充填部分のエッチング速度は、狭い間隙充填部分のエッチング速度の20%、15%、10%、7%、5%、または3%の1つの範囲内である。
本明細書に提示する方法について、酸化ケイ素膜になるように後に処理される例示的なケイ素および窒素含有膜を使用して説明する。これらの方法は、SACVD、HARP/eHARP膜(TEOSオゾン酸化ケイ素/TEOSオゾンHO酸化ケイ素としても知られている)、スピンオンガラス(SOG)、プラズマ強化CVD(PECVD)酸化ケイ素、流動性の高いCVD(FCVD)酸化ケイ素、減圧CVD(SACVD)酸化ケイ素を含む様々な方法を使用して堆積された酸化ケイ素ならびに他の誘電体の間隙充填膜(例えば、SiON、SiOC)上で使用できることに留意されたい。これらの膜は、非ドープシリケートガラス(USG)とすることができ、またはドープすることができる(例えば、リン酸ホウ素シリケートガラス、BPSG)。トレンチおよび凹んだ開放領域内で密度を増大させることは、間隙充填材料を再び流すこと、または共形の堆積中に形成しうるシームを回復させることを伴うことができる。
硬化およびアニールなどの熱処理は、トレンチおよび凹んだ開放領域内で間隙充填酸化ケイ素の密度を増大させる唯一の方法ではない。パターン付き基板を含む基板処理領域内でプラズマを励起させることは、前述した熱ステップ(硬化および/もしくはアニール)の代わりに、または熱ステップに加えて使用することができる。そのようなプラズマも、間隙充填酸化ケイ素の密度を増大させることがわかった。プラズマおよび熱処理は、同時および/または順番に実行することができる。プラズマ処理は、別個のプラズマチャンバ内で実行することができ、または本明細書に記載する他のプロセスに対して使用されるのと同じチャンバ内で実行することができる。図3は、本発明の実施形態による酸化ケイ素膜を処理する方法300における選択されたステップを示す流れ図である。方法300は、図1の工程110と同様に、間隙充填酸化ケイ素層302を平坦化するステップを含む。次いでパターン付き基板は、酸素含有前駆体から形成された酸素含有プラズマ304中で処理される。例示的な酸素含有前駆体は、図1の工程108(および112)に関する議論で列挙した。酸素含有前駆体は通常、希ガス(Ne、Arなど)などの不活性ガスを伴う。いかなる酸素含有前駆体も実質上もたないプラズマ励起された不活性ガスもまた、平坦化ステップに続いて間隙充填酸化ケイ素の密度を増大させることがわかった。酸素含有前駆体と水素含有前駆体をどちらも有するプラズマ励起された不活性ガスもまた、湿気の増大の結果、場合によっては役立つことがわかった。これらのプラズマベースのプロセスはすべて、密度を増大させ、狭いトレンチと凹んだ開放領域内でより類似の密度を生じさせることがわかった。図3の例示的なプロセスは、酸化ケイ素間隙充填層がアニールされたとき(306)、引き続き間隙充填層をさらに高密度化および均質化する。プラズマプロセスおよび熱プロセスが順番に適用されるとき、プラズマプロセスを熱プロセスの前に行っても、熱プロセスの後に行ってもよい。
プラズマ高密度化プロセス中、基板を含む基板処理領域内でプラズマが生じる。不活性の前駆体および反応性の前駆体(任意選択で)が基板処理領域内に流れ込み、その領域にプラズマ出力(例えば、RFまたはマイクロ波)が印加されてガスを励起させる。プラズマ出力は、容量性および誘導性を含む様々な方法で印加することができる。いくつかの実施形態では、通常13.56MHzの高いRF周波数(RF1)および360KHzの低いRF周波数(RF2)で出力を供給する混合周波数としてRF出力を供給し、基板処理領域内へ導入された反応種の分解を促進することができる。使用される特有の周波数は、場所によって変動することがあり、大半は、通信干渉を考慮することによって決定される。
開示する実施形態では、基板の温度は、約100℃、約150℃、約200℃、約250℃、または約300℃より大きくすることができる。開示する実施形態では、基板の温度は、約600℃、約500℃、または約400℃より小さくすることもできる。開示する追加の実施形態によれば、基板温度の上限のいずれかと下限のいずれかを組み合わせて、追加の温度範囲を形成することができる。開示する実施形態では、基板処理領域内の圧力は、約0.5トル、1トル、2トル、または4トルより大きくすることができる。開示する実施形態では、基板処理領域内の圧力は、約20トル、約15トル、約10トル、約8トル、または約6トルを下回ることもできる。開示する追加の実施形態は、圧力の下限のいずれかと上限のいずれかを組み合わせることによって形成することができる。開示する実施形態では、プラズマを励起するために約13.56MHzが使用されたとき、RF出力は、約25ワット〜約400ワット、約50ワット〜約350ワット、約100ワット〜約300ワット、または約150ワット〜約250ワットとすることができる。開示する追加の実施形態では、RF出力の上限のいずれかと下限のいずれかを組み合わせて、出力に対する追加の範囲を形成することができる。
例示的な基板処理システム
堆積システムの実施形態は、集積回路チップを生産するための、より大型の製造システム内に組み込むことができる。図4は、開示する実施形態による堆積チャンバ、焼成チャンバ、および硬化チャンバからなる1つのそのようなシステム400を示す。この図では、1対のFOUP(前方開口型統一ポッド)402が基板(例えば、直径300mmのウェーハ)を供給し、基板はロボットアーム404によって受け取られ、低圧保持領域406内に配置されてから、ウェーハ処理チャンバ408a〜fの1つに配置される。第2のロボットアーム410を使用して、基板ウェーハを保持領域406から処理チャンバ408a〜fへ輸送し、また戻すことができる。
処理チャンバ408a〜fは、基板ウェーハ上に流動性の高い誘電体膜を堆積、アニール、硬化、および/またはエッチングする1つまたは複数のシステム構成要素を含むことができる。一構成では、2対の処理チャンバ(例えば、408c〜dおよび408e〜f)を使用して、基板上に流動性の高い誘電体材料を堆積させることができ、第3の対の処理チャンバ(例えば、408a〜b)を使用して、堆積された誘電体をアニールすることができる。別の構成では、同じ2対の処理チャンバ(例えば、408c〜dおよび408e〜f)は、基板上で流動性の高い誘電体膜の堆積とアニールの両方を行うように構成することができ、一方、第3の対のチャンバ(例えば、408a〜b)は、堆積された膜のUVまたは電子ビーム硬化に使用することができる。さらに別の構成では、3対のチャンバ(例えば、408a〜f)すべてが、基板上に流動性の高い誘電体膜を堆積および硬化させるように構成することができる。さらに別の構成では、2対の処理チャンバ(例えば、408c〜dおよび408e〜f)は、流動性の高い誘電体の堆積とUVまたは電子ビーム硬化の両方に使用することができ、一方、第3の対の処理チャンバ(例えば、408a〜b)は、誘電体膜をアニールするために使用することができる。流動性の高い誘電体膜に対する堆積チャンバ、アニールチャンバ、および硬化チャンバの追加の構成が、システム400によって企図されることが理解されよう。
さらに、プロセスチャンバ408a〜fの1つまたは複数は、湿式の処理チャンバとして構成することができる。これらのプロセスチャンバは、湿気を含む大気中で流動性の高い誘電体膜を加熱することを含む。したがって、システム400の実施形態は、堆積された誘電体膜上で湿式アニールと乾式アニールの両方を実行するために、湿式処理チャンバ408a〜bおよびアニール処理チャンバ408c〜dを含むことができる。
図5Aは、開示する実施形態による基板処理チャンバ500である。遠隔プラズマシステム(RPS)510はガスを処理することができ、次いでガスは、ガス入り口アセンブリ511を通って進む。ガス入り口アセンブリ511内に、2つの別個のガス供給チャネルが見られる。第1のチャネル512は、遠隔プラズマシステムRPS510を通過するガスを運び、一方、第2のチャネル513は、RPS500を迂回する。開示する実施形態では、第1のチャネル512は、プロセスガスに使用することができ、第2のチャネル513は、処理ガスに使用することができる。リッド(または導電性の上部部分)521および穴のあいた区画553を、間にある絶縁リング524とともに示す。絶縁リング524によって、穴のあいた区画553に対してリッド521に交流電位を印加することができる。プロセスガスは、第1のチャネル512を通ってチャンバプラズマ領域520内へ進み、チャンバプラズマ領域520内単独で、またはRPS510と組み合わせて、プラズマによって励起することができる。本明細書では、チャンバプラズマ領域520および/またはRPS510の組合せを、遠隔プラズマシステムと呼ぶことができる。穴のあいた区画(シャワーヘッドとも呼ぶ)553は、シャワーヘッド553の下にある基板処理領域570からチャンバプラズマ領域520を分離する。シャワーヘッド553により、チャンバプラズマ領域520内に存在するプラズマは、基板処理領域570内のガスを直接励起させるのを回避することができ、それでもなお励起された種は、チャンバプラズマ領域520から基板処理領域570内へ進むことができる。
シャワーヘッド553は、チャンバプラズマ領域520と基板処理領域570の間に位置決めされ、それによって、チャンバプラズマ領域520内で生じたプラズマ流出物(前駆体または他のガスの励起された誘導体)は、板の厚さを横切る複数の貫通孔556を通過することができる。シャワーヘッド553はまた、1つまたは複数の中空体積551を有し、中空体積551は、蒸気またはガス(ケイ素含有前駆体など)の形の前駆体で充填することができ、直接チャンバプラズマ領域520内ではなく、小さい孔555を通って基板処理領域570内へ進むことができる。開示するこの実施形態では、シャワーヘッド553は、貫通孔556の最小の直径550の長さより厚い。チャンバプラズマ領域520から基板処理領域570へ浸透する励起された種のかなりの濃度を維持するために、貫通孔の最小の直径550の長さ526は、シャワーヘッド553の途中に貫通孔556の直径がより大きい部分を形成することによって制限することができる。開示する実施形態では、貫通孔556の最小の直径550の長さは、貫通孔556の最小の直径と同じ程度以下とすることができる。
図示の実施形態では、シャワーヘッド553は、チャンバプラズマ領域520内でプラズマによって励起されると、酸素、水素、および/もしくは窒素を含有するプロセスガス、ならびに/またはそのようなプロセスガスのプラズマ流出物を(貫通孔556を介して)分散させることができる。実施形態では、第1のチャネル512を通ってRPS510および/またはチャンバプラズマ領域520内へ導入されるプロセスガスは、酸素(O)、オゾン(O)、NO、NO、NO、NH、Nを含むN、シラン、ジシラン、TSA、およびDSAの1つまたは複数を含有することができる。プロセスガスはまた、ヘリウム、アルゴン、窒素(N)などのキャリアガスを含むことができる。第2のチャネル513もまた、成長する膜または堆積された膜から望ましくない成分を除去するために使用されるプロセスガスおよび/もしくはキャリアガス、ならびに/または膜硬化ガスを送達することができる。プラズマ流出物は、プロセスガスのイオン化誘導体または中性誘導体を含むことができ、本明細書では、導入されたプロセスガスの原子状の成分を指して、ラジカル酸素前駆体および/またはラジカル窒素前駆体と呼ぶことができる。
実施形態では、貫通孔556の数は、約60〜約2000とすることができる。貫通孔556は、様々な形状を有することができるが、円形に形成するのが最も容易である。開示する実施形態では、貫通孔556の最小の直径550は、約0.5mm〜約20mmまたは約1mm〜約6mmとすることができる。貫通孔の断面形状の選択には許容範囲もあり、円錐形、円筒形、または2つの形状の組合せとすることができる。異なる実施形態では、基板処理領域570内へガスを導入するために使用される小さい孔555の数は、約100〜約5000または約500〜約2000とすることができる。小さい孔555の直径は、約0.1mm〜約2mmとすることができる。
図5Bは、開示する実施形態による処理チャンバとともに使用するためのシャワーヘッド553の底面図である。シャワーヘッド553は、図5Aに示すシャワーヘッドに相当する。貫通孔556は、シャワーヘッド553の底部上でより大きい内径(ID)を有し、上部でより小さいIDを有する状態で示す。小さい孔555は、貫通孔556の間でも、シャワーヘッドの表面全体にわたって実質上均一に分散され、それによって、本明細書に記載する他の実施形態より均一の混合を提供するのを助ける。
シャワーヘッド553内の貫通孔556を通って到達したプラズマ流出物が、中空体積551から小さい孔555を通って到達したケイ素含有前駆体と混ざると、基板処理領域570内のペデスタル(図示せず)によって支持された基板上に、例示的な膜が生成される。基板処理領域570は、硬化などの他のプロセスのためにプラズマに対応するように装備することができるが、例示的な膜の成長中にはプラズマは存在しない。
シャワーヘッド553の上のチャンバプラズマ領域520内またはシャワーヘッド553の下の基板処理領域570内で、プラズマを着火することができる。処理チャンバの導電性の上部部分521とシャワーヘッド553の間に、通常無線周波数(RF)範囲内の交流電圧が印加され、堆積中にチャンバプラズマ領域520内でプラズマを着火する。基板処理領域570内の底部のプラズマを点けて膜を硬化させ、または基板処理領域570と境界をなす内部表面を洗浄するとき、上部のプラズマは、低い出力、または出力のない状態のままである。基板処理領域570内のプラズマは、シャワーヘッド553とチャンバのペデスタルまたは底部の間に交流電圧を印加することによって着火される。プラズマが存在する間に、基板処理領域570内へ洗浄ガスを導入することができる。
ラジカル窒素前駆体は、遠隔プラズマ領域内で生成されて基板処理領域内へ進み、基板処理領域内では、ラジカル窒素前駆体によってケイ素含有前駆体が励起される。実施形態では、ケイ素含有前駆体は、ラジカル窒素前駆体のみによって励起される。実施形態では、ラジカル窒素前駆体がケイ素含有前駆体に対する主な励起を確実に提供するように、プラズマ出力は本質的に、遠隔プラズマ領域のみに印加することができる。
チャンバプラズマ領域を用いる実施形態では、前駆体が混合および反応して堆積基板(例えば、半導体ウェーハ)上にケイ素および窒素層を堆積させる堆積領域から区切られた基板処理領域の一区域内で、励起されたプラズマ流出物が生成される。励起されたプラズマ流出物はまた、励起されていない不活性ガス(例示的な場合、アルゴン)を伴う。本明細書では、基板処理領域について、例えばケイ素および窒素含有層の成長中は「プラズマがない」と説明することができる。「プラズマがない」とは、この領域がプラズマをもたないことを必ずしも意味するわけではない。プラズマ領域内で生成されたイオン化種および自由電子は、区画(シャワーヘッド)内の貫通孔(開口)を通って進むが、炭素のないケイ素含有前駆体は、プラズマ領域に印加されるプラズマ出力によって実質上励起されない。チャンバプラズマ領域内のプラズマの境界は、画定するのが困難であり、シャワーヘッド内の開口を通って基板処理領域に侵入することがある。誘導結合されたプラズマの場合、基板処理領域内で直接、わずかな量のイオン化が生じることがある。さらに、基板処理領域内では、低強度のプラズマが生じることもあるが、形成される膜の所望の特徴はなくさない。励起されたプラズマ流出物の生成中、チャンバプラズマ領域(または、その点では遠隔プラズマ領域)よりプラズマのイオン密度がはるかに低強度であるすべての原因は、本明細書で「プラズマがない」という範囲から逸脱しない。
熱高密度化プロセスでは、基板を不活性大気中で加熱することができる。熱は、基板温度を上げるために抵抗性の加熱要素を含むことができるペデスタルによって供給することができる。プラズマ高密度化プロセス中、RF電源540は、シャワーヘッド553と図5Aに示す構成要素の下のペデスタルの間に出力を印加する。プラズマ出力は、プロセスガス混合物を励起して、シャワーヘッド553とペデスタルによって支持された基板の間のほぼ円筒形の領域内にプラズマを形成する。シャワーヘッド553は導電表面を有し、または表面は、金属挿入物を絶縁して覆うことができる。位置にかかわらず、シャワーヘッド553の金属部分は、誘電体挿入物を介してCVDチャンバ500の残り部分から電気的に分離され、それによってシャワーヘッド553の電圧を、支持ペデスタルおよびリッド520に対して変動させることができる。リッド521と支持ペデスタルも電気的に分離され、したがって、チャンバプラズマ領域520内にプラズマを生じさせることなく、基板処理領域570内にプラズマを生じさせることができる。
基板処理システムは、システムコントローラによって制御される。例示的な実施形態では、システムコントローラは、ハードディスクドライバ、フロッピーディスクドライバ、および処理装置を含む。処理装置は、単一基板コンピュータ(SBC)、アナログおよびデジタル入出力基板、インターフェース基板、ならびにステッパモータコントローラ基板を含む。CVDシステムの様々な部分は、基板、カードケージ、およびコネクタの寸法および型を規定するVersa Modular European(VME)標準に適合する。VME標準はまた、バス構造について、16ビットのデータバスおよび24ビットのアドレスバスを有すると規定する。
システムコントローラは、CVD機の活動のすべてを制御する。システムコントローラは、コンピュータ可読媒体内に記憶されたコンピュータプログラムであるシステム制御ソフトウェアを実行する。媒体はハードディスクドライバであることが好ましいが、媒体は、他の種類のメモリとすることもできる。コンピュータプログラムは、特定のプロセスのタイミング、ガスの混合、チャンバ圧力、チャンバ温度、RF出力レベル、サセプタの位置、および他のパラメータを指示する命令セットを含む。例えばフロッピーディスクまたは他の別の適当なドライバを含む他の記憶デバイス上に記憶された他のコンピュータプログラムを使用して、システムコントローラに命令することもできる。
基板上に積層膜を堆積させるプロセスまたはチャンバを洗浄するプロセスは、システムコントローラによって実行されるコンピュータプログラム製品を使用して実施することができる。コンピュータプログラムコードは、任意の従来のコンピュータ可読プログラミング言語、例えば、68000アセンブリ言語、C、C++、Pascal、Fortranなどで書くことができる。適したプログラムコードは、従来のテキストエディタを使用して単一のファイルまたは複数のファイル内へ入力され、コンピュータのメモリシステムなどのコンピュータ使用可能媒体内で記憶または実施される。入力されたコードテキストが高級言語である場合、コードはコンパイルされ、次いで、その結果得られるコンパイラコードは、事前にコンパイルされたMicrosoft Windows(登録商標)のライブラリルーチンのオブジェクトコードとリンクされる。リンクされたコンパイル済みのオブジェクトコードを実行するには、システムユーザは、オブジェクトコードを呼び出し、コンピュータシステムにコードをメモリ内へロードさせる。次いでCPUは、コードを読んで実行し、プログラム内で識別されたタスクを実行する。
ユーザとコントローラの間のインターフェースは、フラットパネル式の接触感知型モニタを介してなされる。好ましい実施形態では、2つのモニタが使用され、一方は、操作者用に洗浄室の壁に取り付けられ、他方は、サービス技術者用に壁の後ろに取り付けられる。2つのモニタは、同じ情報を同時に表示することができ、その場合、一度に一方だけが入力を受け入れる。特定のスクリーンまたは機能を選択するには、操作者は、接触感知型モニタの指定の領域に触れる。触れた領域では、強調色が変化し、または新しいメニューもしくはスクリーンが表示され、操作者と接触感知型モニタの間の通信を確認する。接触感知型モニタの代わりに、または接触感知型モニタに加えて、キーボート、マウス、または他のポインティングもしくは通信デバイスなどの他のデバイスを使用することができ、それによってユーザは、システムコントローラと通信することができる。
本明細書では、「基板」とは、その上に層が形成されるかどうかにかかわらず、支持基板とすることができる。支持基板は、様々なドーピング濃度およびプロファイルの絶縁体または半導体とすることができ、例えば、集積回路の製造で使用されるタイプの半導体基板とすることができる。本明細書では、「酸化ケイ素」と「ケイ素および酸素含有層」は区別なく使用され、かなりの濃度の窒素、水素、炭素などの他の元素成分を含むことができる。本明細書では、「励起状態」のガスとは、気体分子の少なくとも一部が振動によって励起、解離、および/またはイオン化された状態にあるガスを指す。ガスは、2つ以上のガスの組合せとすることができる。トレンチという用語を本明細書全体にわたって使用するが、エッチングされた幾何形状が必ずしも大きな水平アスペクト比を有することを示唆しない。表面の上から見ると、トレンチは、円形、楕円形、多角形、方形、または様々な他の形状に見えることがある。「前駆体」という用語は、表面から材料を除去または堆積させる反応を担う任意のプロセスガスを指すために使用される。「不活性ガス」という語句は、膜内に組み込まれたときに化学結合を形成しない任意のガスを指す。例示的な不活性ガスは希ガスを含むが、(通常)わずかな量が膜内に取り込まれたときに化学結合が形成されない限り、他のガスを含むことができる。本明細書では、共形の層とは、表面と同じ形状である表面上の概ね均一の材料層を指し、すなわち、層の表面と覆われている表面は、概ね平行である。堆積された材料は、100%共形にできない可能性が高く、したがって「概ね」という用語は許容公差を可能にすることが、当業者には理解されるであろう。
本明細書では、湿式エッチング速度(WER)を測定し、湿式エッチング速度比(WERR)を計算することによって、密度を評価した。これらの測定は、フッ化水素酸ベースの溶液中で時間指定されたエッチングを実行し、エッチング速度を毎秒ナノメートル単位で計算することによって行った。WERRは通常、同じ溶液中の誘電体サンプルのエッチング速度と熱酸化ケイ素のエッチング速度を比較することによって得られる。一般的な緩衝酸化物エッチング溶液は、水中で40%のNHFと水中で49%のHFの6:1の体積比を含む。この溶液は、熱成長させた酸化ケイ素を25℃で、毎秒約2ナノメートルでエッチングする。酸化ケイ素を形成する他の方法では、通常、酸化ケイ素膜の湿式エッチング速度はより速くなる。通常、湿式エッチング速度がより速いことは、酸化ケイ素膜の候補が、熱成長させた酸化ケイ素より低い密度を有することを示唆する。場合によっては、湿式エッチング速度比を使用して2つの非熱酸化ケイ素膜(または同じ膜の異なる部分)を比較し、その文脈で区別する。
いくつかの実施形態について説明したが、本発明の精神から逸脱することなく、様々な修正、代替構造、および均等物を使用できることが、当業者には理解されよう。さらに、本発明を不要に曖昧にするのを回避するため、複数のよく知られているプロセスおよび要素については説明しなかった。したがって、上記の説明は、本発明の範囲を限定すると解釈されるべきではない。
値の範囲が提供される場合、その範囲の上限と下限の間に介在するそれぞれの値はまた、文脈上別途明示しない限り下限の単位の10分の1まで具体的に開示されることが理解される。記載の範囲内の任意の記載の値または介在する値と、その記載の範囲内の任意の他の記載の値または介在する値との間のより小さいそれぞれの範囲が包含される。これらのより小さい範囲の上限および下限は、独立して範囲内に包含または除外することができ、より小さい範囲内に限界のいずれかを含む範囲、限界をどちらも含まない範囲、または限界をどちらも含む範囲もそれぞれ、記載の範囲内の具体的に除外された限界に応じて、本発明の範囲内に包含される。記載の範囲が限界の一方または両方を含む場合、これらの包含される限界の一方または両方を除外する範囲も含まれる。
本明細書および添付の特許請求の範囲では、言及される名詞は、文脈上別途明示しない限り、複数の言及対象物を含む。したがって、例えば「プロセス」への言及は、複数のそのようなプロセスを含み、「前駆体」への言及は、当業者には知られている1つまたは複数の前駆体およびその均等物への言及を含み、以下同様である。
また、本明細書および以下の特許請求の範囲で使用する「含む」およびその活用形は、記載の特徴、整数、構成要素、またはステップの存在を指定するものであるが、1つまたは複数の他の特徴、整数、構成要素、ステップ、工程、または群の存在または追加を排除しない。

Claims (17)

  1. 狭いトレンチおよび凹んだ開放領域を有するパターン付き基板上でケイ素および酸素含有層を処理する方法であって、
    前記狭いトレンチ内および前記凹んだ開放領域内を含む前記パターン付き基板上にケイ素および酸素含有層を形成するステップと、
    前記ケイ素および酸素含有層を平坦化して、前記狭いトレンチ内に狭い間隙充填部分を残し、前記凹んだ開放領域内に広い間隙充填部分を残すステップであり、前記狭いトレンチの上の前記ケイ素および酸素含有層の一部分を除去して、対応する平坦化前の誘電体インターフェースよりも前記狭いトレンチの近くに配置された平坦化後の誘電体インターフェースを露出させることを含むステップと、
    前記平坦化工程の後に前記基板を処理して前記狭い間隙充填部分の密度を増大させるステップであり、前記平坦化後の誘電体インターフェースを前記狭いトレンチにより近く配置することで、前記狭い間隙充填部分では、前記平坦化工程前に前記基板が処理された場合より密度を高くすることができるステップと
    を含む方法。
  2. 前記ケイ素および酸素含有層を形成するステップが、
    プラズマ前駆体を遠隔プラズマ領域内へ流してプラズマ流出物を形成することと、
    基板処理領域内で前記プラズマ流出物とケイ素含有前駆体の流れを組み合わせることであり、前記ケイ素含有前駆体の前記流れがプラズマによって励起されていないことと、
    オゾン含有大気中で前記ケイ素および窒素含有層を硬化させて、前記層をケイ素および酸素含有層に変換することと
    を含む、請求項1に記載の方法。
  3. 前記開放領域が、50nm、100nm、200nm、500nm、および1000nmの1つより大きい幅を有する広いトレンチである、請求項1に記載の方法。
  4. 前記狭いトレンチが、100nm、70nm、50nm、35nm、25nm、および20nmの1つより小さい幅を有する、請求項1に記載の方法。
  5. 前記基板を処理して密度を増大させた後の前記広い間隙充填部分のエッチング速度が、前記狭い間隙充填部分のエッチング速度の20%、15%、10%、7%、5%、および3%の1つの範囲内である、請求項1に記載の方法。
  6. 前記基板を処理して密度を増大させる前記工程が、不活性ガスを含む大気中で前記基板をプラズマに露出させることを含む、請求項1に記載の方法。
  7. 前記大気が酸素をさらに含む、請求項6に記載の方法。
  8. 前記大気が水素をさらに含む、請求項7に記載の方法。
  9. 前記基板を処理して密度を増大させる前記工程が、前記基板を約400℃、500℃、600℃、700℃、または800℃以上でアニールして前記狭い間隙充填部分の密度を増大させることを含む、請求項1に記載の方法。
  10. 前記ケイ素および酸素含有層を平坦化する前記工程が、前記基板を化学機械研磨することを含む、請求項1に記載の方法。
  11. 前記ケイ素および酸素含有層を平坦化する前記工程が、前記基板上で平坦化エッチングを実行することを含む、請求項1に記載の方法。
  12. 前記基板を処理して密度を増大させる前記工程が、前記広い間隙充填部分の密度も増大させる、請求項1に記載の方法。
  13. 前記基板を処理して密度を増大させる前記工程が、前記基板をプラズマに順次露出させ、次いで前記基板をアニールすることを含む、請求項1に記載の方法。
  14. 前記基板を処理して密度を増大させる前記工程が、前記基板を順次アニールし、次いで前記基板をプラズマに露出させることを含む、請求項1に記載の方法。
  15. 500℃、600℃、700℃、および800℃の1つを上回る基板温度で酸素含有大気中の前記ケイ素および酸素含有層をアニールした後で前記ケイ素および酸素含有層を平坦化するステップをさらに含む、請求項1に記載の方法。
  16. 前記ケイ素および酸素含有層を処理する前記工程後、前記ケイ素および酸素含有層が本質的にケイ素および酸素からなる、請求項1に記載の方法。
  17. 前記ケイ素および酸素含有層が、スピンオンガラス(SOG)、プラズマ強化CVD(PECVD)酸化ケイ素、流動性の高いCVD(FCVD)酸化ケイ素、減圧CVD(SACVD)酸化ケイ素、TEOSオゾン酸化ケイ素、TEOSオゾンHO酸化ケイ素、非ドープシリケートガラス(USG)、およびリン酸ホウ素シリケートガラス(BPSG)の少なくとも1つを含む、請求項1に記載の方法。
JP2012532201A 2009-10-05 2010-09-23 平坦化後の高密度化 Pending JP2013507003A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US24869309P 2009-10-05 2009-10-05
US61/248,693 2009-10-05
US12/787,791 2010-05-26
US12/787,791 US8329587B2 (en) 2009-10-05 2010-05-26 Post-planarization densification
PCT/US2010/050060 WO2011043933A2 (en) 2009-10-05 2010-09-23 Post-planarization densification

Publications (2)

Publication Number Publication Date
JP2013507003A true JP2013507003A (ja) 2013-02-28
JP2013507003A5 JP2013507003A5 (ja) 2013-11-07

Family

ID=43823502

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012532201A Pending JP2013507003A (ja) 2009-10-05 2010-09-23 平坦化後の高密度化

Country Status (6)

Country Link
US (1) US8329587B2 (ja)
JP (1) JP2013507003A (ja)
KR (1) KR20120084758A (ja)
CN (1) CN102668061A (ja)
TW (1) TWI505361B (ja)
WO (1) WO2011043933A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020516079A (ja) * 2017-04-04 2020-05-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated シリコン間隙充填のための二段階プロセス
JP2020517100A (ja) * 2017-04-07 2020-06-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 反応性アニールを使用する間隙充填

Families Citing this family (185)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9257302B1 (en) 2004-03-25 2016-02-09 Novellus Systems, Inc. CVD flowable gap fill
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8466067B2 (en) * 2009-10-05 2013-06-18 Applied Materials, Inc. Post-planarization densification
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8685867B1 (en) 2010-12-09 2014-04-01 Novellus Systems, Inc. Premetal dielectric integration process
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8445078B2 (en) * 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
JP2012256785A (ja) * 2011-06-10 2012-12-27 Elpida Memory Inc 半導体装置及びその製造方法
US9404178B2 (en) * 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
KR101725446B1 (ko) * 2011-08-24 2017-04-12 삼성전자주식회사 반도체 장치 및 그 제조 방법
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) * 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8846536B2 (en) * 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US20130288485A1 (en) * 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9604249B2 (en) * 2012-07-26 2017-03-28 Applied Materials, Inc. Innovative top-coat approach for advanced device on-wafer particle performance
CN103578936B (zh) * 2012-07-26 2016-04-06 中芯国际集成电路制造(上海)有限公司 一种制作半导体器件的方法
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
CN103854965B (zh) * 2012-11-30 2017-03-01 中国科学院微电子研究所 平坦化处理方法
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US8986557B2 (en) * 2013-02-19 2015-03-24 Applied Materials, Inc. HDD patterning using flowable CVD film
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
KR20150119293A (ko) * 2013-03-26 2015-10-23 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9406547B2 (en) * 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
CN105489484B (zh) * 2014-10-13 2018-07-20 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9543141B2 (en) * 2014-12-09 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd Method for curing flowable layer
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US10224235B2 (en) * 2016-02-05 2019-03-05 Lam Research Corporation Systems and methods for creating airgap seals using atomic layer deposition and high density plasma chemical vapor deposition
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US9905430B1 (en) 2016-08-24 2018-02-27 United Microelectronics Corp. Method for forming semiconductor structure
CN107799421B (zh) 2016-09-05 2021-04-02 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10297597B2 (en) 2016-10-03 2019-05-21 Globalfoundries Inc. Composite isolation structures for a fin-type field effect transistor
US9953879B1 (en) * 2016-10-03 2018-04-24 Globalfoundries Inc. Preventing oxidation defects in strain-relaxed fins by reducing local gap fill voids
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10062561B2 (en) * 2016-11-01 2018-08-28 Applied Materials, Inc. High-pressure annealing and reducing wet etch rates
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
FR3070221B1 (fr) 2017-08-16 2020-05-15 Stmicroelectronics (Rousset) Sas Transistors mos en parallele
FR3070222A1 (fr) 2017-08-16 2019-02-22 Stmicroelectronics (Rousset) Sas Puce comprenant deux transistors mos en parallele
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11047035B2 (en) 2018-02-23 2021-06-29 Applied Materials, Inc. Protective yttria coating for semiconductor equipment parts
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11120997B2 (en) * 2018-08-31 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Surface treatment for etch tuning
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11862458B2 (en) * 2021-09-08 2024-01-02 Applied Materials, Inc. Directional selective deposition

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10289946A (ja) * 1997-04-14 1998-10-27 Toshiba Corp 半導体装置の製造方法
JP2004153037A (ja) * 2002-10-31 2004-05-27 Renesas Technology Corp 半導体装置の製造方法
JP2004179614A (ja) * 2002-10-02 2004-06-24 Toshiba Corp 半導体装置の製造方法
JP2005072358A (ja) * 2003-08-26 2005-03-17 Seiko Epson Corp 半導体装置の製造方法
JP2006253310A (ja) * 2005-03-09 2006-09-21 Toshiba Corp 過水素化ポリシラザン溶液およびそれを用いた半導体装置の製造方法
WO2007140377A2 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. A novel deposition-plasma cure cycle process to enhance film quality of silicon dioxide
WO2007149991A2 (en) * 2006-06-22 2007-12-27 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
JP2008306182A (ja) * 2007-06-06 2008-12-18 Asm Japan Kk 無機シラザンベース絶縁膜を形成する方法
JP2009060143A (ja) * 2008-12-01 2009-03-19 Renesas Technology Corp 半導体装置
WO2009055340A1 (en) * 2007-10-22 2009-04-30 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
JP2009111382A (ja) * 2007-10-22 2009-05-21 Applied Materials Inc 遠隔プラズマcvdによりジシラン前駆体から高品質シリコン酸化膜を形成する方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5981354A (en) 1997-03-12 1999-11-09 Advanced Micro Devices, Inc. Semiconductor fabrication employing a flowable oxide to enhance planarization in a shallow trench isolation process
KR100712984B1 (ko) 2001-07-30 2007-05-02 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
US7205248B2 (en) * 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
JP2004311487A (ja) * 2003-04-02 2004-11-04 Hitachi Ltd 半導体装置の製造方法
JP2005166700A (ja) 2003-11-28 2005-06-23 Toshiba Corp 半導体装置及びその製造方法
US7098116B2 (en) * 2004-01-08 2006-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Shallow trench isolation method for reducing oxide thickness variations at different pattern densities
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7074690B1 (en) 2004-03-25 2006-07-11 Novellus Systems, Inc. Selective gap-fill process
US7238586B2 (en) * 2005-07-21 2007-07-03 United Microelectronics Corp. Seamless trench fill method utilizing sub-atmospheric pressure chemical vapor deposition technique
JP2007221058A (ja) * 2006-02-20 2007-08-30 Toshiba Corp 半導体装置の製造方法
JP5102467B2 (ja) * 2006-06-29 2012-12-19 東京エレクトロン株式会社 基板処理方法
US7888273B1 (en) 2006-11-01 2011-02-15 Novellus Systems, Inc. Density gradient-free gap fill
KR20080060348A (ko) 2006-12-27 2008-07-02 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
KR20080114025A (ko) 2007-06-26 2008-12-31 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
KR101404669B1 (ko) * 2007-09-27 2014-06-09 삼성전자주식회사 비휘발성 메모리 장치 및 그 형성 방법
US8466067B2 (en) 2009-10-05 2013-06-18 Applied Materials, Inc. Post-planarization densification

Patent Citations (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10289946A (ja) * 1997-04-14 1998-10-27 Toshiba Corp 半導体装置の製造方法
JP2004179614A (ja) * 2002-10-02 2004-06-24 Toshiba Corp 半導体装置の製造方法
JP2004153037A (ja) * 2002-10-31 2004-05-27 Renesas Technology Corp 半導体装置の製造方法
JP2005072358A (ja) * 2003-08-26 2005-03-17 Seiko Epson Corp 半導体装置の製造方法
JP2006253310A (ja) * 2005-03-09 2006-09-21 Toshiba Corp 過水素化ポリシラザン溶液およびそれを用いた半導体装置の製造方法
JP2009539266A (ja) * 2006-05-30 2009-11-12 アプライド マテリアルズ インコーポレイテッド 二酸化シリコンの膜質を高める新規な堆積プラズマ硬化サイクルプロセス
WO2007140377A2 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. A novel deposition-plasma cure cycle process to enhance film quality of silicon dioxide
WO2007149991A2 (en) * 2006-06-22 2007-12-27 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
JP2009542011A (ja) * 2006-06-22 2009-11-26 アプライド マテリアルズ インコーポレイテッド ボトムアップギャップ充填のための誘電堆積プロセスとエッチバックプロセス
JP2008306182A (ja) * 2007-06-06 2008-12-18 Asm Japan Kk 無機シラザンベース絶縁膜を形成する方法
WO2009055340A1 (en) * 2007-10-22 2009-04-30 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
JP2009111382A (ja) * 2007-10-22 2009-05-21 Applied Materials Inc 遠隔プラズマcvdによりジシラン前駆体から高品質シリコン酸化膜を形成する方法
JP2011504651A (ja) * 2007-10-22 2011-02-10 アプライド マテリアルズ インコーポレイテッド 基板上に酸化ケイ素層を形成する方法
JP2009060143A (ja) * 2008-12-01 2009-03-19 Renesas Technology Corp 半導体装置

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020516079A (ja) * 2017-04-04 2020-05-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated シリコン間隙充填のための二段階プロセス
JP7118511B2 (ja) 2017-04-04 2022-08-16 アプライド マテリアルズ インコーポレイテッド シリコン間隙充填のための二段階プロセス
JP2020517100A (ja) * 2017-04-07 2020-06-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 反応性アニールを使用する間隙充填
JP7118512B2 (ja) 2017-04-07 2022-08-16 アプライド マテリアルズ インコーポレイテッド 反応性アニールを使用する間隙充填

Also Published As

Publication number Publication date
CN102668061A (zh) 2012-09-12
US8329587B2 (en) 2012-12-11
TW201133623A (en) 2011-10-01
WO2011043933A3 (en) 2011-06-30
US20110081782A1 (en) 2011-04-07
KR20120084758A (ko) 2012-07-30
TWI505361B (zh) 2015-10-21
WO2011043933A2 (en) 2011-04-14

Similar Documents

Publication Publication Date Title
US8329587B2 (en) Post-planarization densification
US8466067B2 (en) Post-planarization densification
KR101445344B1 (ko) 저온 실리콘 산화물 변환
US8741788B2 (en) Formation of silicon oxide using non-carbon flowable CVD processes
KR101847593B1 (ko) 유동가능한 cvd 갭 충진을 위한 산화물­부화 라이너 층
KR101837648B1 (ko) 라디칼-컴포넌트 cvd를 위한 인­시츄 오존 경화
US8980382B2 (en) Oxygen-doping for non-carbon radical-component CVD films
KR102011079B1 (ko) 감소된 아웃개싱을 위한 표면 처리 및 증착
US20120238108A1 (en) Two-stage ozone cure for dielectric films
KR20130135301A (ko) 라디칼 증기 화학 기상 증착
KR20140009170A (ko) 실리콘­질화물­수소화물 필름들의 아민 큐어링
JP2013511150A (ja) 無炭素流動性化学気相堆積膜の硬化
JP2015521375A (ja) 流動性膜のための改善された緻密化
KR20150053967A (ko) 저비용의 유동 가능한 유전체 필름들
WO2011109148A2 (en) Conformal layers by radical-component cvd
KR20120102155A (ko) 산화물 라이너를 이용하는 유동성 유전체
US20140329027A1 (en) Low temperature flowable curing for stress accommodation

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130920

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130920

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140605

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140617

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140808

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140815

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20151006