JP2020517100A - 反応性アニールを使用する間隙充填 - Google Patents

反応性アニールを使用する間隙充填 Download PDF

Info

Publication number
JP2020517100A
JP2020517100A JP2019554843A JP2019554843A JP2020517100A JP 2020517100 A JP2020517100 A JP 2020517100A JP 2019554843 A JP2019554843 A JP 2019554843A JP 2019554843 A JP2019554843 A JP 2019554843A JP 2020517100 A JP2020517100 A JP 2020517100A
Authority
JP
Japan
Prior art keywords
film
plasma
annealing
processing method
curing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019554843A
Other languages
English (en)
Other versions
JP7118512B2 (ja
Inventor
アブヒジット バス マリック,
アブヒジット バス マリック,
プラミット マンナ,
プラミット マンナ,
シーシー チアン,
シーシー チアン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2020517100A publication Critical patent/JP2020517100A/ja
Application granted granted Critical
Publication of JP7118512B2 publication Critical patent/JP7118512B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

PECVDによって流動性膜を形成すること、該流動性膜を反応性アニールでアニーリングしてアニール膜を形成すること、及び流動性膜又はアニール膜を硬化して膜を固化させることを含む、継ぎ目のない間隙充填のための方法。流動性膜は、高次のシラン及びプラズマを使用して形成することができる。反応性アニールには、シラン又はより高次のシランを使用することができる。UV硬化又は他の硬化を流動性膜又はアニール膜の固化に使用することができる。【選択図】図3

Description

本開示は、概して、薄膜を堆積する方法に関する。特に、本開示は、低水素含有量の間隙充填膜を形成するためのプロセスに関する。
マイクロエレクト二クスデバイスの製造では、多くの用途で、ボイドを発生させることなく、10:1を超えるアスペクト比(AR)を有する狭いトレンチを埋めることが必要とされる。用途の1つはシャロートレンチアイソレーション(STI)のためのものである。この用途では、膜が、トレンチ全体を通じて高品質(例えば、2を下回る湿式エッチング速度比を有する)、かつ漏れが非常に少ないことを必要とする。構造の寸法が低下し、アスペクト比が増加すると、堆積されたままの流動性膜の後硬化方法が困難になる。結果的に、充填されたトレンチ全体にわたり、さまざまな組成の膜が生じる。
アモルファスシリコンは、他の膜(例えば、酸化シリコン、アモルファスカーボン等)に対して良好なエッチング選択性をもたらすことができることから、犠牲層として半導体製造プロセスに広く使用されている。半導体製造における限界寸法(CD)の低下に伴い、高アスペクト比の間隙を埋めることは、高度なウエハ製造においてますます敏感になっている。現在の金属置換ゲートプロセスには、炉のポリシリコン又はアモルファスシリコンのダミーゲートが含まれる。プロセスの性質に起因して、Siダミーゲートの中央に継ぎ目が形成される。この継ぎ目は、後処理中に開いて、構造の破損を引き起こしてしまう可能性がある。
継ぎ目を形成することなくトレンチを埋めるために、流動性膜を堆積させることができる。堆積されたままの流動性膜は、フィルムの密度と品質に影響を与える、高い水素組成を有する。さまざまな硬化方法により、水素組成を低下させ、膜品質を改善することができる;しかしながら、硬化させた膜にボイドが発生する。したがって、継ぎ目のない膜成長をもたらすことができる高アスペクト比構造を間隙充填する方法が必要とされている。
本開示の1つ以上の実施態様は、少なくとも1つの特徴を有する基板表面を提供することを含む、処理方法を対象とする。少なくとも1つの特徴は、基板表面から底面までの深さにわたって延びる。少なくとも1つの特徴は、第1の側壁及び第2の側壁によって画成される幅を有する。基板表面、並びに少なくとも1つの特徴の第1の側壁、第2の側壁、及び底面の上に流動性膜が形成される。流動性膜は、実質的に継ぎ目を形成することなく、特徴を埋める。流動性膜はアニーリングされて、アニール膜を形成する。アニール膜は硬化されて膜が固化し、実質的に継ぎ目のない間隙充填を形成する。
本開示の追加の実施態様は、少なくとも1つの特徴を有する基板表面を提供することを含む、処理方法を対象とする。少なくとも1つの特徴は、基板表面から底面までの深さにわたって延びる。少なくとも1つの特徴は、第1の側壁及び第2の側壁によって画成される幅、並びに約25:1以上のアスペクト比を有する。流動性のシリコン膜が、PECVDによって、基板表面、並びに少なくとも1つの特徴の第1の側壁、第2の側壁、及び底面の上に形成される。流動性膜は、実質的に継ぎ目を形成することなく、特徴を埋める。流動性膜は、後処理プロセスで処理されてアニール膜を形成する。アニール膜は硬化されて膜が固化し、実質的に継ぎ目のない間隙充填を形成する。
本開示のさらなる実施態様は、少なくとも1つの特徴を有する基板表面を提供することを含む処理方法を対象とし、該少なくとも1つの特徴は基板表面から底面までの深さにわたって延びる。少なくとも1つの特徴は、第1の側壁及び第2の側壁によって画成される幅、並びに約25:1以上のアスペクト比を有する。流動性のシリコン膜は、PECVDプロセスによって、基板表面、並びに少なくとも1つの特徴の第1の側壁、第2の側壁、及び底面の上に形成される。流動性膜は、実質的に継ぎ目を形成することなく、特徴を埋める。PECVDプロセスは、ポリシリコン前駆体と、プラズマガスを含むプラズマとを含む。ポリシリコン前駆体は、ジシラン、トリシラン、テトラシラン、ネオペンタシラン、又はシクロヘキサシランのうちの1つ以上を含む。プラズマガスは、He、Ar、Kr、H2、N2、O2、O3又はNH3のうちの1つ以上を含む。プラズマは約200W以下のパワーを有する。PECVDプロセスは約100℃以下の温度で行われる。流動性膜は、アニール温度及びアニール圧力でアニール反応物に曝露することを含む、後処理プロセスに曝露される。アニール反応物は、シラン又はジシランのうちの1つ以上を含む。アニール温度は約100℃から約400℃の範囲にある。アニール圧力は約100Tから約500Tの範囲にある。アニール膜はUV硬化に曝露されて膜が固化し、実質的に継ぎ目のない間隙充填を形成する。
本発明の上記の特徴を詳細に理解できるように、先に簡単に要約した本発明のより具体的な説明は、その一部が添付の図面に示されている実施態様を参照することにより、得ることができる。しかしながら、添付の図面は、本発明の典型的な実施態様のみを示しており、したがって、本発明は他の同等に有効な実施態様を認めうることから、その範囲を限定するものとみなされるべきではないことに留意されたい。
本開示の1つ以上の実施態様による基板特徴の断面図 流動性膜を伴った図1の基板特徴の断面図 アニール膜を伴った図1の基板特徴の断面図
本発明の幾つかの例示的な実施態様について説明する前に、本発明は、以下の説明に記載されている構造物又はプロセス工程の詳細に限定されないことが理解されるべきである。本発明は、他の実施態様が可能であり、さまざまな方法で実施又は実行することができる。
本書で用いられる「基板」とは、製造プロセス中にその上に膜処理が行われる、任意の基板又は基板上に形成された材料表面のことを指す。例えば、処理を行うことができる基板表面としては、用途に応じて、シリコン、酸化シリコン、ストレインドシリコン、シリコンオンインシュレータ(SOI)、炭素がドープされた酸化シリコン、アモルファスシリコン、ドープされたシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアなどの材料、並びに、金属、金属窒化物、金属合金、及び他の導電材料など、他の任意の材料が挙げられる。基板には半導体ウエハが含まれるが、これに限定されない。基板は、基板表面を研磨、エッチング、還元、酸化、ヒドロキシル化、アニール、UV硬化、電子ビーム硬化、及び/又はベーキングするために前処理プロセスに曝露されてもよい。基板自体の表面上での直接的な膜処理に加えて、本発明では、開示された膜処理工程のいずれかを、以下により詳細に開示されるように基板上に形成された下層にも行うことができ、「基板表面」という用語は、文脈が示すように、このような下層を含むことが意図されている。したがって、例えば、膜/層又は部分的な膜/層が基板表面上に堆積されている場合には、新たに堆積される膜/層の露出面が基板表面となる。
本開示の実施態様は、小さい寸法を有する高アスペクト比(AR)構造の膜(例えば、アモルファスシリコン)を堆積させる方法を提供する。幾つかの実施態様は、クラスタツール環境で実行できる周期的な堆積処理プロセスを含む方法を有利に提供する。幾つかの実施態様は、小さい寸法を有する高ARトレンチを埋めるために、継ぎ目のない高品質のアモルファスシリコン膜を有利に提供する。
本開示の1つ以上の実施態様は、20nm未満の限界寸法(CD)を有する高アスペクト比構造(例えば、AR>8:1)を埋めることができる、流動性のアモルファスシリコン膜を堆積するプロセスを対象とする。膜は、低温(例えば、<100℃)でプラズマ化学気相堆積(PECVD)によって、ポリシラン前駆体を使用して堆積することができる。処理のためのプラズマパワーが約200W未満又は300W未満に維持されると、反応速度が低下し、ヘイズのない膜を得ることができる。チャンバ本体温度は、熱交換器の温度を制御することによっても制御することができる。ジシラン、トリシラン、テトラシラン、ネオペンタシラン、シクロヘキサシランは、使用することができる典型的なポリシランである。膜を安定させるために、UV硬化などの堆積後処理を行うことができる。プロセスの実施態様は、炭化水素源及び窒素源を流動性Siプロセスに追加することにより、流動性のSiC膜及びSiCN膜の調製を可能にする。さらに、適切な金属前駆体を流動性シリコンプロセスに追加することにより、流動性金属シリサイド(WSi、TaSi、NiSi)を堆積させることもできる。
図1は、特徴110を有する基板100の部分断面図を示している。図面は例示目的で単一の特徴を有する基板を示しているが、当業者は、1つより多くの特徴が存在しうることを理解するであろう。特徴110の形状は、限定はしないが、トレンチ及び円筒形のビアを含む、任意の適切な形状でありうる。この関連で使用する場合、「特徴」という用語は、あらゆる意図的な表面の不規則性を意味する。特徴の適切な例には、限定はしないが、頂部、2つの側壁、及び底部を有するトレンチ、並びに頂部及び2つの側壁を有するピークが含まれる。特徴は、任意の適切なアスペクト比(特徴の幅に対する特徴の深さの比)を有しうる。幾つかの実施態様では、アスペクト比は、約5:1以上、10:1以上、15:1以上、20:1以上、25:1以上、30:1以上、35:1以上、又は40:1以上である。
基板100は基板表面120を有する。少なくとも1つの特徴110は、基板表面120に開口部を形成する。特徴110は、基板表面120から底面112へと深さDまで延びる。特徴110は、該特徴110の幅Wを画成する第1の側壁114及び第2の側壁116を有する。側壁と底部によって形成される開口領域は、間隙とも称される。
本開示の1つ以上の実施態様は、少なくとも1つの特徴を有する基板表面が提供される処理方法を対象とする。これに関連して用いられる「提供される」という用語は、さらなる処理のために、基板がある位置又は環境に置かれることを意味する。
図2に示されるように、流動性膜150は、基板表面120、並びに少なくとも1つの特徴110の第1の側壁114、第2の側壁116、及び底面112上に形成される。流動性膜150は、実質的に継ぎ目が形成されないように、少なくとも1つの特徴110を埋める。継ぎ目は、特徴110の側壁間の特徴に形成される間隙であるが、必ずしも側壁の中央に形成されるわけではない。これに関連して、「実質的に継ぎ目がない」という用語は、側壁間の膜に形成された間隙が側壁の断面積の約1%未満であることを意味する。
流動性膜150は、任意の適切なプロセスによって形成することができる。幾つかの実施態様では、流動性膜の形成は、プラズマ化学気相堆積(PECVD)によって行われる。言い換えれば、流動性膜は、プラズマ化学気相堆積プロセスによって堆積させることができる。
幾つかの実施態様のPECVDプロセスは、基板表面を反応性ガスに曝露することを含む。反応性ガスは、1つ以上の核種の混合物を含みうる。例えば、反応性ガスは、シリコン前駆体とプラズマガスとを含みうる。プラズマガスは、点火してプラズマを形成することができる、及び/又は前駆体のキャリア又は希釈剤として作用することができる、任意の適切なガスでありうる。
幾つかの実施態様では、シリコン前駆体は、ポリシリコン核種とも呼ばれる高次のシランを含み、ポリシリコン前駆体と称される。幾つかの実施態様のポリシリコン前駆体は、ジシラン、トリシラン、テトラシラン、ネオペンタシラン、及び/又はシクロヘキサシランのうちの1つ以上を含む。1つ以上の実施態様では、ポリシリコン前駆体はテトラシランを含む。幾つかの実施態様では、ポリシリコン前駆体は、本質的にテトラシランからなる。これに関連して用いられる「本質的に〜からなる」という用語は、モル基準で、反応性ガスのシリコン核種の約95%以上が指定された核種で構成されることを意味する。例えば、本質的にテトラシランからなるポリシリコン前駆体は、モル基準で、反応性ガスのシリコン核種の約95%以上がテトラシランであることを意味する。
幾つかの実施態様では、プラズマガスは、He、Ar、H、Kr、N、O、O、又はNHのうちの1つ以上を含む。幾つかの実施態様のプラズマガスは、反応性ガス中の反応性核種(例えば、ポリシリコン核種)の希釈剤又はキャリアガスとして用いられる。
プラズマは、処理チャンバ内で生成又は点火することができる(例えば、直接プラズマ)、あるいは、処理チャンバの外部で生成し、処理チャンバに流入させることができる(例えば、遠隔プラズマ)。プラズマパワーは、ポリシリコン核種のシランへの還元を防ぐため、及び/又は膜におけるヘイズ形成を最小化するか防ぐために、十分に低いパワーに維持されうる。幾つかの実施態様では、プラズマパワーは約300W以下である。1つ以上の実施態様では、プラズマパワーは、約250W以下、200W以下、150W以下、100W以下、50W以下、又は25W以下である。幾つかの実施態様では、プラズマパワーは、約10Wから約200Wの範囲、又は約25Wから約175Wの範囲、又は約50Wから約150Wの範囲である。
流動性膜150は、任意の適切な温度で形成することができる。幾つかの実施態様では、流動性膜150は、約−100℃から約50℃の範囲、又は約−75℃から約40℃の範囲、又は約−50℃から約25℃の範囲、又は約−25℃から約0℃の範囲の温度で形成される。温度は、形成されるデバイスのサーマルバジェットを維持するために、低く保たれうる。幾つかの実施態様では、流動性膜の形成は、約50℃未満、40℃未満、30℃未満、20℃未満、10℃未満、0℃未満、−10℃未満、−20℃未満、−30℃未満、−40℃未満、−50℃未満、−60℃未満、−70℃未満、−80℃未満、又は−90℃未満の温度で行われる。
流動性膜150は、任意の適切な圧力で形成することができる。幾つかの実施態様では、流動性膜150の形成に用いられる圧力は、約0.5Tから約50Tの範囲、又は約0.75Tから約25Tの範囲、又は約1Tから約10Tの範囲、又は約2Tから約8Tの範囲、又は約3Tから約6Tの範囲である。
流動性膜の組成は、反応性ガスの組成を変更することによって調整することができる。幾つかの実施態様では、流動性膜は、SiN、SiO、SiC、SiOC、SiON、SiCONのうちの1つ以上を含む。酸素含有膜を形成するために、反応性ガスは、例えば、酸素、オゾン、又は水のうちの1つ以上を含みうる。窒素含有膜を形成するために、反応性ガスは、例えば、アンモニア、ヒドラジン、NO、又はNのうちの1つ以上を含みうる。炭素含有膜を形成するために、反応性ガスは、例えば、プロピレン及びアセチレンのうちの1つ以上を含みうる。当業者は、流動性膜の組成を変更するために、反応性ガス混合物に他の核種又はそれらの組合せを含めることができることを理解するであろう。
幾つかの実施態様では、流動性膜は、金属シリサイドを含む。反応性ガス混合物は、例えば、タングステン、タンタル、又はニッケルのうちの1つ以上を含む前駆体を含みうる。流動性膜の組成を変更するために、他の金属前駆体を含めてもよい。
図3を参照すると、流動性膜150の形成後、最終的な間隙充填膜におけるボイドの形成を防ぐために、該流動性膜150を反応性アニールプロセスによって処理することができる。流動性膜150は、アニーリング条件下で反応性ガスに曝露されて、アニール膜155を形成しうる。反応性アニールによる流動性膜150の処理は、後処理と称することもできる。この態様で用いられる場合、「後処理」という用語は、流動性膜150の形成後に行われるプロセスを指す。流動性膜150の組成がさまざまな反応物を使用して調整される場合、流動性膜の処理により、流動性膜の組成又は流動性膜中の原子の相対的なパーセンテージに変化が生じる。例えば、流動性膜150が原子基準で80%のSi、20%のNである場合、処理によって、原子基準で50%のSi、50%のNの膜を結果的にもたらすことができる。幾つかの実施態様では、反応性アニールプロセスへの曝露は、アニーリング前の流動性膜150よりも水素含量が低い膜を結果的に生じる。幾つかの実施態様では、水素含量は、流動性膜150中の含量に対して、約30%以上、40%以上、50%以上、60%以上、70%以上、80%以上、又は90%以上低下する。
反応性アニールプロセスは、適切なアニール温度及びアニール圧力でアニール反応物に基板を曝露することを含む。幾つかの実施態様のアニール反応物は、シリコン化合物を含む。1つ以上の実施態様では、アニール反応物は、シラン、ジシラン、トリシラン、又はより高次のシラン(すなわち、4つ以上のシリコン原子を有する)のうちの1つ以上を含む。幾つかの実施態様では、アニール反応物は、希釈剤又はキャリアガスとともに共流される。例えば、アニール反応物は、アルゴンキャリアガス中にシランを含みうる。幾つかの実施態様では、キャリアガスもまた反応性であり、ボイドを形成することなく流動性膜150を固化させるのに役立つ。幾つかの実施態様では、アニール反応物は、流動性膜150の形成に用いられる前駆体と同じシリコン核種を含む。幾つかの実施態様では、アニール反応物は、流動性膜150の形成に用いられる反応物とは異なる核種を含む。
幾つかの実施態様の反応性アニールは、プラズマ無しで行われる。幾つかの実施態様では、反応性アニールプロセス中にプラズマを発生しうる。プラズマは、アニール反応物、又はアニール反応物とは異なる後処理プラズマ核種を使用して形成することができる。例えば、アニール反応物は、プラズマの点火に用いられる希釈剤又はキャリアガス(例えばアルゴン)を用いて流すことができる。アニール反応物は、処理チャンバに連続的に流入させてもよく、あるいは処理チャンバ内へとパルスしてもよい。
幾つかの実施態様の反応性アニール中のアニール温度は、約100℃から約500℃の範囲、又は約100℃から約400℃の範囲、又は約125℃から約375℃の範囲、又は約150℃から約350℃の範囲、又は約175℃から約325℃の範囲、又は約200℃から約300℃の範囲である。幾つかの実施態様では、アニール温度は、約100℃以上、150℃以上、200℃以上、250℃以上、300℃以上、350℃以上、400℃以上、450℃以上、又は500℃以上である。
反応性アニールプロセス中のアニール圧力は、約100Tから約500Tの範囲、又は約150Tから約450Tの範囲、200Tから約400Tでありうる。幾つかの実施態様では、反応性アニール中のアニール圧力は、約50T以上、100T以上、150T以上、200T以上、250T以上、300T以上、又は350T以上である。
流動性膜150又はアニール膜155の形成後、膜を硬化させて流動性膜150又はアニール膜155を固化し、実質的に継ぎ目のない間隙充填を形成する。幾つかの実施態様では、流動性膜150又はアニール膜155は、膜をUV硬化プロセスに曝露することによって硬化される。UV硬化プロセスは、約10℃から約550℃の範囲の温度で行うことができる。UV硬化プロセスは、流動性膜150又はアニール膜155を十分に固化させるのに必要な任意の適切な時間枠で行うことができる。幾つかの実施態様では、UV硬化は、約10分以下、9分以下、8分以下、7分以下、6分以下、5分以下、4分以下、3分以下、2分以下、又は1分以下で行われる。
幾つかの実施態様では、流動性膜150又はアニール膜155を硬化することは、プラズマ又は電子ビームへの曝露を含む。膜を硬化するためのプラズマ曝露は、PECVDプラズマ又は後処理プラズマとは別のプラズマを含む。プラズマ種及び処理チャンバは同じであっても異なっていてもよく、プラズマ硬化は、PECVDプロセス又は後処理プラズマとは異なる工程でありうる。幾つかの実施態様では、後処理プラズマにより、流動性膜150を同時に処理及び硬化して、硬化したアニール膜155を形成する。
本開示の幾つかの実施態様は、水素含有量が低い、硬化した間隙充填膜を提供する。幾つかの実施態様では、膜の硬化後、間隙充填膜は、約10原子パーセント以下の水素含量を有する。幾つかの実施態様では、硬化した膜は、約5原子パーセント以下、4原子パーセント、3原子パーセント、2原子パーセント、又は1原子パーセント以下の水素含量を有する。
1つ以上の実施態様によれば、基板は、層の形成前及び/又は形成後に処理に供される。この処理は、同じチャンバ内、又は1つ以上の別々の処理チャンバ内で行うことができる。幾つかの実施態様では、基板は、さらなる処理のために、第1のチャンバから別個の第2のチャンバへと移される。基板は、第1のチャンバから別個の処理チャンバへと直接移されてもよく、あるいは、第1のチャンバから1つ以上の移送チャンバへと移され、その後、別個の処理チャンバへと移されてもよい。したがって、処理装置は、移送ステーションに通じている複数のチャンバを備えうる。この種の装置は、「クラスタツール」又は「クラスタシステム」などと称されうる。
概して、クラスタツールは、基板の中心検出と方向付け、ガス抜き、アニーリング、堆積、及び/又はエッチングを含むさまざまな機能を実行する、複数のチャンバを備えたモジュラーシステムである。1つ以上の実施態様によれば、クラスタツールは、少なくとも第1のチャンバと中央移送チャンバを含む。中央移送チャンバは、処理チャンバとロードロックチャンバとの間で基板を往復させることができるロボットを収容していてもよい。移送チャンバは、通常、減圧条件に維持され、基板をあるチャンバから別のチャンバへ、及び/又はクラスタツールの前端に位置するロードロックチャンバへと往復させる中間段階を提供する。本発明に適合させることができる2つのよく知られたクラスタツールは、Centura(登録商標)及びEndura(登録商標)であり、両方とも、米国カリフォルニア州サンタクララ所在のApplied Materials,Inc.から入手可能である。しかしながら、チャンバの正確な配置及び組合せは、本明細書に記載されるプロセスの特定の工程を実行する目的で変更することができる。使用することができる他の処理チャンバとしては、限定はしないが、周期的層堆積(CLD)、原子層堆積(ALD)、化学気相堆積(CVD)、物理的気相堆積(PVD)、エッチング、予洗浄、化学洗浄、RTPなどの熱処理、プラズマ窒化、ガス抜き、配向、ヒドロキシル化、及び他の基板処理が挙げられる。クラスタツールのチャンバ内でプロセスを実行することにより、大気中の不純物による基板の表面汚染を、後続の膜を堆積する前に酸化することなく回避することができる。
1つ以上の実施態様によれば、基板は連続的減圧又は「ロードロック」状態にあり、あるチャンバから次のチャンバへと移動する際に周囲空気に曝露されない。したがって、移送チャンバは減圧下にあり、減圧下で「ポンプダウン」される。処理チャンバ又は移送チャンバ内には、不活性ガスが存在していてもよい。幾つかの実施態様では、不活性ガスは、反応物の一部又は全部を除去するために、パージガスとして使用される。1つ以上の実施態様によれば、パージガスは、反応物が堆積チャンバから移送チャンバ及び/又は追加の処理チャンバに移動するのを防ぐために、堆積チャンバの出口に注入される。したがって、不活性ガスの流れは、チャンバの出口にカーテンを形成する。
基板は、単一基板堆積チャンバ内で処理することができ、そこで、別の基板を処理する前に、単一の基板がロード、処理、及びアンロードされる。基板は、複数の基板が個々にチャンバの第1の部分にロードされ、チャンバ内を移動し、チャンバの第2の部分からアンロードされるコンベアシステムと同様に、連続的な態様で処理することもできる。チャンバ及び関連するコンベヤシステムの形状は、直線経路又は曲線経路を形成することができる。加えて、処理チャンバはカルーセルであってもよく、そこで、複数の基板が、中心軸の周りを移動し、カルーセル経路全体を通じて堆積、エッチング、アニーリング、洗浄などのプロセスに晒される。
処理中、基板を加熱又は冷却してもよい。このような加熱又は冷却は、限定はしないが、基板支持体の温度を変化させること、及び加熱又は冷却されたガスを基板表面に流すことを含む、任意の適切な手段によって達成することができる。幾つかの実施態様では、基板支持体は、基板温度を伝導的に変化させるように制御することができる、ヒータ/クーラを含む。1つ以上の実施形態では、使用するガス(反応性ガス又は不活性ガス)は、基板温度を局所的に変化させるために加熱又は冷却される。幾つかの実施態様では、ヒータ/クーラは、基板温度を対流によって変化させるために、チャンバ内に基板表面に隣接して配置される。
基板はまた、処理中に静止していても回転していてもよい。回転する基板は、連続的に、又は個別の工程で、回転させることができる。例えば、基板を処理の間中ずっと回転させていてもよいし、あるいは、種々の反応性ガス又はパージガスへの曝露の合間に、基板を少しずつ回転させることもできる。処理中に基板を回転させると(連続的又は段階的のいずれか)、例えば、ガス流の幾何学的形状の局所的なばらつきの影響を最小限に抑えることにより、より均一な堆積又はエッチングの実現に役立ちうる。
本明細書を通して「一実施態様」、「ある特定の実施態様」、「1つ以上の実施態様」、又は「ある実施態様」への言及は、実施態様に関連して記載される特定の特徴、構造、材料、又は特性が本発明の少なくとも1つの実施態様に含まれることを意味する。したがって、本明細書全体のさまざまな箇所での「1つ以上の実施態様」、「ある特定の実施態様」、「一実施態様」、又は「ある実施態様」などの文言の表出は、必ずしも本発明の同一の実施態様を指すものではない。さらには、特定の特徴、構造、材料、又は特性は、1つ以上の実施態様において、任意の適切な方法で組み合わせることができる。
本明細書では発明は特定の実施態様を参照して説明されているが、これらの実施態様は本発明の原理及び用途の単なる例示であることが理解されるべきである。本発明の趣旨及び範囲から逸脱することなく、本発明の方法及び装置にさまざまな修正及び変形がなされうることは、当業者にとって明らかであろう。よって、本発明は、添付の特許請求の範囲及びそれらの等価物の範囲内にある修正及び変形を含むことが意図されている。

Claims (15)

  1. 処理方法において、
    前記基板表面から底面までの深さにわたって延びる少なくとも1つの特徴を有する基板表面を提供することであって、前記少なくとも1つの特徴が第1の側壁及び第2の側壁によって画成された幅を有する、提供すること;
    前記基板表面、並びに前記少なくとも1つの特徴の前記第1の側壁、第2の側壁、及び底面に流動性膜を形成することであって、前記流動性膜が実質的に継ぎ目を形成することなく前記特徴を埋める、形成すること;
    前記流動性膜をアニーリングしてアニール膜を形成すること;及び
    前記アニール膜を硬化して前記膜を固化し、実質的に継ぎ目のない間隙充填を形成すること
    を含む、処理方法。
  2. 前記流動性膜を形成することが、プラズマ化学気相堆積(PECVD)を含む、請求項1に記載の処理方法。
  3. 前記PECVDがポリシリコン前駆体を含み、プラズマがプラズマガスを含む、請求項2に記載の処理方法。
  4. 前記ポリシリコン前駆体が、ジシラン、トリシラン、テトラシラン、ネオペンタシラン、又はシクロヘキサシランのうちの1つ以上を含む、請求項3に記載の処理方法。
  5. 前記プラズマガスが、He、Ar、Kr、H、N、O、O、又はNHのうちの1つ以上を含む、請求項3に記載の処理方法。
  6. 前記プラズマが約300W未満のパワーを有する、請求項5に記載の処理方法。
  7. 前記プラズマが直接プラズマである、請求項5に記載の処理方法。
  8. 前記流動性膜の形成が約100℃未満の温度で行われる、請求項1に記載の処理方法。
  9. 前記アニール膜の硬化がUV硬化を含む、請求項1に記載の処理方法。
  10. 前記UV硬化が約10℃から約550℃の範囲の温度で行われる、請求項9に記載の処理方法。
  11. 前記アニール膜を硬化させることが、前記アニール膜を前記PECVDプラズマとは別のプラズマ及び/又は電子ビームに曝露することを含む、請求項1に記載の処理方法。
  12. 前記流動性膜が、SiN、SiO、SiC、SiOC、SiON、SiCONのうちの1つ以上を含む、請求項3に記載の処理方法。
  13. 前記PECVDが、プロピレン、アセチレン、アンモニア、酸素、オゾン、又は水のうちの1つ以上をさらに含む、請求項12に記載の処理方法。
  14. 前記流動性膜をアニーリングすることが、前記流動性膜をアニール温度及びアニール圧力でアニール反応物に曝露することを含む、請求項1に記載の処理方法。
  15. 前記アニール反応物がシラン又はジシランのうちの1つ以上を含む、請求項14に記載の処理方法。
JP2019554843A 2017-04-07 2018-04-05 反応性アニールを使用する間隙充填 Active JP7118512B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762482797P 2017-04-07 2017-04-07
US62/482,797 2017-04-07
PCT/US2018/026219 WO2018187546A1 (en) 2017-04-07 2018-04-05 Gapfill using reactive anneal

Publications (2)

Publication Number Publication Date
JP2020517100A true JP2020517100A (ja) 2020-06-11
JP7118512B2 JP7118512B2 (ja) 2022-08-16

Family

ID=63711279

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019554843A Active JP7118512B2 (ja) 2017-04-07 2018-04-05 反応性アニールを使用する間隙充填

Country Status (6)

Country Link
US (1) US11011384B2 (ja)
JP (1) JP7118512B2 (ja)
KR (1) KR102271768B1 (ja)
CN (1) CN110476239B (ja)
TW (1) TWI734907B (ja)
WO (1) WO2018187546A1 (ja)

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
KR102396319B1 (ko) 2017-11-11 2022-05-09 마이크로머티어리얼즈 엘엘씨 고압 프로세싱 챔버를 위한 가스 전달 시스템
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
KR102536820B1 (ko) 2018-03-09 2023-05-24 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11901222B2 (en) * 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
CN118435318A (zh) * 2021-12-17 2024-08-02 朗姆研究公司 高压等离子体抑制
WO2023159012A1 (en) * 2022-02-15 2023-08-24 Lam Research Corporation High pressure inert oxidation and in-situ annealing process to improve film seam quality and wer

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08222554A (ja) * 1994-12-14 1996-08-30 Sony Corp プラズマを利用した成膜装置およびその方法
US20090298257A1 (en) * 2008-05-30 2009-12-03 Asm Japan K.K. Device isolation technology on semiconductor substrate
US7629227B1 (en) * 2006-11-01 2009-12-08 Novellus Systems, Inc. CVD flowable gap fill
JP2013507003A (ja) * 2009-10-05 2013-02-28 アプライド マテリアルズ インコーポレイテッド 平坦化後の高密度化
JP2013513235A (ja) * 2009-12-02 2013-04-18 アプライド マテリアルズ インコーポレイテッド 非炭素ラジカル成分cvd膜向けの酸素ドーピング
JP2013239752A (ja) * 2007-10-22 2013-11-28 Applied Materials Inc ボイドフリーギャップ充填に対する誘電体膜品質を向上させる方法及びシステム
US20150099342A1 (en) * 2013-10-04 2015-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of Forming a Trench Structure
JP2016096331A (ja) * 2014-10-29 2016-05-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 流動性膜の硬化浸透深度の改善及び応力調整
WO2016137606A1 (en) * 2015-02-23 2016-09-01 Applied Materials, Inc. Cyclic sequential processes for forming high quality thin films

Family Cites Families (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4900591A (en) * 1988-01-20 1990-02-13 The United States Of America As Represented By The Secretary Of The Air Force Method for the deposition of high quality silicon dioxide at low temperature
DE69224640T2 (de) 1991-05-17 1998-10-01 Lam Res Corp VERFAHREN ZUR BESCHICHTUNG EINES SIOx FILMES MIT REDUZIERTER INTRINSISCHER SPANNUNG UND/ODER REDUZIERTEM WASSERSTOFFGEHALT
US5344792A (en) 1993-03-04 1994-09-06 Micron Technology, Inc. Pulsed plasma enhanced CVD of metal silicide conductive films such as TiSi2
US5800878A (en) 1996-10-24 1998-09-01 Applied Materials, Inc. Reducing hydrogen concentration in pecvd amorphous silicon carbide films
JPH1131683A (ja) * 1997-07-14 1999-02-02 Sony Corp 半導体装置の製造方法
US6284050B1 (en) 1998-05-18 2001-09-04 Novellus Systems, Inc. UV exposure for improving properties and adhesion of dielectric polymer films formed by chemical vapor deposition
US6168837B1 (en) 1998-09-04 2001-01-02 Micron Technology, Inc. Chemical vapor depositions process for depositing titanium silicide films from an organometallic compound
US6171945B1 (en) * 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
US6399489B1 (en) 1999-11-01 2002-06-04 Applied Materials, Inc. Barrier layer deposition using HDP-CVD
US6475930B1 (en) 2000-01-31 2002-11-05 Motorola, Inc. UV cure process and tool for low k film formation
US6582777B1 (en) 2000-02-17 2003-06-24 Applied Materials Inc. Electron beam modification of CVD deposited low dielectric constant materials
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6632478B2 (en) * 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
JP2002057121A (ja) * 2001-05-21 2002-02-22 Toshiba Corp 半導体装置及びその製造方法
US6926926B2 (en) 2001-09-10 2005-08-09 Applied Materials, Inc. Silicon carbide deposited by high density plasma chemical-vapor deposition with bias
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US7056560B2 (en) 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US6693050B1 (en) 2003-05-06 2004-02-17 Applied Materials Inc. Gapfill process using a combination of spin-on-glass deposition and chemical vapor deposition techniques
JP2005223268A (ja) 2004-02-09 2005-08-18 Seiko Epson Corp 薄膜トランジスタの製造方法、ディスプレイの製造方法及びディスプレイ
US7524735B1 (en) * 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US20050272220A1 (en) 2004-06-07 2005-12-08 Carlo Waldfried Ultraviolet curing process for spin-on dielectric materials used in pre-metal and/or shallow trench isolation applications
US7157327B2 (en) 2004-07-01 2007-01-02 Infineon Technologies Ag Void free, silicon filled trenches in semiconductors
US7422776B2 (en) 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US20060251827A1 (en) 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
US8110493B1 (en) 2005-12-23 2012-02-07 Novellus Systems, Inc. Pulsed PECVD method for modulating hydrogen content in hard mask
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7297376B1 (en) 2006-07-07 2007-11-20 Applied Materials, Inc. Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers
KR20090104896A (ko) 2007-01-26 2009-10-06 어플라이드 머티어리얼스, 인코포레이티드 공기―갭 ild를 위한 pecvd-증착된 희생 폴리머 필름의 uv 경화
US7745352B2 (en) * 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
KR100888186B1 (ko) 2007-08-31 2009-03-10 주식회사 테스 절연막 형성 방법
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US20130022745A1 (en) 2009-08-14 2013-01-24 American Air Liquide, Inc. Silane blend for thin film vapor deposition
US8278224B1 (en) * 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8466067B2 (en) 2009-10-05 2013-06-18 Applied Materials, Inc. Post-planarization densification
US20110151677A1 (en) * 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
KR101736246B1 (ko) 2010-09-14 2017-05-17 삼성전자주식회사 비휘발성 메모리 소자 및 이의 제조방법
US9719169B2 (en) * 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8871656B2 (en) * 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US20130309856A1 (en) 2012-05-15 2013-11-21 International Business Machines Corporation Etch resistant barrier for replacement gate integration
US10325773B2 (en) * 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US20180347035A1 (en) 2012-06-12 2018-12-06 Lam Research Corporation Conformal deposition of silicon carbide films using heterogeneous precursor interaction
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US9514932B2 (en) 2012-08-08 2016-12-06 Applied Materials, Inc. Flowable carbon for semiconductor processing
KR101950349B1 (ko) 2012-12-26 2019-02-20 에스케이하이닉스 주식회사 보이드 프리 폴리실리콘 갭필 방법 및 그를 이용한 반도체장치 제조 방법
US9018108B2 (en) * 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9190263B2 (en) * 2013-08-22 2015-11-17 Asm Ip Holding B.V. Method for forming SiOCH film using organoaminosilane annealing
US9029272B1 (en) 2013-10-31 2015-05-12 Asm Ip Holding B.V. Method for treating SiOCH film with hydrogen plasma
DE102013020518A1 (de) 2013-12-11 2015-06-11 Forschungszentrum Jülich GmbH Fachbereich Patente Verfahren und Vorrichtung zur Polymerisation einer Zusammensetzung enthaltend Hydridosilane und anschließenden Verwendung der Polymerisate zur Herstellung von siliziumhaltigen Schichten
US9406547B2 (en) * 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
US9786542B2 (en) * 2014-01-13 2017-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming semiconductor device having isolation structure
WO2016065219A1 (en) 2014-10-24 2016-04-28 Air Products And Chemicals, Inc. Compositions and methods using same for deposition of silicon-containing film
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10199230B2 (en) * 2015-05-01 2019-02-05 Applied Materials, Inc. Methods for selective deposition of metal silicides via atomic layer deposition cycles
US9871100B2 (en) * 2015-07-29 2018-01-16 Taiwan Semiconductor Manufacturing Co., Ltd. Trench structure of semiconductor device having uneven nitrogen distribution liner
US9633838B2 (en) * 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
US9735005B1 (en) 2016-03-11 2017-08-15 International Business Machines Corporation Robust high performance low hydrogen silicon carbon nitride (SiCNH) dielectrics for nano electronic devices
US11062897B2 (en) 2017-06-09 2021-07-13 Lam Research Corporation Metal doped carbon based hard mask removal in semiconductor fabrication

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08222554A (ja) * 1994-12-14 1996-08-30 Sony Corp プラズマを利用した成膜装置およびその方法
US7629227B1 (en) * 2006-11-01 2009-12-08 Novellus Systems, Inc. CVD flowable gap fill
JP2013239752A (ja) * 2007-10-22 2013-11-28 Applied Materials Inc ボイドフリーギャップ充填に対する誘電体膜品質を向上させる方法及びシステム
US20090298257A1 (en) * 2008-05-30 2009-12-03 Asm Japan K.K. Device isolation technology on semiconductor substrate
JP2013507003A (ja) * 2009-10-05 2013-02-28 アプライド マテリアルズ インコーポレイテッド 平坦化後の高密度化
JP2013513235A (ja) * 2009-12-02 2013-04-18 アプライド マテリアルズ インコーポレイテッド 非炭素ラジカル成分cvd膜向けの酸素ドーピング
US20150099342A1 (en) * 2013-10-04 2015-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of Forming a Trench Structure
JP2016096331A (ja) * 2014-10-29 2016-05-26 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 流動性膜の硬化浸透深度の改善及び応力調整
WO2016137606A1 (en) * 2015-02-23 2016-09-01 Applied Materials, Inc. Cyclic sequential processes for forming high quality thin films

Also Published As

Publication number Publication date
KR102271768B1 (ko) 2021-06-30
JP7118512B2 (ja) 2022-08-16
KR20190126945A (ko) 2019-11-12
WO2018187546A1 (en) 2018-10-11
TWI734907B (zh) 2021-08-01
US20180294166A1 (en) 2018-10-11
CN110476239B (zh) 2023-10-13
TW201843332A (zh) 2018-12-16
US11011384B2 (en) 2021-05-18
CN110476239A (zh) 2019-11-19

Similar Documents

Publication Publication Date Title
JP7118512B2 (ja) 反応性アニールを使用する間隙充填
US20170372919A1 (en) Flowable Amorphous Silicon Films For Gapfill Applications
US11515149B2 (en) Deposition of flowable silicon-containing films
US10580642B2 (en) Two-step process for silicon gapfill
CN110546753B (zh) 高深宽比结构中的间隙填充的方法
US20140273529A1 (en) PEALD of Films Comprising Silicon Nitride
US11578409B2 (en) Low deposition rates for flowable PECVD
US11367614B2 (en) Surface roughness for flowable CVD film
TWI780922B (zh) 形成鎢支柱的方法
US20220375747A1 (en) Flowable CVD Film Defect Reduction

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210329

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220307

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220315

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220614

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220705

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220801

R150 Certificate of patent or registration of utility model

Ref document number: 7118512

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150