JP2013239752A - ボイドフリーギャップ充填に対する誘電体膜品質を向上させる方法及びシステム - Google Patents

ボイドフリーギャップ充填に対する誘電体膜品質を向上させる方法及びシステム Download PDF

Info

Publication number
JP2013239752A
JP2013239752A JP2013176813A JP2013176813A JP2013239752A JP 2013239752 A JP2013239752 A JP 2013239752A JP 2013176813 A JP2013176813 A JP 2013176813A JP 2013176813 A JP2013176813 A JP 2013176813A JP 2013239752 A JP2013239752 A JP 2013239752A
Authority
JP
Japan
Prior art keywords
silicon
oxide layer
silicon oxide
substrate
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2013176813A
Other languages
English (en)
Inventor
Abhijit Basu Mallick
バス マリック アビジット
Jeffrey C Munro
シー. ムンロ ジェフリー
Linlin Wang
ワン リンリン
Srinivas D Nemani
ディー. ネマーニ スリニヴァス
Yi Zheng
ツェン イー
Yuan Tseng
ユアン ツェン
Dimitry Lubomirsky
ルボミールスキー ディミトリー
Ellie Y Yieh
ワイ. イェー エリー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2013239752A publication Critical patent/JP2013239752A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics

Abstract


【課題】誘電体中にボイドやシームを生ずることなく充填するシリコン酸化物層を基板上に形成する方法を提供する。
【解決手段】基板の少なくとも一部を覆う第1の酸化物層を形成するステップであって、該第1の酸化物層が、残留する水、水酸基及び炭素種を含むステップとを含む。該方法はさらに、該第1のシリコン酸化物層と部分的に混合されている複数の非晶質シリコン成分を形成するために、該第1の酸化物層を複数のシリコン含有種に曝すステップを含む。また、該方法は、該複数の非晶質シリコン成分と部分的に混合されている該第1のシリコン酸化物層を、酸化環境中でアニーリングして、第2のシリコン酸化物層を該基板上に形成するステップを含む。非晶質シリコン成分の少なくとも一部は、酸化されて、該第2のシリコン酸化物層の一部になり、該第2のシリコン酸化物層内の未反応の残留する水酸基及び炭素種は、実質的に除去される。
【選択図】図2

Description

関連出願の相互参照
[0001]この出願は、2006年3月30日に出願された“CHEMICAL VAPOR DEPOSITION OF HIGH QUALITY FLOW−LIKE SILICON DIOXIDE USING A SILICON CONTAINING PRECURSOR AND ATOMIC OXYGEN”というタイトルの、Ingle等による同一出願人による米国特許出願第60/803,493号に関連する。また、この出願は、2007年8月27日に出願された“CURING METHODS FOR SILICON DIOXIDE THIN FILMS DEPOSITED FROM ALKOXYSILANE PRECURSOR WITH HARP II PROCESS”というタイトルの、Mallick等による同一出願人による米国非暫定特許出願第11/845,445号に関連する。さらに、この出願は、2007年10月22日に出願された“HIGH QUALITY SILICON OXIDE FILMS BY REMOTE PLASMA CVD FROM DISILANE PRECURSORS”というタイトルの、Mallick等による、代理人番号A11861/T78800を有する同一出願人による米国特許出願に関連する。上記の出願の全ては参照により本明細書に組み込まれる。
発明の分野
[0002]本発明は、半導体薄膜プロセス技術に注力する。様々なデバイス構造に関して形態的に適合される誘電体膜の品質を向上させる方法及びシステムが記載されている。より具体的には、本発明の実施形態は、高アスペクト比のトレンチに対するボイドフリーギャップ充填を実現するために密度の増したシリコン酸化膜を形成する方法及びシステムを提供する。例えば、本発明は、いくつかの用途の中でも特に、狭いSTIトレンチを充填するための高品質のシリコン酸化膜の形成に適用される。
発明の背景
[0003]STI(shallow trench isolation)構造等のギャップや溝は、通常、半導体デバイス上の素子を電気的に分離するのに利用される。STIは、隣接するデバイス構造(例えば、トランジスタ、ダイオード等)の電気的結合を妨げるために、誘電体材料が充填されている半導体基板の分離領域内に形成された溝またはギャップを含む。集積回路のデバイス密度が増加するにつれて、サイズ及びデバイス構造間の距離は減少する。しかし、通常STI溝の垂直方向高さは、水平方向幅ほど速くは減少せず、大きな高さ対幅の比(すなわち、高いアスペクト比)を有するギャップ及び溝をもたらす。
[0004]逓増的なアスペクト比を有するデバイス構造を形成する能力は、より多くの構造(例えば、トランジスタ、コンデンサ、ダイオード等)を、半導体チップ基板の同じ表面領域上に実装することを可能にするが、該能力は、製造上の問題も引き起こす。それらの問題の1つは、充填プロセス中に、ボイドまたはシームを生じることなく、該構造内のギャップ及び溝を完全に充填することの難しさである。ギャップ及び溝を、シリコン酸化物のような誘電体材料で充填することは、隣接するデバイス構造を互いに電気的に分離して、電気的雑音及び電流漏れを最小限にするのに必要である。アスペクト比が増加するにつれて、深くて狭い溝を、該溝を充填する誘電体材料中にボイドまたはシームを生じることなく充填することは、より困難になる。
[0005]誘電体層内のボイド及びシームは、半導体デバイス製造中、および完成したデバイスの両方において問題を引き起こす。該ボイド及びシームは、該誘電体材料中に不規則に形成され、予測不可能なサイズ、形状、位置及び密度を有する。このことは、不均一なエッチング、研磨、アニーリング等の、層の予測不可能でむらのある堆積プロセス後工程をもたらす。また、完成したデバイスにおける該ボイド及びシームは、デバイス構造中のギャップ及び溝の絶縁品質の変化ももたらす。このことは、特に、電気的クロストーク、電荷漏洩、および場合によっては、デバイス内での短絡による不安定かつ質の悪い性能をもたらす可能性がある。
[0006]誘電体材料の高アスペクト比構造上への堆積中のボイドやシームの形成を最小限にするために、様々な方法が開発されてきた。それらの方法は、該誘電体材料が、該溝の側壁及び底部に対してよりコンフォーマルになるように、該誘電体材料の堆積速度を遅くすることを含む。よりコンフォーマルな堆積は、該溝の上部に積層される材料、および誘電体材料が、該溝の上部を時期尚早に密封する機会を低減してボイドを形成する(“ブレッドローフィング(breadloafing)”と呼ばれる問題)可能性がある。しかし、都合の悪いことに、堆積速度を遅くすることは、堆積時間を長くすることを意味し、それにより、処理効率及び生産速度を低下させる。
[0007]ボイドの形成を制御する別の方法は、堆積された誘電体材料の流動性を増すことである。より大きな流動性を有する材料は、より迅速にボイドまたはシームを充填、該ボイドまたはシームが、該充填空間内で恒久的な欠陥になることを防ぐことができる。例えば、従来は、ポリシラザン(PSZ)、HSQ(Hydrosilsesequioxane)膜等のような高流動性のSOG(spin−on−glass)前駆体が、良好なギャップ充填機能で溝を充填するのに採用されていた。しかし、シリコン酸化物誘電体材料の流動性を、そのような従来のSOG膜によって増加させることは、多くの場合、残留する炭素種及び未反応のシラノール基によって生じる低膜密度を有する堆積直後の膜をもたらす。膜の緻密化向上に対する1つのアプローチは、SOG膜を硬化してシリコン酸化膜にする際に、高温アニーリングを用いることである。しかし、残留する炭素種及びOH基を除去するのに用いられる高温アニーリングは、かなりの膜の体積収縮を引き起こす可能性がある。STI用途の場合の狭い幅の溝においては、堆積直後の膜は、収縮が抑制されて収縮できず、多孔質またはボイドを含む構造を有する低密度の膜を生じる。
[0008]従って、最新の半導体処理中に、狭い溝のSTI用途において、ボイドフリーギャップ充填を実現するために、シリコン酸化膜等の誘電体の品質を向上させる方法の必要性がある。誘電体膜の堆積及び化学的処理のこれら及び他の態様は、本発明によって対処される。
[0009]本発明は、半導体薄膜プロセス技術に注力する。様々なデバイス構造に関して形態的に適合される誘電体膜の品質を向上させる方法及びシステムが記載されている。より具体的には、本発明の実施形態は、高アスペクト比のトレンチに対するボイドフリーギャップ充填を実現するために密度の増したシリコン酸化膜を形成する方法及びシステムを提供する。例えば、本発明は、いくつかの用途の中でも特に、狭いSTIトレンチを充填するための高品質のシリコン酸化膜の形成に適用される。
[0010]本発明の実施形態は、シリコン酸化物層を基板上に形成する方法を含む。該方法は、基板を準備することと、該基板の少なくとも一部を覆う第1のシリコン酸化物層を形成することとを含む。該第1のシリコン酸化物層は、残留する水、水酸基及び炭素種を含む。また、該方法は、該第1のシリコン酸化物層を、複数のシリコン含有種に曝すことであって、その間に、該複数のシリコン含有種のうちの少なくとも一部が、該残留する水及び水酸基の少なくとも一部と反応するか、または、熱分解されて複数の非晶質シリコン成分を形成することを含む。該複数の非晶質シリコン成分は、該第1のシリコン酸化物層と部分的に混合する可能性がある。該方法は、さらに、該複数の非晶質シリコン成分と部分的に混合された該第1のシリコン酸化物層を、酸化環境中でアニーリングして、第2のシリコン酸化物層を該基板上に形成することを含む。非晶質シリコン成分の少なくとも一部は、酸化されて、該第2のシリコン酸化物層の一部になり、また、該第2のシリコン酸化物層内の未反応の残留する水酸基及び炭素種は、実質的に除去される。
[0011]また、本発明の実施形態は、シリコン酸化物層を基板上に形成する方法を提供する。該方法は、1つ以上の溝を含む基板を、半導体プロセスチャンバ内に設けることを含む。該方法はさらに、水酸基及び炭素種を含む第1のシリコン酸化物層を該基板上に堆積することを含む。該第1のシリコン酸化物層は、該1つ以上の溝を少なくとも部分的に充填する。加えて、該方法は、複数のシリコン含有粒子を該半導体プロセスチャンバ内に導入することを含む。該シリコン含有粒子は、該第1のシリコン酸化物層内に組込むことができる。該方法はさらに、該複数のシリコン含有粒子を含む該第1のシリコン酸化物層を、酸化環境中でアニーリングすることにより、第2のシリコン酸化物層を形成することを含む。該複数のシリコン含有粒子は酸化され、また、該水酸基及び炭素種は、実質的に除去される。
[0012]また、本発明の実施形態は、シリコン酸化物層を基板上に形成する方法を提供する。該方法は、基板を半導体プロセスチャンバ内に設けることを含む。該基板は、1つ以上の溝を含むことができる。該方法はさらに、水酸基及び炭素種を含む第1のシリコン酸化物層を該基板上に堆積することを含む。該第1のシリコン酸化物層は、該1つ以上の溝を少なくとも部分的に充填する。加えて、該方法は、複数のシリコン含有粒子を該半導体プロセスチャンバ内に導入することを含む。該複数のシリコン含有粒子は、該第1のシリコン酸化物層内に組み込まれる。いくつかの実施形態において、複数のシリコン含有粒子を導入することは、プラズマ中で、シリコンリッチな前駆体から該シリコン含有粒子を生成し、所定期間、プラズマをターンオフすることにより、該プラズマ生成のシリコン含有粒子を該第1のシリコン酸化物層上に堆積することによって行われる。さらに、該方法は、該複数のシリコン含有粒子が酸化されて、該水酸基及び炭素種を実質的に除去することができるように、該複数のシリコン含有粒子含む第1のシリコン酸化物層を酸化環境中でアニーリングすることにより、第2のシリコン酸化物層を形成することを含む。
[0013]追加的な実施形態及び特徴は、以下の説明にある程度記載されており、また、当業者には、本明細書を検討すれば明白であり、または、本発明の実施によって確認することができる。本発明の特徴及び効果は、本願明細書に記載されている手段、組合せ及び方法によって実現し、達成することができる。
発明の詳細な説明
[0021]様々な半導体デバイス構造に関して形態的に適合される誘電体膜の品質を向上させる方法及びシステムについて説明する。より具体的には、本発明の実施形態は、高アスペクト比を有する溝に対して、ボイドフリーギャップ充填を実現するために、密度が増加したシリコン酸化膜を形成する方法及びシステムを提供する。例えば、本発明は、いくつかの用途の中でも特に、狭いSTI溝を充填するための高品質のシリコン酸化膜を形成するのに適用できる。
[0022]シリコン含有前駆体(例えば、有機シリケート)及び遠隔プラズマが生成した原子酸素から、化学気相堆積法によって堆積された流動可能な二酸化シリコン膜を、STI用途に適用した。堆積直後の流動可能な酸化物膜は、当初、ある程度、残留する水及び炭素種ならびにかなりの水酸基により、比較的低い膜密度を有する可能性がある。該流動可能な酸化物膜の堆積中、または、一定量の流動可能な酸化物膜が堆積された後、シリコンを添加することができる。該流動可能な酸化物の酸化雰囲気中での高温アニーリング時に、該残留する炭素種と水酸基は反応して、かなりの収縮及び緻密化を生じる。添加されたシリコンは、該添加されたシリコンは、同じ酸化雰囲気中で酸化されている間に、膨張することによって、この収縮を平衡させることができる。誘電体膜が、狭い溝において多くの構造上の制約を有するSTI用途の場合、流動可能な酸化物膜の収縮を補正することは、ボイドフリーギャップ充填を実現する緻密なシリコン酸化膜の形成にかなり役に立つ。
[0023]図1A〜図1Dは、本発明の実施形態によるシリコン酸化物層を基板上に形成するプロセスの例示的な略断面図である。これらの略図は、単に実施例であり、本発明を図示されていることのみに限定しようとするものではない。当業者は、他の変形例、変更例及び代替例を認識する。例えば、図1A〜図1Dは、本発明の実施形態によるシリコン酸化物層を基板上に形成する方法を示している図2と共に考えてもよい。図2に示すように、方法200は、プロセス202において、基板を準備することを含む。実施例として、該基板は、図1A〜図1Dに示す基板100である。基板100は、半導体プロセスウェーハ(例えば、200mm、300mm、400mm等のシリコンウェーハ)とすることができ、また、前のプロセスで形成された構造、デバイス、構成要素等を含むことができる。加えて、該基板は、高い高さと幅のアスペクト比(例えば、5:1以上、6:1以上、7:1以上、8:1以上、9:1以上、10:1以上、12:1以上等のアスペクト比)を有するギャップ、溝等を含むことができる。例えば、基板100は側壁と底部とを有する溝102を含む。
[0024]次に、方法200は、該基板の少なくとも一部を覆うシリコン酸化物層を形成するプロセス(プロセス204)を含む。図1Bに示すように、シリコン酸化物層110は、半導体プロセスチャンバ(例示的なチャンバを、図7に見ることができる)内に設けられた基板100を覆って形成される。例えば、シリコン酸化物層110は、2006年3月30日に出願された“CHEMICAL VAPOR DEPOSITION OF HIGH QUALITY FLOW−LIKE SILICON DIOXIDE USING A SILICON CONTAINING PRECURSOR AND ATOMIC OXYGEN”というタイトルの、Ingle等による同一出願人による米国特許出願第60/803,493号明細書に記載されているような遠隔プラズマ源によって生成された有機シリケート前駆体及び原子酸素前駆体を用いたCVDベースのアプローチによって堆積することができる。スピンオン、SACVD及びHDP−CVD等の、シリコン酸化膜を堆積する従来の他の方法も同様に用いることができる。特定の実施形態において、堆積直後のシリコン酸化物層は、ある程度の残留する水及び炭素種ならびにかなりの水酸基を含む含有物により、流動性を持つ。別の特定の実施形態においては、該流動性シリコン酸化膜は、STI用途に用いることができる。例えば、図1Bに示すように、溝102の側壁及び底部ならびに該溝の外部の基板表面は、シリコン酸化物層110の形成によって実質的に覆われている。別の実施例においては、プロセス204における堆積量により、堆積直後の酸化物層110は、溝102の一部を充填した状態から、溝102を完全に充填して該溝に及んでいる状態までわたる。
[0025]プロセス204の結果として、シリコン酸化物層110は、ボイドまたはシームを伴うことなく、溝102の少なくとも一部を完全に充填する。しかし、プロセス204による該堆積直後の膜の直接使用は、非常に多孔質であり、かつ適切な物理的強度を有しない誘電体を生じる可能性がある。従って、本発明のいくつかの実施形態は、該堆積直後のシリコン酸化物層110のさらなる処理を実行して、密度が増した膜品質を向上させ、なおかつボイドフリーギャップ充填を実現するために適用される。
[0026]一実施形態において、該堆積直後のシリコン酸化膜は、複数のシリコン含有種に曝される(プロセス206)。例えば、プロセス204におけるシリコン酸化膜の堆積後、該複数のシリコン含有種を、同じ半導体プロセスチャンバ内に導入することができる。別の実施例においては、該シリコン含有種の曝露は、(プロセス204において)シリコン酸化物層を堆積するための半導体プロセスチャンバ以外の独立したチャンバ内で実行することができる。一実施形態において、該シリコン含有種は、次の種、すなわち、線形ポリシラン(シラン、ジシラン及び高級同族体)、環状ポリシラン(シクロペンタシラン)、ジクロロシラン、テトラクロロシラン及び同様の種のうちの1つまたは組合せを含む。別の実施形態においては、該シリコン含有種の各々は、純ガス源またはNまたはHe等の不活性ガスで希釈されたガスから気相で供給される。当然、当業者は、多くの変形例、代替例及び変更例を認識する。
[0027]別の実施形態においては、プロセス206は、室温程度から約900℃の曝露温度、約10ミリトール〜約600トールの(気相シリコン含有種の)曝露圧力および約1秒〜約3時間の曝露時間を含む条件下で行われる。STI溝のアスペクト比及び所望の膜厚及び他の物理特性を有する特定のデバイス用途等の多くのプロセス要因により、これらの条件は変えてもよく、本願明細書におけるクレームの範囲を過度に限定すべきではない。特定の実施形態において、適切なプロセス条件下での該複数のシリコン含有種の曝露は、該シリコン含有種と、堆積直後のシリコン酸化物層内に存在する、残留する水及び水酸基との反応、または該シリコン含有種の直接的な熱分解を引き起こして、非晶質シリコン層の形成をもたらす可能性がある(プロセス208)。例えば、この化学反応は、アルコキシド基を水酸基と置換する加水分解プロセス、およびアルコキシド基及び水酸基を、アルコール及び水蒸気として除去する凝縮プロセスを含むことができる。別の実施例においては、該熱分解は、該シリコン含有種の前駆体分子が表面に到達し、Si−H結合が壊れて、Hガス分子を生成し、Siおよび/またはSi−H群が残されている状態で起きる。図1Cを参照すると、このプロセスは、既に堆積されているシリコン酸化物層110上への非晶質シリコン層120の形成という結果によって図示されている。一実施形態においては、シリコン含有種と、該シリコン酸化物層内部の含有物との反応により、該形成された非晶質シリコン層120は、シリコン酸化物層110と部分的に混合する(この結果、図1Cに点線で表すように、該非晶質シリコン層とシリコン酸化物層との間には、明確な境界が存在しない)。
[0028]その後、方法200は、該基板上の、既に堆積されているシリコン酸化物層と、後に形成された非晶質シリコン層を、酸化環境中でアニーリングして、密度が増加したシリコン酸化物層の形成をもたらすことを含む(プロセス210)。一実施形態において、該酸化環境は、約900℃までの温度を有する水蒸気環境、または、室温から約600℃のオゾン環境、または、室温から約900℃までの分子酸素で満たされているチャンバ、あるいは、室温から約600℃の原子酸素を含むチャンバとすることができる。別の実施形態においては、該アニーリングプロセスは、温度制御を伴う急速加熱処理(RTP)チャンバ内で行われる。酸化環境中での該非晶質シリコン層のアニーリングは、該非晶質シリコン群の酸化を引き起こし、二酸化シリコンを直接形成する。該酸化プロセスは、図1Dの円形状の矢印によって概略的に示すように、該膜の容積を膨張させる。また別の実施形態においては、該シリコン酸化物層のアニーリングは、未反応の残留する炭素種及び水酸基を、該膜から除去させる。例えば、残留する炭素種と水酸基は、反応してアルコール/水蒸気を生成して、連続的に排水される。持続的なアニーリングは、実質的に全ての残留する水、炭素または水酸基を除去するはずである。別の実施例においては、特定の水対酸の比を有する触媒としての酸性蒸気は、酸化環境中に混合させて、好ましくない炭素種の除去を加速させることができる。酸性蒸気を用いた流動可能なシリコン酸化膜の処理に関するさらなる詳細は、2007年8月27日に出願された“CURING METHODS FOR SILICON DIOXIDE THIN FILMS DEPOSITED FROM ALKOXYSILANE PRECURSOR WITH HARP II PROCESS”というタイトルの、Mallick等による同一出願人による米国非暫定特許出願第11/845,445号明細書に見つけることができる。残留する炭素種及び水酸基の消失は、図1Dの溝102の底部付近の矢印によって概略的に示すような該シリコン酸化物層の収縮をもたらす。
[0029]特定の実施形態において、この収縮は、該酸化物膜を必然的に緻密化すると同時に、非晶質シリコンの酸化の拡張が、容積損失を有効に補正して、緻密な酸化物膜をもたらすが、ボイドまたはシームもない。特に、高アスペクト比の狭い溝においては、このような特有の容積補正は、該膜の収縮に関する幾何学的制約の克服、および潜在的なボイド及びシームの形成の排除にとって非常に重要となる。図1Dに示すように、アニーリングプロセスの後、基板100上のシリコン酸化膜130が、部分的に混合した非晶質シリコン層120とシリコン酸化物層110とから形成され、これにより、全ての溝102及び溝周辺が実質的に充填される。一実施形態において、方法200を用いて形成されたシリコン酸化物層130は、前に堆積されているシリコン酸化物層110よりも高い機械的強度の、非多孔質の緻密な膜とすることができる。従って、高品質のシリコン酸化物層が得られると共に、高アスペクト比のギャップ充填プロセスに必要な流動性が維持される。
[0030]図3A〜図3Fは、本発明の別の実施形態による、ギャップ充填酸化物堆積と処理を交互に行うプロセスの例示的な略断面図である。これらの略図は、単に実施例であり、本願明細書におけるクレームの範囲を過度に限定すべきではない。当業者は、他の変形例、変更例及び代替例を認識する。例えば、図3A〜図3Fは、本発明の実施形態によるシリコン酸化物層を基板上に形成する方法400を示している図4と共に考えることができる。図3Aに示すように、半導体基板300が準備される。図4を参照すると、この基板は、方法400のプロセス402において、(図7の下に実施例と示す)半導体プロセスチャンバ内に設けることができる。基板300は、半導体ウェーハ(例えば、200mm、300mm、400mm等のシリコンウェーハ)とすることができ、前のプロセスで形成された構造、デバイス構成要素等を含むことができる。加えて、該基板は、高い高さと幅のアスペクト比(例えば、5:1以上、6:1以上、7:1以上、8:1以上、9:1以上、10:1以上、11:1以上、12:1以上等のアスペクト比)を有するギャップ、溝等を含むことができる。例えば、基板300は側壁と底部とを有する溝302を含む。
[0031]プロセス404において、方法400は、非晶質シリコン層の該基板上への堆積を実行することを含む。該非晶質シリコン層は、準大気環境下で、限定するものではないが、熱CVD、プラズマCVD、プラズマジェットまたはホットワイヤCVDを含む様々な異なる方法を用いて堆積することができる。シリコンの堆積のための前駆体は、線形ポリシラン(シラン、ジシラン及び高級同族体)、環状ポリシラン(シクロペンタシラン)、アルキルシラン(メチルシラン、トリメチルシラン、テトラメチルシラン)、ジクロロシラン、テトラクロロシラン、または、他のシリコン含有種を含むことができる。一つの特定の実施形態において、該非晶質シリコン層は、原子層堆積(ALD)法を用いて堆積することができる。形成された薄い非晶質シリコン層は、大部分は、溝の形態に追従するようにコンフォーマルである。例えば、図3Bを参照すると、非晶質シリコンからなるコンフォーマルな薄層310は、基板300上の、溝302の内側及び外側の両方に形成されている。様々な用途における該溝の寸法及びアスペクト比により、この非晶質シリコン層の厚さは、該溝の一部のみが充填されるように制御することができる。例えば、該溝の全容積の1/10未満、または、全容積の1/5未満、あるいは、全容積の1/3未満が充填される。いくつかの実施形態において、該非晶質シリコン層は、非CVD型の方法、例えば、Langmuir Blodget(LB)法によって形成することができる。これらのケースにおいては、非晶質シリコンの堆積は、異なるチャンバ内で実行してもよい。
[0032]続いて、プロセス406において、方法400は、該非晶質シリコン層を覆う酸化物層を堆積することを含む。プロセス406において堆積された該酸化物層は、遠隔プラズマ源によって生成された有機シリケート前駆体及び原子酸素前駆体を用いたCVDベースのアプローチを用いて堆積された同じ種類の流動可能な酸化物層とすることができる。該酸化物層は、一定量の残留水、炭素種及び水酸基を含み、該層を流動可能な膜にする。例えば、図3Cを参照すると、酸化物層320が、非晶質シリコン層310を覆って形成されている。前のプロセスで堆積されている該非晶質シリコン層によって既に部分的に充填されている該溝の寸法及びアスペクト比により、該流動可能な酸化物層の厚さを、該溝を少なくとも部分的に充填するように制御することができる。例えば、該流動可能なシリコン酸化物層の厚さは、異なる用途により、5〜500Åとすることができる。一実施例においては、溝の全容積の3/10である。別の実施例においては、該溝の全容積の2/5が充填される。また別の実施例においては、全容積の2/3または該溝の外部の領域まで及ぶ溝の全容積を充填することができる。
[0033]方法400は、全ての堆積層の特定の目標厚さが達成されたかを判断するステップ(プロセス408)を含む。一実施形態において、目標厚さに達していない場合、方法400は、プロセス404及び406を繰り返すことを含み、すなわち、該流動可能な酸化物層の別の堆積に続いて、(ここでもまた、部分的に充填された溝の現在の形態とコンフォーマルになることが期待されている)非晶質シリコンからなる別の層が堆積される。例えば、図3Dに示すように、非晶質シリコン層311が、酸化物層320を覆って追加される。非晶質シリコン層311は、非晶質シリコン層310を堆積するのに用いられるのと同じプロセスを用いて堆積することができるが、いくつかの代替的な堆積法も、結果に影響を及ぼすことなく、用いることができる。続いて、図3Eに示すように、別の流動可能な酸化物層321が、層311を覆って追加される。別の実施形態においては、目標厚さに達していると判断された場合、方法400は、プロセス404及び406を繰り返す必要はない。換言すれば、用途により、本方法のいくつかの実施形態は、非晶質シリコン層堆積と、流動可能な酸化物層堆積の交互の1サイクルのみを含み、該方法のいくつかの実施形態は、所定の目標厚さが得られるまで、該上記の交互の堆積を実行する多数のサイクルを含む。当然、多くの代替例、変形例及び変更例がある。
[0034]該堆積プロセスの後、方法400は、プロセス410において、該基板上の堆積直後の層を、酸化環境中でアニーリングすることを含む。一実施形態において、該酸化環境は、室温から約900℃の蒸気を含む。いくつかの実施形態においては、酸性蒸気を該蒸気に添加してもよい。別の実施形態においては、該酸化環境は、室温から約600℃のオゾンを含んでもよい。また別の実施形態においては、該酸化環境は、室温から約900℃の分子酸素を含んでもよい。さらに別の実施形態においては、該酸化環境は、室温から約600℃で、遠隔プラズマ源からの原子酸素を含んでもよい。アニーリングは、堆積を実行するために、同じ半導体プロセスチャンバ内で、または、該半導体プロセスチャンバに結合された別のアニーリングチャンバ内で実行することができる。一実施例において、該アニーリングチャンバは、急速加熱処理(RTP)のために備えられている。多くの代替例、変形例及び変更例があるのは当然である。
[0035]アニーリングプロセスの結果として、前のプロセスで堆積された流動可能な酸化物層とは異なるシリコン酸化物層が形成される(プロセス412)。一方において、堆積された非晶質シリコン層は、酸化して、酸素原子を組込んで、Si−HまたはSi−Si結合をSi−O−Si結合に置換することによって、容積を膨張させる二酸化シリコンを形成することになる。他方においては、熱アニーリングは、残留する炭素種及び水酸基の間の反応を引き起こし、該アニーリングチャンバに結合されているポンプによって除去される水蒸気またはアルコール蒸気の形成をもたらす。該酸化物層中の残留する炭素種及び水酸基の実質的な消失は、該酸化物層の収縮および最終的な酸化物膜の緻密化を引き起こす。特定の実施形態において、流動可能な酸化物層の酸化及び収縮中の非晶質シリコン層の容積膨張は、互いに適当に補正し、(流動可能な酸化物層よりも)高い膜密度及び物理的強度を有するシリコン酸化物層をもたらす。例えば、図3Fに示すように、前に堆積された層310、311、320、321等の結果として生じるシリコン酸化物層330は、アニールプロセスの後に形成される。一実施形態において、シリコン酸化物層330は、ボイドやシームを何ら形成することなく、溝302を実質的に充填する。目標の堆積厚さの正しい判断によって、シリコン酸化物層330は、溝302の深さを完全に充填する状態から、溝302に広がって基板300全体を覆う状態まで及ぶことが可能である。別の実施形態においては、プロセス412で形成されたボイドフリーギャップ充填酸化物層は、狭い溝STI用途にとって許容可能である膜密度、応力分布、誘電率及び屈折率等の特性によって特徴付けられる十分に良好な品質を有する。本発明の上記の実施形態の幅広い用途においては、他の多くの代替例、変形例及び変更例があるのは当然である。
[0036]代替的な実施形態においては、STI用途の場合のボイドフリーギャップ充填のための高品質のシリコン酸化物層を、シリコンナノ粒子(NP)を、堆積直後の流動可能な酸化物膜中に組込むことによって得ることができる。図5A〜図5Dは、本発明の代替的な実施形態による、シリコン酸化物層を基板上に形成するプロセスの例示的な略断面図である。これらの略図は、単に実施例であり、本発明の範囲を不当に限定しようとするものではない。当業者は、他の変形例、変更例及び代替例を認識する。例えば、図5A〜図5Dは、本発明の実施形態によるシリコン酸化物層を基板上に形成する方法を示している図6と共に考えてもよい。図5Aに示すように、半導体基板500は、方法600のプロセス602に基づいて、半導体プロセスチャンバ(例示的なチャンバを、図7に見ることができる)内に設けることができる。基板500は、前の半導体プロセスによって作られた表面に、1つ以上の溝502を含むことができる。例えば、該基板は、半導体ウェーハ(例えば、200mm、300mm、400mm等のシリコンウェーハ)とすることができ、また、該1つ以上の溝は、高アスペクト比(例えば、5:1以上、6:1以上、7:1以上、8:1以上、9:1以上、10:1以上、11:1以上、12:1以上等の深さ対幅比)を有することができる。
[0037]図5Bは、方法600のプロセス604を用いて、流動可能な酸化物層510を、基板500上に堆積することができることを示す。例えば、該堆積された酸化物層は、該酸化物層を、良好な形態等方性で該基板を覆い、かつ11:1を超える高いアスペクト比で該溝を少なくとも部分的に充填するように流動可能にする炭素種及び水酸基を含むいくつかの副生成物含有物を含有する。別の実施例においては、堆積方法は、遠隔プラズマ源によって生成された液体有機シリケート前駆体及び酸素前駆体を用いたCVDベースのアプローチ、または、スピンオン、SACVD及びHDP−CVDプロセスを含む他の方法とすることができる。
[0038]プロセス606において、方法600は、複数のシリコン含有粒子を半導体プロセスチャンバに導入することを含む。一実施形態において、該複数のシリコン含有粒子は、流動可能な酸化物層のための液体有機シリケート前駆体を有する、または、該有機シリケートとは分離されている該半導体プロセスチャンバに供給されるシリコンリッチな前駆体を用いたPECVDアプローチを用いて作ることができる。例えば、シリコンリッチな前駆体は、線形ポリシラン(シラン、ジシラン及び高級同族体)、環状ポリシラン(シクロペンタシラン)またはシリコンナノ粒子を含む。別の実施例においては、該複数のシリコン含有粒子は、外部で作った後、基板500が配置されている半導体プロセスチャンバ内に供給することができる。さらに別の実施例においては、該複数のシリコン含有粒子は、(プロセス604において)流動可能なシリコン酸化物層堆積のためのものと同じプロセスチャンバ内部で直接、作ることができる。
[0039]続いて、プロセス606で生成された複数のシリコン含有粒子は、該基板上の、プロセス604で堆積された流動可能なシリコン酸化物層内に組み込まれる(プロセス608)。例えば、該シリコン含有粒子は、プラズマ源が所定のプロセス条件でターンオンされたときに生成され、これらの粒子の該シリコン酸化物層上への堆積は、該プラズマ源がターンオフされたときに行われる。少なくともプラズマのオフ期間により、該シリコン含有粒子のサイズを制御することができる。典型的には、該シリコン含有粒子は、10〜50nmの範囲の直径を有する球状である。一実施形態において、該シリコン含有粒子の堆積は、一定の厚さの流動可能なシリコン酸化物層が形成された後に行うことができる。別の実施形態においては、該シリコン含有粒子の堆積は、該流動可能なシリコン酸化物層が堆積されるときに同時に行うことができる。この場合、プロセス604及びプロセス608を同時に行う(該シリコン含有粒子は、別のまたは遠隔プラズマ源によって生成することができる)。(10〜50nmの)狭い範囲のサイズを有するこれらの粒子は、該溝を充填するための混成膜の流動性を実質的に低下させることなく、該流動可能なシリコン酸化物層内に容易に組込むことができる。例えば、図5Cは、堆積直後の流動可能なシリコン酸化物層510内に混合させて、基板500上の溝502の内部及び上を充填する複数のシリコン含有粒子520の断面図を示す。また別の実施形態においては、該流動可能なシリコン酸化物層及びシリコン含有粒子の堆積は、異なる用途により、多数回交互に実行することができる。当然、多くの代替例、変形例及び変更例がある。例えば、該シリコン含有粒子の組込み量は、異なる用途に対する調節可能なプロセスパラメータである。
[0040]この堆積/粒子組込みプロセスの後、方法600のプロセス610は、該複数のシリコン含有粒子が組込まれた堆積直後のシリコン酸化物層を、酸化環境中でアニーリングすることにより、シリコン酸化物層を形成することを含む。一実施形態において、該酸化環境は、室温から約900℃の蒸気を含むことができる。特定の実施形態においては、酸性蒸気を該蒸気に添加してもよい。別の実施形態においては、該酸化環境は、室温から約600℃のオゾンを含んでもよい。また別の実施形態においては、該酸化環境は、室温から約900℃の分子酸素を含んでもよい。さらに別の実施形態においては、該酸化環境は、室温から約600℃における遠隔プラズマ源からの原子酸素を含んでもよい。アニーリングは、堆積後に同じ半導体プロセスチャンバ内で実行することができる。また、アニーリングプロセスは、該基板が、堆積用の半導体プロセスチャンバから別のアニーリングチャンバへ移送された後に実行することもできる。例えば、該アニーリングチャンバは、クラスタツールの1ユニットとしてもよい急速加熱処理(RTP)チャンバである。当然、多くの代替例、変形例及び変更例がある。
[0041]該アニーリングプロセスの結果として、前のプロセス(例えば、プロセス604)で堆積された流動可能な酸化物層とは異なるシリコン酸化物層が形成される。一方において、該アニーリングプロセスは、該シリコン含有粒子を酸化させて、容積が膨張した二酸化シリコンを形成する。他方においては、該アニーリングプロセスは、残留する炭素種と水酸基の間の反応を引き起こし、該アニーリングチャンバに結合されているポンプによって後に除去することのできる水蒸気またはアルコール蒸気の形成をもたらす。長時間のアニーリングプロセス中の該シリコン酸化物層内のこれらの残留する炭素種及び水酸基の実質的な消失は、膜の収縮を引き起こして、最終的な高密度の酸化物膜につながる。例えば、図5Dに示すように、シリコン酸化物層530は、複数のシリコン含有粒子520が組込まれた流動可能なシリコン酸化物層510をアニーリングすることにより形成される。特定の実施形態において、該シリコン含有粒子の量は、該シリコン粒子の酸化による膜容積の膨張が、好ましくない炭素種または水酸基の消失による膜収縮を適切に補正することができるように十分なものである。このことは、強化された物理的特性に関して品質がかなり改善されたシリコン酸化物層をもたらす。一実施形態において、最終的に形成されるシリコン酸化物層530は、ボイドまたはシームを何ら形成することなく、溝502を実質的に充填することができる。別の実施形態においては、プロセス612で形成されたボイドフリーギャップ充填のシリコン酸化物層は、狭い溝STI用途にとって許容可能な膜密度、機械的応力、誘電率及び屈折率によって特徴付けられる十分に良好な品質を有する。
[0042]図7は、本発明の実施形態による例示的な処理システムの断面図である。システム700は、そこで、前駆体が化学的に反応し、流動可能な誘電体膜を基板ウェーハ702上に堆積する堆積チャンバ701を含む。ウェーハ702(例えば、5:1以上、6:1以上、7:1以上、8:1以上、9:1以上、10:1以上、11:1以上、12:1以上等の高アスペクト比を有する溝を含むことができる200mm、300mm、400mm等の直径の半導体ウェーハ)は、基板ウェーハ702を、上にある前駆体配分システム706に近づけてまたは離して配置するように垂直方向に並進可能な回転可能基板ペデスタル704に結合することができる。例えば、基板ウェーハ702は、前述した基板100または基板300または基板500である。該ペデスタルは、基板ウェーハ702を、約1rpm〜約2000rpm(例えば、約10rpm〜約120rpm)の回転速度で回転させることができる。該ペデスタルは、該基板ウェーハを、前駆体配分システム706のサイドノズル708から一定距離、例えば、約0.5mm〜約100mmの距離に垂直方向に並進させることができる。
[0043]前駆体配分システム706は、複数の径方向に分布するサイドノズル708を含み、各サイドノズルは、2つの異なる長さのうちの一方を有する。追加的な実施形態(図示せず)において、該サイドノズルは、堆積チャンバ701の壁部周りに分布された開口からなるリングを残すために排除してもよい。該前駆体は、これらの開口を通って該チャンバ内へ流れる。
[0044]また、配分システム706は、基板ペデスタル704の中心と同軸とすることのできる円錐形状の上部バッフル710を含むこともできる。流路712は、バッフル710の外部案内面を流下する前駆体とは異なる組成を有する前駆体またはキャリアガスを供給するために、バッフル710の中心を通って走っている。
[0045]バッフル710の外面は、反応性前駆体を堆積チャンバ701の上に配置されている反応種生成システム(図示せず)から反応性前駆体を流す導管714によって囲まれている。導管714は、バッフル710の外面で一端が開口し、反対側の端部が、該反応種生成システムに結合されている直線状の円形チューブとすることができる。
[0046]該反応種生成システムは、より安定的な出発物質をプラズマに曝すことにより、該反応種を生成する遠隔プラズマ生成システム(RPS)とすることができる。例えば、出発物質は、分子酸素またはオゾンを含む混合物とすることができる。この出発物質の、該RPSからのプラズマへの曝露は、該分子酸素の一部を、原子酸素、すなわち、かなりの低温(例えば、100℃未満)で、有機シリコン前駆体(例えば、TMOS、OMCTS)と化学的に反応する高反応性ラジカル種を解離させる。例えば、流動可能な誘電体膜は、ある程度の残留する水及び炭素種ならびにかなりの水酸基を含む流体状シリコン酸化物とすることができる。特定の実施例においては、該流動可能な誘電体膜は、図1に示すシリコン酸化物層110である。別の特定の実施例においては、該流動可能な誘電体膜は、図3に示すコンフォーマルな非晶質シリコン層を覆うシリコン酸化物層320である。該反応種生成システムで生成された反応種は、多くの場合、室温で、他の堆積前駆体と良く反応するため、該反応種は、他の堆積前駆体と混合する前に、バッフル710により、隔離されたガス混合物中に輸送され導管714を流下させて反応チャンバ701内に分散させてもよい。例えば、該シリコン含有前駆体は、堆積直後の流動可能なシリコン酸化物層を覆うシリコン層の堆積中に、これらのバッフルを介して分散させることができる。
[0047]処理システム700は、堆積チャンバ701のドーム716の周りに巻かれたRFコイル(図示せず)も含むことができる。これらのコイルは、堆積チャンバ701内に誘導結合プラズマを作成して、反応種前駆体及び他の前駆体の反応性をさらに高め、流体誘電体膜を該基板上に堆積することができる。例えば、バッフル710によって該チャンバ内に分散される、反応性原子酸素を含むガス流およびチャネル712からの有機シリコン前駆体および/または1つ以上のサイドノズル708は、該RFコイルによって基板702の上に形成されるプラズマ中へ向けることができる。原子酸素及び有機シリコン前駆体は、低温でもプラズマと急速に反応して、流動性の高いシリコン酸化物層を基板表面に形成する。
[0048]いくつかの実施形態において、該流動可能なシリコン酸化物層の形成は、該基板上への非晶質シリコン層からなる薄層の形成後とすることができる。他の実施形態においては、該流動可能なシリコン酸化物層及び非晶質シリコン層の堆積は、該基板上に対して、いくつかの層を交互に実行することができる。いくつかの実施形態において、プラズマCVDプロセスは、同じプロセスシステム700内で交互にまたは同時に形成された流動可能なシリコン酸化物層中に混合されるシリコンナノ粒子を生成するために実行することができる。
[0049]一実施形態において、プロセスシステム700は、堆積チャンバ701を、後にアニーリングプロセスにも用いられるようにすることができる。該アニーリングプロセスの間、分子酸素ガスまたはオゾン、あるいは原子酸素、または、制御された湿度を有する蒸気、あるいは、酸性ガスからなる特定の混合物を該チャンバに導入することにより、酸化環境を生成することができる。他の実施形態においては、該酸化アニーリングプロセスは、堆積チャンバ701に結合されている別のチャンバ(図示せず)内で実行することができる。
[0050]いくつかの実施形態について説明してきたが、当業者には、様々な変更、代替的構造及び等価物を、本発明の精神から逸脱することなく用いることができることが分かる。加えて、本発明を不必要に分かりにくくすることを避けるために、多くの周知のプロセス及び要素が説明されていない。従って、上記の説明は、本発明の範囲を限定するものと解釈すべきではない。
[0051]ある値の範囲が記載されている場合、該範囲の上限と下限の間の、文脈が明確に他を指示していない限り、下限の単位の少数第1位までの間の各値も具体的に開示されていると理解されたい。記載されている何らかの値または記載されている範囲内の値と、他の記載されている何らかの値または該記載されている範囲内の値との間の各小さな範囲も包含される。これらの小さな範囲の上限または下限は、該範囲に独立して含めることができ、または除外してもよく、およびいずれかのまたは両方の限界値が該小さな範囲に含まれる、またはどちらの限界値も該小さな範囲に含まれない各範囲も、記載されている除外された限界を条件として本発明に包含される。また、記載されている範囲が、限界値の一方または両方を含む場合、これらの含まれる限界値のどちらかまたは両方を除外する範囲も含まれる。
[0052]本願明細書で用いる場合、および添付の特許請求の範囲において、単数形「1つ」(“a”、“an”、“the”は、文脈が明らかに他を指示していない限り、複数の指示対象を含む。従って、例えば、「(1つの)プロセス」は、複数のそのようなプロセスを含み、また、“該前駆体”は、1つ以上の前駆体、および当業者には既知の該前駆体の等価物を含む。
[0053]また、“備える”、“備えている”、“含む”、“含んでいる”及び“含む”という用語は、この明細書において用いる場合、および特許請求の範囲において、記載されている特徴、整数、構成要素またはステップの存在を指定することが意図されているが、これらのことばは、1つ以上の他の特徴、整数、構成要素、ステップ、動作または群の存在または追加を排除しない。
本発明の実施形態によるシリコン酸化物層を基板上に形成するプロセスの例示的な略断面図である。 本発明の実施形態によるシリコン酸化物層を基板上に形成するプロセスの例示的な略断面図である。 本発明の実施形態によるシリコン酸化物層を基板上に形成するプロセスの例示的な略断面図である。 本発明の実施形態によるシリコン酸化物層を基板上に形成するプロセスの例示的な略断面図である。 本発明の実施形態によるシリコン酸化物層を基板上に形成する方法を示す単純化したフローチャートである。 本発明の別の実施形態による、ギャップ充填酸化物堆積と処理を交互に行うプロセスの例示的な略断面図である。 本発明の別の実施形態による、ギャップ充填酸化物堆積と処理を交互に行うプロセスの例示的な略断面図である。 本発明の別の実施形態による、ギャップ充填酸化物堆積と処理を交互に行うプロセスの例示的な略断面図である。 本発明の別の実施形態による、ギャップ充填酸化物堆積と処理を交互に行うプロセスの例示的な略断面図である。 本発明の別の実施形態による、ギャップ充填酸化物堆積と処理を交互に行うプロセスの例示的な略断面図である。 本発明の別の実施形態による、ギャップ充填酸化物堆積と処理を交互に行うプロセスの例示的な略断面図である。 本発明の実施形態によるシリコン酸化物層を基板上に形成する方法を示す単純化したフローチャートである。 本発明の代替的な実施形態による、シリコン酸化物層を基板上に形成するプロセスの例示的な略断面図である。 本発明の代替的な実施形態による、シリコン酸化物層を基板上に形成するプロセスの例示的な略断面図である。 本発明の代替的な実施形態による、シリコン酸化物層を基板上に形成するプロセスの例示的な略断面図である。 本発明の代替的な実施形態による、シリコン酸化物層を基板上に形成するプロセスの例示的な略断面図である。 本発明の別の実施形態によるシリコン酸化物層を基板上に形成する方法を示す単純化したフローチャートである。 本発明の実施形態による例示的な処理システムの断面図である。
100、300…基板、102、302…溝、110、330…シリコン酸化物層、120、311…非晶質シリコン層、130、320…シリコン酸化膜、310…薄層、520…シリコン含有粒子

Claims (23)

  1. シリコン酸化物層を基板上に形成する方法であって、
    基板を準備するステップと、
    前記基板の少なくとも一部を覆う第1のシリコン酸化物層を形成するステップであって、前記第1のシリコン酸化物層が、残留する水、水酸基及び炭素種を含むステップと、
    前記第1のシリコン酸化物層を、複数のシリコン含有種に曝すステップであって、前記複数のシリコン含有種のうちの少なくとも一部が、前記残留する水及び水酸基の少なくとも一部と反応するか、または、熱分解されて複数の非晶質シリコン成分を形成し、前記複数の非晶質シリコン成分が、前記第1のシリコン酸化物層と部分的に混合するステップと、
    前記複数の非晶質シリコン成分と部分的に混合された前記第1のシリコン酸化物層を、酸化環境中でアニーリングして、第2のシリコン酸化物層を前記基板上に形成するステップであって、前記非晶質シリコン成分の少なくとも一部が、酸化されて、前記第2のシリコン酸化物層の一部になり、また、前記第2のシリコン酸化物層内の未反応の残留する水酸基及び炭素種が、実質的に除去されるステップと、
    を備える方法。
  2. 前記第1のシリコン酸化物層が、シリコン含有前駆体と、遠隔プラズマ源によって生成される原子酸素を備える酸素含有前駆体の化学気相堆積を用いて堆積される請求項1に記載の方法。
  3. 前記シリコン酸化物層の少なくとも一部が、STI構造で形成される請求項1に記載の方法。
  4. 前記第1の酸化物層を複数のシリコン含有種に曝すステップが、約10ミリトール〜約600トールの圧力、室温程度から約900℃の温度で、約1秒〜約3時間、実行される請求項1に記載の方法。
  5. 前記シリコン含有種が、線形ポリシラン(シラン、ジシラン及び高級同族体)、環状ポリシラン(シクロペンタシラン)、ジクロロシラン、テトラクロロシランを備える請求項4に記載の方法。
  6. 前記複数のシリコン含有種が、不活性ガスで希釈することができる請求項4に記載の方法。
  7. 前記酸化環境が、室温程度から約900℃の蒸気、室温程度から約600℃のオゾン、室温程度から約900℃の分子酸素、または、室温程度から約600℃における遠隔プラズマ源からの原子酸素を備える請求項1に記載の方法。
  8. 前記アニーリングステップが、急速加熱処理チャンバ内で実行される請求項1に記載の方法。
  9. シリコン酸化物層を基板上に形成する方法であって、
    基板を準備するステップと、
    非晶質シリコン層を、前記基板上の少なくとも一部に堆積するステップと、
    前記非晶質シリコン層を覆う第1のシリコン酸化物層を堆積するステップであって、前記第1のシリコン酸化物層が水酸基及び炭素種を含むステップと、
    前記非晶質シリコン層を覆う第1のシリコン酸化物層を、酸化環境中でアニーリングして、第2のシリコン酸化物層を前記基板上に形成するステップであって、前記非晶質シリコン層が、酸化されて前記第2のシリコン酸化物層の一部になり、前記第2のシリコン酸化物層内の水酸基及び炭素種が、実質的に除去されるステップと、
    を備える方法。
  10. 前記アニーリングのプロセスの前に、非晶質シリコン層を形成するプロセスと、前記非晶質シリコン層を覆う第1のシリコン酸化物層を形成するプロセスとを、目標の厚さに達するまで交互に行うステップをさらに備える請求項9に記載の方法。
  11. 前記非晶質シリコン層が、線形ポリシラン(シラン、ジシラン及び高級同族体)、環状ポリシラン(シクロペンタシラン)、アルキルシラン(メチルシラン、トリメチルシラン、テトラメチルシラン)、ジクロロシラン及びテトラクロロシランからなる群から選択される少なくとも1つの前駆体を用いて、熱CVDプロセス、プラズマCVDプロセス、プラズマジェットプロセスまたはホットワイヤCVDプロセスによって堆積することができる請求項10に記載の方法。
  12. 前記非晶質シリコン層が、STI構造を含む基板構造に追従するようにコンフォーマルであるシリコンリッチな自己集合の単層を備える請求項10に記載の方法。
  13. 各交互の堆積サイクルにおいて、前記非晶質シリコン層を覆う第1のシリコン酸化物層を形成するステップが、シリコン含有前駆体及び遠隔プラズマ源によって生成される原子酸素を用いた化学気相堆積を用いて、5〜500Åのシリコン酸化膜を堆積する工程を備える請求項10に記載の方法。
  14. 前記アニーリングのプロセスが、室温から約900℃の蒸気、室温から約600℃のオゾン、室温から約900℃の分子酸素、または、室温から約600℃における遠隔プラズマ源からの原子酸素のうちの1つを含む酸化環境中で実行される急速加熱処理である請求項9に記載の方法。
  15. シリコン酸化物層を基板上に形成する方法であって、
    1つ以上の溝を含む基板を、半導体プロセスチャンバ内に設けるステップと、
    水酸基及び炭素種を含む第1のシリコン酸化物層を前記基板上に堆積するステップであって、前記第1のシリコン酸化物層が、前記1つ以上の溝を少なくとも部分的に充填するステップと、
    複数のシリコン含有粒子を前記半導体プロセスチャンバ内に導入するステップであって、前記シリコン含有粒子が、前記第1のシリコン酸化物層内に組込まれるステップと、
    前記複数のシリコン含有粒子を含む前記第1のシリコン酸化物層を酸化環境中でアニーリングすることにより、第2のシリコン酸化物層を形成するステップであって、前記複数のシリコン含有粒子が酸化され、また、前記水酸基及び炭素種が実質的に除去されるステップと、
    を備える方法。
  16. 前記第2のシリコン酸化物層が、前記第1のシリコン酸化物層よりも緻密であり、実質的にボイドフリーの状態で、前記1つ以上の溝を充填する請求項15に記載の方法。
  17. 前記1つ以上の溝が、11:1以上のアスペクト比を有する請求項15に記載の方法。
  18. 前記第1のシリコン酸化物層が、シリコン含有前駆体及び遠隔プラズマ源によって生成される原子酸素を用いた化学気相堆積を用いて堆積され、1つ以上のSTI構造を少なくとも部分的に充填する請求項15に記載の方法。
  19. 前記シリコン含有粒子を半導体プロセスチャンバ内に導入するプロセスが、
    プラズマによって、シリコンリッチな前駆体から前記シリコン含有粒子を生成するステップと、
    所定の期間、前記プラズマをターンオフすることにより、前記プラズマが生成したシリコン含有粒子を、前記第1のシリコン酸化物層上に堆積するステップと、
    を備える請求項15に記載の方法。
  20. 前記シリコン含有粒子を生成するプロセスが、遠隔プラズマチャンバ内で実行することができ、その後、前記シリコン含有粒子が、前記第1のシリコン酸化物層で覆われている前記基板が設けられている半導体プロセスチャンバ内に供給される請求項19に記載の方法。
  21. 前記プラズマが生成したシリコン含有粒子を堆積するステップが、前記第1のシリコン酸化物層を前記半導体プロセスチャンバ内で形成するステップと同時に行うことができる請求項19に記載の方法。
  22. 前記シリコン含有粒子が、10〜50nmの直径を有する請求項19に記載の方法。
  23. 前記アニーリングするプロセスが、室温から900℃の蒸気、室温から600℃のオゾン、室温から900℃の分子酸素、または、室温から600℃における遠隔プラズマ源からの原子酸素を用いて、別のチャンバ内で実行される急速加熱処理とすることができる請求項15に記載の方法。
JP2013176813A 2007-10-22 2013-08-28 ボイドフリーギャップ充填に対する誘電体膜品質を向上させる方法及びシステム Pending JP2013239752A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/876,541 US7541297B2 (en) 2007-10-22 2007-10-22 Method and system for improving dielectric film quality for void free gap fill
US11/876,541 2007-10-22

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2008271319A Division JP2009152551A (ja) 2007-10-22 2008-10-21 ボイドフリーギャップ充填に対する誘電体膜品質を向上させる方法及びシステム

Publications (1)

Publication Number Publication Date
JP2013239752A true JP2013239752A (ja) 2013-11-28

Family

ID=40097151

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2008271319A Pending JP2009152551A (ja) 2007-10-22 2008-10-21 ボイドフリーギャップ充填に対する誘電体膜品質を向上させる方法及びシステム
JP2013176813A Pending JP2013239752A (ja) 2007-10-22 2013-08-28 ボイドフリーギャップ充填に対する誘電体膜品質を向上させる方法及びシステム

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2008271319A Pending JP2009152551A (ja) 2007-10-22 2008-10-21 ボイドフリーギャップ充填に対する誘電体膜品質を向上させる方法及びシステム

Country Status (7)

Country Link
US (1) US7541297B2 (ja)
EP (1) EP2053640A3 (ja)
JP (2) JP2009152551A (ja)
KR (1) KR101019768B1 (ja)
CN (1) CN101425461B (ja)
SG (1) SG152169A1 (ja)
TW (1) TWI351061B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109326553A (zh) * 2018-12-05 2019-02-12 德淮半导体有限公司 沟槽隔离结构的形成方法、化学气相沉积工艺
JP2020517100A (ja) * 2017-04-07 2020-06-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 反応性アニールを使用する間隙充填

Families Citing this family (485)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9257302B1 (en) 2004-03-25 2016-02-09 Novellus Systems, Inc. CVD flowable gap fill
US7582555B1 (en) 2005-12-29 2009-09-01 Novellus Systems, Inc. CVD flowable gap fill
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7902080B2 (en) * 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7790634B2 (en) * 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
KR100748559B1 (ko) * 2006-08-09 2007-08-10 삼성전자주식회사 플래시 메모리 장치 및 그 제조 방법
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7745352B2 (en) * 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
KR100972675B1 (ko) * 2008-01-10 2010-07-27 주식회사 하이닉스반도체 반도체 소자의 소자 분리막 형성 방법
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8765233B2 (en) * 2008-12-09 2014-07-01 Asm Japan K.K. Method for forming low-carbon CVD film for filling trenches
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
WO2011072143A2 (en) * 2009-12-09 2011-06-16 Novellus Systems, Inc. Novel gap fill integration
CN102687252A (zh) * 2009-12-30 2012-09-19 应用材料公司 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (ja) * 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
US8304351B2 (en) * 2010-01-07 2012-11-06 Applied Materials, Inc. In-situ ozone cure for radical-component CVD
WO2011109148A2 (en) 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
JP5573772B2 (ja) * 2010-06-22 2014-08-20 東京エレクトロン株式会社 成膜方法及び成膜装置
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
CN102376568B (zh) * 2010-08-19 2015-08-05 北大方正集团有限公司 在深沟槽肖特基二极管晶圆的深沟槽内淀积多晶硅的方法
US7947551B1 (en) * 2010-09-28 2011-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a shallow trench isolation structure
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
TWI541378B (zh) * 2010-10-16 2016-07-11 奧特科技公司 原子層沉積鍍膜系統及方法
US8470187B2 (en) * 2010-11-05 2013-06-25 Asm Japan K.K. Method of depositing film with tailored comformality
US8685867B1 (en) 2010-12-09 2014-04-01 Novellus Systems, Inc. Premetal dielectric integration process
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5829196B2 (ja) * 2011-10-28 2015-12-09 東京エレクトロン株式会社 シリコン酸化物膜の成膜方法
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
EP2708895B1 (en) 2012-08-21 2019-05-08 Industrial Technology Research Institute Method for identifying more than one target nucleic acid contained in a sample
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8772101B2 (en) * 2012-11-08 2014-07-08 Globalfoundries Inc. Methods of forming replacement gate structures on semiconductor devices and the resulting device
US8784951B2 (en) 2012-11-16 2014-07-22 Asm Ip Holding B.V. Method for forming insulation film using non-halide precursor having four or more silicons
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9612521B2 (en) 2013-03-12 2017-04-04 Applied Materials, Inc. Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US9417515B2 (en) 2013-03-14 2016-08-16 Applied Materials, Inc. Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US20140329027A1 (en) * 2013-05-02 2014-11-06 Applied Materials, Inc. Low temperature flowable curing for stress accommodation
US9117657B2 (en) 2013-06-07 2015-08-25 Asm Ip Holding B.V. Method for filling recesses using pre-treatment with hydrocarbon-containing gas
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9190263B2 (en) 2013-08-22 2015-11-17 Asm Ip Holding B.V. Method for forming SiOCH film using organoaminosilane annealing
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9209040B2 (en) * 2013-10-11 2015-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Amorphorus silicon insertion for STI-CMP planarity improvement
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
KR20150108176A (ko) * 2014-03-17 2015-09-25 에스케이하이닉스 주식회사 상변화층을 구비한 반도체 집적 회로 장치의 제조방법
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP2015179729A (ja) * 2014-03-19 2015-10-08 東京エレクトロン株式会社 シリコン酸化膜の形成方法およびその形成装置
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9362107B2 (en) 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9508545B2 (en) * 2015-02-09 2016-11-29 Applied Materials, Inc. Selectively lateral growth of silicon oxide thin film
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
TWI687987B (zh) * 2015-02-17 2020-03-11 愛爾蘭商滿捷特科技公司 填充蝕刻洞的製程
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
NL2014588B1 (en) * 2015-04-07 2017-01-19 Stichting Energieonderzoek Centrum Nederland Rechargeable battery and method for manufacturing the same.
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US9406544B1 (en) * 2015-06-12 2016-08-02 Lam Research Corporation Systems and methods for eliminating seams in atomic layer deposition of silicon dioxide film in gap fill applications
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11028477B2 (en) * 2015-10-23 2021-06-08 Applied Materials, Inc. Bottom-up gap-fill by surface poisoning treatment
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
CN106803484B (zh) * 2015-11-26 2021-08-10 联华电子股份有限公司 半导体元件及其制作方法
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10115601B2 (en) * 2016-02-03 2018-10-30 Tokyo Electron Limited Selective film formation for raised and recessed features using deposition and etching processes
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
EP3427293B1 (en) 2016-03-07 2021-05-05 Globalwafers Co., Ltd. Semiconductor on insulator structure comprising a low temperature flowable oxide layer and method of manufacture thereof
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
KR102312824B1 (ko) 2016-03-17 2021-10-13 어플라이드 머티어리얼스, 인코포레이티드 고 종횡비 구조들에서의 갭충전을 위한 방법들
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
KR102607311B1 (ko) * 2016-04-06 2023-11-30 삼성전자주식회사 반도체 소자 및 그 제조방법
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
TWI680535B (zh) 2016-06-14 2019-12-21 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
US9847245B1 (en) * 2016-06-16 2017-12-19 Samsung Electronics Co., Ltd. Filling processes
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10468244B2 (en) 2016-08-30 2019-11-05 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US11017998B2 (en) 2016-08-30 2021-05-25 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US20180076026A1 (en) 2016-09-14 2018-03-15 Applied Materials, Inc. Steam oxidation initiation for high aspect ratio conformal radical oxidation
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US11735413B2 (en) 2016-11-01 2023-08-22 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-k films to fill surface features
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
TWI719262B (zh) 2016-11-03 2021-02-21 美商應用材料股份有限公司 用於圖案化之薄膜的沉積與處理
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
WO2018089351A1 (en) 2016-11-08 2018-05-17 Applied Materials, Inc. Geometric control of bottom-up pillars for patterning applications
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770349B2 (en) 2017-02-22 2020-09-08 Applied Materials, Inc. Critical dimension control for self-aligned contact patterning
US11270995B2 (en) 2017-03-05 2022-03-08 Intel Corporation Isolation in integrated circuit devices
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
WO2018183287A1 (en) * 2017-03-31 2018-10-04 Applied Materials, Inc. Two-step process for gapfilling high aspect ratio trenches with amorphous silicon film
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
WO2018191484A1 (en) * 2017-04-13 2018-10-18 Applied Materials, Inc. Method and apparatus for deposition of low-k films
US10636659B2 (en) 2017-04-25 2020-04-28 Applied Materials, Inc. Selective deposition for simplified process flow of pillar formation
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
CN110678973B (zh) 2017-06-02 2023-09-19 应用材料公司 碳化硼硬掩模的干式剥除
US10840186B2 (en) 2017-06-10 2020-11-17 Applied Materials, Inc. Methods of forming self-aligned vias and air gaps
TW201906035A (zh) 2017-06-24 2019-02-01 美商微材料有限責任公司 生產完全自我對準的介層窗及觸點之方法
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
WO2019046399A1 (en) 2017-08-31 2019-03-07 Micromaterials Llc METHODS FOR PRODUCING SELF-ALIGNED INTERCONNECTION HOLES
WO2019046402A1 (en) 2017-08-31 2019-03-07 Micromaterials Llc METHODS FOR GENERATING SELF-ALIGNED INTERCONNECTION HOLES
WO2019050735A1 (en) 2017-09-06 2019-03-14 Micromaterials Llc METHODS FOR PRODUCING SELF-ALIGNED INTERCONNECTION HOLES
CN111095524B (zh) 2017-09-12 2023-10-03 应用材料公司 用于使用保护阻挡物层制造半导体结构的设备和方法
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
US10872762B2 (en) * 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
CN117936420A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送系统
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
SG11202003438QA (en) 2017-11-16 2020-05-28 Applied Materials Inc High pressure steam anneal processing apparatus
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
JP2019106538A (ja) 2017-12-07 2019-06-27 マイクロマテリアルズ エルエルシー 制御可能な金属およびバリアライナー凹部のための方法
EP3499557A1 (en) 2017-12-15 2019-06-19 Micromaterials LLC Selectively etched self-aligned via processes
KR102609357B1 (ko) * 2018-01-15 2023-12-06 삼성전자주식회사 박막 형성 방법 및 박막 형성 장치
US10607832B2 (en) * 2018-01-15 2020-03-31 Samsung Electronics Co., Ltd. Method and apparatus for forming a thin layer
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
KR102649241B1 (ko) 2018-01-24 2024-03-18 어플라이드 머티어리얼스, 인코포레이티드 고압 어닐링을 사용한 심 힐링
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR20190104902A (ko) 2018-03-02 2019-09-11 마이크로머티어리얼즈 엘엘씨 금속 산화물들을 제거하기 위한 방법들
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
TW202002219A (zh) 2018-05-08 2020-01-01 美商微材料有限責任公司 用來產生高的深寬比的完全自對準的通孔的選擇性移除過程
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
TW202011547A (zh) 2018-05-16 2020-03-16 美商微材料有限責任公司 用於產生完全自對準的通孔的方法
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
WO2019236350A1 (en) 2018-06-08 2019-12-12 Micromaterials Llc A method for creating a fully self-aligned via
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10748808B2 (en) * 2018-07-16 2020-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric gap-filling process for semiconductor device
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
CN109166787B (zh) * 2018-08-26 2019-06-28 合肥安德科铭半导体科技有限公司 一种氧化硅薄膜的可流动化学气相沉积方法
KR20200027816A (ko) * 2018-09-05 2020-03-13 삼성전자주식회사 소자분리층을 갖는 반도체 소자 및 그 제조 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
KR102528076B1 (ko) 2018-10-30 2023-05-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 구조를 식각하기 위한 방법들
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
JP2022507390A (ja) 2018-11-16 2022-01-18 アプライド マテリアルズ インコーポレイテッド 強化拡散プロセスを使用する膜の堆積
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11158607B2 (en) * 2018-11-29 2021-10-26 Apple Inc. Wafer reconstitution and die-stitching
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP7431245B2 (ja) 2019-01-24 2024-02-14 アプライド マテリアルズ インコーポレイテッド 窒化ケイ素を堆積する方法
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11164938B2 (en) 2019-03-26 2021-11-02 Micromaterials Llc DRAM capacitor module
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11133178B2 (en) 2019-09-20 2021-09-28 Applied Materials, Inc. Seamless gapfill with dielectric ALD films
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN110676154A (zh) * 2019-09-26 2020-01-10 上海华力微电子有限公司 一种提高聚硅氮烷膜质转换效率的方法
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11101128B1 (en) * 2020-03-12 2021-08-24 Applied Materials, Inc. Methods for gapfill in substrates
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
US11655537B2 (en) 2020-10-26 2023-05-23 Applied Materials, Inc. HDP sacrificial carbon gapfill
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN112366205B (zh) * 2020-11-09 2021-10-22 长江存储科技有限责任公司 一种半导体器件及其制备方法
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN112542464B (zh) * 2020-12-09 2023-07-04 长江存储科技有限责任公司 一种三维存储器的制造方法
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
CN113506721A (zh) * 2021-06-25 2021-10-15 上海华虹宏力半导体制造有限公司 非晶硅薄膜形成方法
US11817400B2 (en) 2021-07-15 2023-11-14 Psiquantum, Corp. Barium titanate films having reduced interfacial strain
US20230065234A1 (en) * 2021-08-27 2023-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structures of semiconductor devices
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11901195B2 (en) * 2021-10-22 2024-02-13 Applied Materials, Inc. Methods, systems, and apparatus for conducting a radical treatment operation prior to conducting an annealing operation

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH077077A (ja) * 1992-12-10 1995-01-10 Samsung Electron Co Ltd 半導体装置及びその製造方法
JPH0878406A (ja) * 1994-09-08 1996-03-22 Sony Corp 酸化膜の成膜方法
JPH09260484A (ja) * 1996-03-25 1997-10-03 Toshiba Corp 半導体装置の製造方法
JPH1154504A (ja) * 1997-08-04 1999-02-26 Sony Corp 積層絶縁体膜の形成方法およびこれを用いた半導体装置
JPH11251313A (ja) * 1998-02-27 1999-09-17 Handotai Process Kenkyusho:Kk 下地表面改質方法及び半導体装置の製造方法
JP2002033314A (ja) * 2000-02-10 2002-01-31 Applied Materials Inc Pecvdキャッピングモジュールを含む低誘電率誘電体処理のための方法及び一体型装置
JP2003526197A (ja) * 1998-08-27 2003-09-02 アライドシグナル インコーポレイテッド シランをベースとするナノポーラスシリカ薄膜
JP2006111742A (ja) * 2004-10-15 2006-04-27 Jsr Corp 表面疎水化用組成物、表面疎水化方法、半導体装置およびその製造方法
JP2006114718A (ja) * 2004-10-15 2006-04-27 Jsr Corp 表面疎水化用組成物、表面疎水化方法、半導体装置およびその製造方法

Family Cites Families (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2001A (en) * 1841-03-12 Sawmill
US2007A (en) * 1841-03-16 Improvement in the mode of harvesting grain
US2003A (en) * 1841-03-12 Improvement in horizontal windivhlls
US4147571A (en) * 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
JP2763104B2 (ja) * 1988-03-16 1998-06-11 株式会社東芝 シリコン酸化膜の形成方法
JPH03257182A (ja) * 1990-03-07 1991-11-15 Hitachi Ltd 表面加工装置
US5016332A (en) * 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5436172A (en) * 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5426076A (en) * 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization
JPH05304147A (ja) * 1992-04-27 1993-11-16 Fujitsu Ltd 半導体装置の製造方法
JPH06326089A (ja) * 1993-05-12 1994-11-25 Rohm Co Ltd 素子分離構造の形成方法
JP3165324B2 (ja) * 1994-04-13 2001-05-14 株式会社半導体エネルギー研究所 半導体装置の作製方法
US5587014A (en) * 1993-12-22 1996-12-24 Sumitomo Chemical Company, Limited Method for manufacturing group III-V compound semiconductor crystals
US5679152A (en) * 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
US5494859A (en) * 1994-02-04 1996-02-27 Lsi Logic Corporation Low dielectric constant insulation layer for integrated circuit structure and method of making same
JPH0897277A (ja) * 1994-09-29 1996-04-12 Toshiba Corp 半導体装置の製造方法
US5558717A (en) * 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5873781A (en) * 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US6090723A (en) * 1997-02-10 2000-07-18 Micron Technology, Inc. Conditioning of dielectric materials
US5937308A (en) * 1997-03-26 1999-08-10 Advanced Micro Devices, Inc. Semiconductor trench isolation structure formed substantially within a single chamber
US6024044A (en) * 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6009830A (en) * 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
JPH11219950A (ja) * 1998-02-03 1999-08-10 Hitachi Ltd 半導体集積回路の製造方法並びにその製造装置
JP3080061B2 (ja) * 1998-03-19 2000-08-21 日本電気株式会社 半導体装置の素子分離領域の形成方法
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6509283B1 (en) * 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
US6302964B1 (en) * 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6406677B1 (en) * 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6197658B1 (en) 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6290774B1 (en) * 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US6383954B1 (en) * 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
JP2001144325A (ja) * 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
FI118804B (fi) * 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6461980B1 (en) * 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
NL1014274C2 (nl) * 2000-02-03 2001-08-16 Tele Atlas Bv Stelsel voor het beveiligen van op een datadrager aanwezige data.
US6387207B1 (en) * 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6614181B1 (en) * 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US20020060322A1 (en) * 2000-11-20 2002-05-23 Hiroshi Tanabe Thin film transistor having high mobility and high on-current and method for manufacturing the same
DE10063688A1 (de) * 2000-12-20 2002-07-18 Infineon Technologies Ag Schaltungsanordnung zur Ansteuerung einer programmierbaren Verbindung
US6660662B2 (en) 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
US6447651B1 (en) * 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
EP1373595A1 (en) * 2001-03-23 2004-01-02 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films
US6528332B2 (en) * 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6780499B2 (en) * 2001-05-03 2004-08-24 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6548416B2 (en) * 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
WO2003015129A2 (en) * 2001-08-06 2003-02-20 Advanced Technology Material, Inc. Low-k dielectric thin films and chemical vapor deposition method of making same
US6756085B2 (en) * 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6770521B2 (en) * 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6794290B1 (en) * 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
NL1019781C2 (nl) 2002-01-18 2003-07-21 Tno Deklaag alsmede werkwijzen en inrichtingen voor de vervaardiging daarvan.
US7175713B2 (en) 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US7307273B2 (en) * 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
KR20040001224A (ko) * 2002-06-27 2004-01-07 주식회사 하이닉스반도체 반도체 소자의 소자분리막 제조방법
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6828211B2 (en) * 2002-10-01 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US7080528B2 (en) * 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
JP3668222B2 (ja) * 2002-11-07 2005-07-06 株式会社東芝 半導体装置の製造方法
US6900067B2 (en) 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7205248B2 (en) * 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6884685B2 (en) * 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7084076B2 (en) * 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US6867086B1 (en) * 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
US6830624B2 (en) * 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US7361991B2 (en) * 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
JP4285184B2 (ja) * 2003-10-14 2009-06-24 東京エレクトロン株式会社 成膜方法及び成膜装置
WO2005078784A1 (ja) 2004-02-17 2005-08-25 Toagosei Co., Ltd. シリコン酸化膜の製造方法
KR100576828B1 (ko) 2004-03-24 2006-05-10 삼성전자주식회사 실리콘싸이오할라이드를 이용한 실리콘산화 막 형성방법
US7109114B2 (en) * 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
US7642171B2 (en) * 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
JP4791723B2 (ja) * 2004-10-18 2011-10-12 株式会社東芝 半導体装置及びその製造方法
US7148155B1 (en) * 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
JP4860953B2 (ja) * 2005-07-08 2012-01-25 富士通株式会社 シリカ系被膜形成用材料、シリカ系被膜及びその製造方法、多層配線及びその製造方法、並びに、半導体装置及びその製造方法
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
JP2007134492A (ja) * 2005-11-10 2007-05-31 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
EP2024532A4 (en) 2006-05-30 2014-08-06 Applied Materials Inc HIGH QUALITY SILICON DIOXIDE VAPOR PHASE CHEMICAL DEPOSITION FROM A PRECURSOR CONTAINING SILICON AND ATOMIC OXYGEN
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH077077A (ja) * 1992-12-10 1995-01-10 Samsung Electron Co Ltd 半導体装置及びその製造方法
JPH0878406A (ja) * 1994-09-08 1996-03-22 Sony Corp 酸化膜の成膜方法
JPH09260484A (ja) * 1996-03-25 1997-10-03 Toshiba Corp 半導体装置の製造方法
JPH1154504A (ja) * 1997-08-04 1999-02-26 Sony Corp 積層絶縁体膜の形成方法およびこれを用いた半導体装置
JPH11251313A (ja) * 1998-02-27 1999-09-17 Handotai Process Kenkyusho:Kk 下地表面改質方法及び半導体装置の製造方法
JP2003526197A (ja) * 1998-08-27 2003-09-02 アライドシグナル インコーポレイテッド シランをベースとするナノポーラスシリカ薄膜
JP2002033314A (ja) * 2000-02-10 2002-01-31 Applied Materials Inc Pecvdキャッピングモジュールを含む低誘電率誘電体処理のための方法及び一体型装置
JP2006111742A (ja) * 2004-10-15 2006-04-27 Jsr Corp 表面疎水化用組成物、表面疎水化方法、半導体装置およびその製造方法
JP2006114718A (ja) * 2004-10-15 2006-04-27 Jsr Corp 表面疎水化用組成物、表面疎水化方法、半導体装置およびその製造方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2020517100A (ja) * 2017-04-07 2020-06-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 反応性アニールを使用する間隙充填
JP7118512B2 (ja) 2017-04-07 2022-08-16 アプライド マテリアルズ インコーポレイテッド 反応性アニールを使用する間隙充填
CN109326553A (zh) * 2018-12-05 2019-02-12 德淮半导体有限公司 沟槽隔离结构的形成方法、化学气相沉积工艺

Also Published As

Publication number Publication date
CN101425461B (zh) 2010-08-25
CN101425461A (zh) 2009-05-06
TW200933739A (en) 2009-08-01
KR101019768B1 (ko) 2011-03-04
EP2053640A3 (en) 2012-10-24
US20090104789A1 (en) 2009-04-23
US7541297B2 (en) 2009-06-02
JP2009152551A (ja) 2009-07-09
SG152169A1 (en) 2009-05-29
EP2053640A2 (en) 2009-04-29
TWI351061B (en) 2011-10-21
KR20090040851A (ko) 2009-04-27

Similar Documents

Publication Publication Date Title
JP2013239752A (ja) ボイドフリーギャップ充填に対する誘電体膜品質を向上させる方法及びシステム
KR101042788B1 (ko) 원격 플라즈마 cvd에 의한 디실란 전구체로부터의 고품질 실리콘 산화물 막의 형성
US8871656B2 (en) Flowable films using alternative silicon precursors
US8232176B2 (en) Dielectric deposition and etch back processes for bottom up gapfill
US8728958B2 (en) Gap fill integration
US7803722B2 (en) Methods for forming a dielectric layer within trenches
US7947551B1 (en) Method of forming a shallow trench isolation structure
JP5225268B2 (ja) 二酸化シリコンの膜質を高める新規な堆積プラズマ硬化サイクルプロセス
JP2012504867A (ja) 窒化ケイ素系膜又は炭化ケイ素系膜を形成する方法
JP2013521650A (ja) ラジカル成分cvdによる共形層
JP2009539268A (ja) シリコン含有前駆物質と原子酸素を用いた高品質流動状二酸化シリコンの化学気相堆積
CN101473426A (zh) 用于从下向上填充间隙的介电材料沉积与回蚀方法
KR20090002973A (ko) 절연막 형성방법, 이 방법으로 형성된 절연막 및 이 방법을이용한 반도체 소자의 갭-필 방법
CN117612995A (zh) 衬底处理方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140612

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140715

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20151006