JP2008306182A - 無機シラザンベース絶縁膜を形成する方法 - Google Patents
無機シラザンベース絶縁膜を形成する方法 Download PDFInfo
- Publication number
- JP2008306182A JP2008306182A JP2008137995A JP2008137995A JP2008306182A JP 2008306182 A JP2008306182 A JP 2008306182A JP 2008137995 A JP2008137995 A JP 2008137995A JP 2008137995 A JP2008137995 A JP 2008137995A JP 2008306182 A JP2008306182 A JP 2008306182A
- Authority
- JP
- Japan
- Prior art keywords
- film
- gas
- heat treatment
- silazane
- introducing
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02321—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
- H01L21/02323—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
- H01L21/02326—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/34—Nitrides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/34—Nitrides
- C23C16/345—Silicon nitride
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02164—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/0217—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02211—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02337—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02318—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
- H01L21/02345—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
- H01L21/02348—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Computer Hardware Design (AREA)
- Manufacturing & Machinery (AREA)
- General Physics & Mathematics (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- General Chemical & Material Sciences (AREA)
- Mechanical Engineering (AREA)
- Materials Engineering (AREA)
- Inorganic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Formation Of Insulating Films (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
【課題】埋め込み特性に優れ、かつ、膜の破壊及び剥離を防止する絶縁膜を形成する方法を与える。
【解決手段】無機シラザンベース絶縁膜を形成する方法が与えられる。当該方法は、Si及びHから構成されるガス並びにN及びHから選択的に構成されるガスを被処理体が配置される反応チャンバ内に導入する工程と、被処理体の温度を−50℃から50℃に制御する工程と、無機シラザン結合を含むSi、N及びHにより構成される膜をプラズマ反応により堆積する工程を含む。
【選択図】図1
【解決手段】無機シラザンベース絶縁膜を形成する方法が与えられる。当該方法は、Si及びHから構成されるガス並びにN及びHから選択的に構成されるガスを被処理体が配置される反応チャンバ内に導入する工程と、被処理体の温度を−50℃から50℃に制御する工程と、無機シラザン結合を含むSi、N及びHにより構成される膜をプラズマ反応により堆積する工程を含む。
【選択図】図1
Description
本発明は、概して、半導体技術に関し、特に、半導体基板の配線構造用の良好な埋め込みまたは充填特性を有するシリコン系絶縁膜に関する。
プラズマ化学気相成長法(プラズマCVD法)において、半導体基板上への膜の堆積は、1から10Torrの雰囲気で、0から350℃に予熱した抵抗加熱ヒータ上に、被処理体である半導体基板を載置することにより実行される。このヒータは反応ガスを噴射するシャワープレートに対向するようにして配置されている。13.56MHzから60MHzの高周波電力が該シャワープレートに100から4000Wで印加されると、ヒータとシャワープレートとの間に高周波放電が生じ、それによりプラズマが生成される。プラズマCVD法は配線絶縁膜、パッシベーション膜、及び反射防止膜などのさまざまな種類の薄膜を堆積するために使用される。高いレベルの表面拡散を達成することは、平行平板型のプラズマCVDでは困難であるため、埋め込み特性を有する酸化膜等を形成するのに従来はマイクロ波を使った高密度プラズマCVDが使用されてきた。この技術は250nmのデバイスノードの時代から使用されてきたものである。この装置の特徴のひとつは、膜を形成するだけではなく、膜のエッチングも同時に行うことである。これは、装置が直径のより小さい穴に適応可能であることを意味している。65nmから90nmのデバイスノードを有する現在の製品では、処理方法の主流は、成膜及びエッチバックを組み合わせたものである。この方法が使用される代表的な処理として、STI(シャロー・トレンチ・アイソレーション)、及び配線処理での上層処理などがある。
しかし、膜形成とエッチバックの組み合わせは60nm及びそれ以下のデバイスノードには適さず、超微細回路へと加速するトレンドに従い、十分な埋め込み特性を有する絶縁膜が必要とされている。
流動性を有する反応物が、低温サセプタを使ってシリコン基板上に形成される方法(フローフィル処理またはフローワブル処理と呼ばれる液体層形成技術)が周知である。これらの方法の多くは、及び、O3または強い酸化力を有する他の物質とSiH4との混合、及び、シロキサン反応を使用する。しかし、これらいずれかの方法で埋め込まれる酸化膜は、埋め込まれた領域が疎となり、かつ、応力の変化により膜が剥がれまたは破壊するという問題がある。この問題を引き起こすひとつの理由は、材料に含まれる水素基と酸素基による水の生成にあり、それはアニール後の膜の収縮係数を有意に増加させる。また、膜形成後のアニールで、水が実質的に除去された場合、成膜処理における水の生成によりボイドが形成され、それがまた膜が疎となる傾向を助長させる。
反応ガスが酸素及び水素を含む場合、プラズマ反応の結果として水が生成される。生成された水及びSiを含むオリゴマーは、冷却されたウエハの表面に付着する。流動性を有するこの付着した液体は、表面張力の効果により成膜処理の初期段階での微細トレンチ構造及び穴の内部に流れ込む。それにより、微細構造の底において、水含有率が高くかつ流動性が高い生成物が生成されかつ蓄積される。水含有率の高い材料はアニールされると密度が減少するため、上記した現象は微細構造の底において膜の低密度化を助長させる。
微細構造中に膜を埋め込むことができる処理として、本発明のひとつの態様は、SiH4またはSi2H6のような材料ガスと、NH3またはN2のような窒素添加ガスに基づいてシリコン基板上に水を含まない液体シラザンを形成する。本発明のひとつの態様において、サセプタ温度は−50℃から50℃の範囲に制御され、プラズマCVD法によりシリコン基板上にシラザンを形成するために、SiH4またはSi2H6のような材料ガス及びNH3またはN2のような窒素添加ガスが添加される。低いサセプタ温度は流動性を保証し、表面張力によりトレンチ及び穴を有するパターンに膜を埋め込むのが可能となる。また、反応ガスは酸素を含まず、これは生成物が水を含まないことを意味する。
本発明のひとつの態様において、酸化ガス雰囲気中でUV照射またはアニールが実行され、膜収縮係数の小さい高密度の酸化膜を形成することが可能となる。上記態様において、酸化膜を形成するべく、窒素基は、アニール中に酸素基と置換される。生成された膜は水分を含まないため、その密度及び品質が低下することはない。
本発明のひとつの態様において、CxHyを添加することによりシラザンが解離して、流動性が与えられ、それにより、配線幅に関わらず膜の埋め込みが可能となる。本発明のひとつの態様において、生成された膜は、膜中の窒素基を酸素基と置換するべく、酸化ガス雰囲気中で450℃またはそれ以上でUVキュアまたは熱処理される。その結果、高密度の酸化膜が達成される。熱処理中に放出される物質はシラザンの溶液中で触媒として機能したCxHyの残留物のみであり、したがって、生成された膜はSi-Oと炭化水素により構成された任意の流体材料と異なる収縮係数を有する。結果として、本発明のひとつの態様において、膜収縮係数は従来の方法に比べ約10%から約30%も有意に減少するよう改善することができる。上記方法に従って酸化膜を形成する方法は、STI(シャロー・トレンチ・アイソレーション)またはPMD(プリメタル絶縁)膜などの埋め込み酸化膜に効果的に適用することが可能である。
SixHy及びNxHyを使ったシラザン膜の形成と同等の機能を与える2つの方法がある。ひとつは、SiaNbCdHe(ここで、a、b、d、eは任意の整数)を化合することにより流動性を有するシラザン膜を形成する方法であり、もうひとつは、SiaNbCdHe(ここで、a、b、d、eは任意の整数)を使ってシラザン膜を形成し、その後形成された膜を炭化水素ガス中で解離することにより流動性を与える方法である。この2つの方法は、SixHy及びNxHyを使ってシラザン膜を形成し、かつ、炭化水素ガスを使って流動性を与える上記アプローチにより得られるものと同等の結果を得ることができる。参考までに、これらの方法は熱処理が施されると純粋な酸化膜を得ることができる。
本発明及び従来技術に対する利点を要約する目的で、本発明の目的及び利点のいくつかがここに開示される。もちろん、本発明の特定の実施形態に従って、これらの目的または利点のすべてが必ずしも達成されるものではないことは言うまでもない。
よって、ここに教示または示唆される他の目的または利点を必ずしも達成することなくここに教示されるような利点を達成しまたは最適化する方法で本発明が実施または実行され得ることは当業者の知るところである。
本発明の他の態様、特徴及び利点は以下の好適実施形態の詳細な説明から明らかとなる。
上記のように、本発明はさまざまな実施形態を含む。ひとつの実施形態において、本発明は、絶縁膜を形成する方法を与える。当該方法は、(i)Si及びHにより構成されるガス並びにN及び付加的にHにより構成されるガスを被処理体が載置される反応チャンバ内に導入する工程と、(ii)被処理体の温度を−50℃から50℃の温度に制御する工程と、(iii)Si、N及びHを含む無機シラザン結合により構成される無機シラザンベース膜をプラズマ反応により堆積する工程とを備える。当該膜は配線構造中で酸化絶縁膜用の前駆体膜として、シリコン窒化膜用の前駆体膜として、またはリアクタ内部でサセプタの表面またはその他の表面を被覆するためのプレコート膜として使用される。
さらに上記実施形態は、これに限定しないが、以下の実施形態を含む。
ひとつの実施形態において、Si及びHにより構成されるガスはSiH4またはSi2H6である。ひとつの実施形態において、N及び付加的なHにより構成されるガスはNH3若しくはN2またはこれらの組み合わせである。ひとつの実施形態において、ガス導入工程において、反応チャンバ内には酸素供給ガスが導入されない。ひとつの実施形態において、ガス導入工程はさらに反応チャンバ内に不活性ガスを導入する工程を含む。
ひとつの実施形態において、当該方法はさらに、無機シラザンベース膜を被処理体上に堆積する前に、同時に、またはその後にC、H及び付加的なOにより構成される添加ガスを反応チャンバ内に導入する工程を含む。当該添加ガスを添加することにより、無機シラザンベース材料の流動性または粘性が減少し、それにより材料の埋め込みまたは充填特性が改善される。ひとつの実施形態において、添加ガスはヘキサンであってもよい。
ひとつの実施形態において、被処理体は反応チャンバ内に配置されるサセプタ上に載置された半導体基板である。ひとつの実施形態において、該基板は凹部を含む不規則面を含む。ひとつの実施形態において、不規則面の凹部は穴または溝を有し、堆積工程は無機シラザンベース膜により穴または溝を埋め込むよう、不規則面上で実行される。
ひとつの実施形態において、当該方法はさらに、膜中のNをOと置換するべく、酸素供給ガスを熱処理チャンバ内に導入しながら、熱処理チャンバ内で無機シラザンベース膜を有する基板を熱処理する工程を含む。ひとつの実施形態において、酸素供給ガスは、O2、CO2、及び/またはN2Oである。
ひとつの実施形態において、当該方法はさらに、酸素供給ガスを熱処理チャンバ内に導入することなく、熱処理チャンバ内で無機シラザンベース膜を有する基板を熱処理し、それにより当該膜からシリコン窒化膜を形成する工程を含む。
ひとつの実施形態において、熱処理工程は450℃以下の温度でのUVキュアリングにより実行されてもよい。ひとつの実施形態において、熱処理工程は450℃またはそれ以上の温度での加熱アニールにより実行されてもよい。
ひとつの実施形態において、ガス導入工程は、N及び付加的にHにより構成されるガスに対するSi及びHにより構成されるガスの流量比を2またはそれ以上に制御する工程を含む。
ひとつの実施形態において、本発明は配線構造を形成するための方法を与える。当該方法は、(i)基板中に配線用の3次元構造を形成する工程と、(ii)上記したいずれかの方法を使って3次元構造の表面に絶縁層を形成する工程とを備える。
ひとつの実施形態において、当該方法はさらに絶縁膜をウエットエッチングする工程を含む。ひとつの実施形態において、3次元構造を形成する工程は、配線層としてアルミニウム、タングステンまたはタングステンシリコンの層を形成し、その層を配線パターンにエッチングする工程を含む。
本発明のひとつの実施形態で使用される成膜条件(バルク膜形成条件)のセットの一例を以下に示す。これらの条件は任意に組み合わせて使用でき、本発明はこれらの条件に限定されない。
1)膜形成温度(サセプタ温度):−50℃から50℃、好適には−10℃から5℃
2)材料ガス:SiH4及び/またはSi2H6
3)材料ガス流量:1から350sccm、好適には5から100sccm
4)添加ガス:NH3及び/またはN2(窒素添加ガスが使用されるが、成膜処理において水を生成するため酸素原子を含むガスは使用されない)
5)添加ガス流量:10から700sccm、好適には50から300sccm
6)キャリアガス:He、Ar、Kr及び/またはXe
7)キャリアガス流量:100から2000sccm、好適には200から1000sccm
8)圧力:133から800Pa、好適には133から533Pa
9)RF電力:50から2500W、好適には100から600W
10)RF周波数:13.56MHzから60MHz、好適には13.56MHzから27.12MHz
堆積速度を小さく制御する場合には、比較的低いRF電力が有効である。ひとつの実施形態において、低周波RF電力は高周波RF電力の1%から50%(5%、10%、15%、20%、30%、40%及びこれら任意の2数間の値を含む)である。低周波RF電力は2MHzまたはそれ以下(例えば、400kHz、430kHz)の周波数を有する。
堆積速度を小さく制御する場合には、比較的低いRF電力が有効である。ひとつの実施形態において、低周波RF電力は高周波RF電力の1%から50%(5%、10%、15%、20%、30%、40%及びこれら任意の2数間の値を含む)である。低周波RF電力は2MHzまたはそれ以下(例えば、400kHz、430kHz)の周波数を有する。
11)電極間隔:10から30mm、好適には16から26mm
本発明のひとつの実施形態において使用される熱処理条件のセットの一例を以下に示す。これらの条件は任意に組み合わせて使用することができ、本発明はこれらの条件に限定されない。
本発明のひとつの実施形態において使用される熱処理条件のセットの一例を以下に示す。これらの条件は任意に組み合わせて使用することができ、本発明はこれらの条件に限定されない。
12)熱処理温度:200から1000℃、好適には800から900℃
13)熱処理手段:熱処理温度が450℃以下である場合にはUV処理(図2参照)、熱処理温度が450℃またはそれ以上である場合には加熱アニール(図4参照)。基本的に、熱処理温度は特定の応用に応じて設定される。STIプロセスは高密度膜を要求しかつそれが基板処理であるため高温での熱処理が可能である。一方、ゲート上で実行されるプロセス、または形成された膜が犠牲膜として使用されるような他の応用においては低い処理温度が使用される。
14)処理時間:30から120分、好適には60から90分。特定の処理時間は膜形成の重要なパラメータであるSiH4及びNH3の流量比に応じて変化するが、デバイス他の熱履歴を考慮して適切な温度が決定される。本発明のひとつの実施形態において、特定の温度はデバイス構造に応じて変わるが、温度が800℃から950℃の範囲にあるとき処理時間は約1時間から1時間半である。
15)その他の導入ガス:酸化を実行するべきなら、O2、O3、CO2またはN2O。熱処理方法は形成されるべき最終的な膜の種類に応じて変わる。基本的に、バルク膜は酸素基を含まないシラザン膜であり、続く熱酸化処理によりSi-NがSi-Oに変換されれば酸化膜が形成される。一方、シラザン膜が、膜を酸化させずに、上記6)で特定したいずれかのガスのような不活性ガスを使って熱処理されれば、シリコン窒化膜が形成される。
16)導入されるガス流量:200sccmから2000sccm、好適には、1000から1500sccm
17)圧力:133から800Pa、好適には399から733Pa
本発明のひとつの実施形態で形成される膜の膜特性のセットの例を以下に示す。これらの特性は任意の組み合わせで現れてもよく、本発明はこれらの特性に必ずしも限定されない。
本発明のひとつの実施形態で形成される膜の膜特性のセットの例を以下に示す。これらの特性は任意の組み合わせで現れてもよく、本発明はこれらの特性に必ずしも限定されない。
18)膜収縮係数:20%以下、好適には15%またはそれ以下、酸化膜が形成されれば10%またはそれ以下が好ましい。熱処理中、窒素基が膜から除去される瞬間に酸素基が膜中に進入し、SiO結合が形成される。これにより膜から除去される物質が減少するため、膜の収縮係数は小さくなり、本発明のひとつの実施形態において特定的に5から10%の範囲まで収縮係数が減少する。
19)誘電率:3.85から4.1、好適には3.9から4.0
20)リーク電流(A/cm2):2MVで、−9乗レベル、好適には−10乗レベル、より好適には2−10レベルまたはそれ以下
21)RI(屈折率):1.45から1.53、好適には1.46から1.5
22)膜密度:2.0から2.3g/cm3、好適には2.1から2.2g/cm3
23)エッチング速度(ブランケット):30から90nm/分、好適には30から50nm/分。エッチング速度(パターン):ブランケットエッチング速度の3倍以内、好適にはブランケットエッチング速度の2倍以内。
本発明のひとつの実施形態において、窒素添加ガスの流量に対する材料ガスの流量の比率が2倍またはそれ以上(3倍またはそれ以上、4倍またはそれ以上、または10倍またはそれ以下)の膜形成パラメータを使って、配線幅が30から100nmのSTI構造の不規則パターン上に、アニール後に、2.1g/cm3の膜密度を有する高密度膜が形成されることが確認された。アニール後には収縮係数はほぼゼロとなるため、シロキサン反応等を使った処理の場合と同様に膜は20から30%だけしか収縮しないので、膜の破壊またはベースからの剥離のような問題は生じない。本発明の他の実施形態において、材料ガスの流量は窒素添加ガスの流量より大きくするのが好ましい。しかし、ある状況において、窒素添加ガス流量は材料ガスの流量より大きくされ、その場合比率は、1:10から10:1の範囲内に調節される。
SiNxHyバルク膜の形成で使用されるパラメータに関して、本発明のひとつの実施形態において、SiH4及びNH3の流量は最も重要であり、上記したようにSi含有量も重要である。基本的に、Si-NからSi-Oへの変化は高温での熱処理の結果として生じるが、酸化膜の異なる構成がFT-IR波形により確認された。特に、SiH4流量が大きい場合、膜中のSi含有量は大きく、膜密度は増加する傾向にある。本発明のひとつの実施形態において、窒素供給ガスに対するシリコン供給ガスの流量比は2:1から5:1の範囲にある。一方、NH3流量がより大きいと、膜が高温で熱処理された後でさえ、少量ではあるが膜密度は小さくなり、Nはそのまま残る。上記に基づき、これらのファクタを考慮することにより、バルク膜の形成に要求される膜特性と一致させることが可能となる。シラザンバルク膜を形成する際、FT-IR波形及びRI結果の考察から、SiH4流量はNH3流量より大きく設定するのが好ましい。この最大の理由は、Si-O構造を示すピークが既存のSi-O膜の波形と類似しているからである。SiO組成は、NH3流量がより大きい場合でも達成可能である。
シロキサン反応が単独で実行される場合、膜が不規則パターン上に形成される場合に膜中に生成される水のアニール処理による除去のために、当該膜が疎になる傾向がある。不規則パターンに対して、プラズマ発振後すぐに形成される弱い膜は表面張力により構造中に進入する。配線幅が減少すれば、不規則パターン中に進入する初期膜の部分の割合は、配線幅と表面張力との間の関係により増加し、結果として、膜はさらに弱くなる。したがって、シロキサンを使用する方法は高い膜収縮係数を伴い、膜の破壊及び剥離のような問題がしばしば生じる。しかし、これらの現象は、不規則パターンの凹部の底において形成される膜に対してのみ生じ、埋め込まれた膜の突起部の頂部及びその深さの1/2以上の領域には高密度の膜が形成される。しかし、全体として、初期膜の状態が考慮される限り、シロキサン反応は埋め込み膜の形成に適していない。
例えば、シラザンとシロキサンとのラミネート構造を採用してもよく、この場合、1から4のシロキサン層に対し、2から8のシラザン層の膜厚比率が与えられる。この特定の比率は膜が埋め込まれるSTI構造の深さに依存して変化する(例えば、ここに参考文献として組み込む米国特許公開第2006−0228866号、米国特許第6403486号、及び米国特許第6835664号を参照)。本発明のひとつの実施形態において、例えば、シロキサン層は、ここに参考文献として組み込む米国特許第6455445号、第6818570号、及び6740602号、及び同一譲受人所有の米国特許出願第11/465571号に開示されたいずれかの方法を使って形成することができる。
上記に基づき、成膜時にH2Oは生成されないということが本質的である。本発明のひとつの実施形態において、成膜時にH2Oの生成を防止するべく、SiH4及びNH3を使ってSiNxHyが形成される。本発明のひとつの実施形態において、SiNxHy自体は流動性を有しないため、SiNxHyを解離しそれにより流動性を与えるよう、成膜処理の前後または最中に、CxHyガスが添加される。液体層が形成される際にウエハ外周部への堆積を防止するために、ここに参考文献として組み込む同一譲受人所有の米国特許出願第11/227525号、及び11/228152号に開示されるいずれかの方法に類似の方法を使って堆積防止マスクによりウエハの外周部を保護することも可能である。
シラザンの形成後に流動性を与えることが本質的でありかつ重要であれば、このような流動性は、例えば以下に示すいずれかの方法により保証される。
1)シラザンを形成する前にCxHyを使って液体層を形成する。その後、シラザンを解離するべく液体層の上にシラザン層を形成する。本発明のひとつの実施形態において、標準条件は、約100nmのCxHy液体層が500nmのシラザンバルク膜に関してシリコン基板上に堆積される。
2)成膜中にシラザンを解離するために、シラザンが形成される際に添加ガスとしてCxHyを添加する。CxHyガス流量は約10から1000sccm、好適には約100から300sccmである。本発明のひとつの実施形態において、不活性ガス(シラザン膜が形成された時に使用されたものと同じガス)が約100から2000sccmだけ供給され、好適には約200から1000sccmだけ供給される。他の条件はシラザン膜を形成するための条件に従って適切となるように設定される。
3)シラザンが形成された後に当該シラザンを解離するためにCxHyガスを添加する。本発明のひとつの実施形態において、流量は、上記2)で特定した範囲と一致する。CxHyガスの一部は解離するが、ガスの大部分は触媒として機能し、よって最終的に熱処理の結果放出される。
CxHyガスは、ヘキサン、メシチレン、キシレン等であってもよい。いずれのガスが使用されるかに関わらず、CxHyガスは、膜の形成後すぐに膜中で炭素として残る。しかし、膜中のこの炭素は、当該膜が続いて高温で熱処理される際に放出される。サセプタ温度が−10℃から0℃の範囲まで低下された場合でさえシラザンの十分な流動性を保証するのは困難であり、よってCxHyを使ってシラザンを解離するのは効果的である。この方法は50nmまたはそれ以下の穴及びSTI構造において、良好な埋め込み特性を与える膜を達成するのが確認された。同様のことは、膜が約50nmの幅で埋め込まれるPMD構造に対しても言える。本発明のひとつの実施形態において、熱処理は、膜中のCxHy溶媒及びSi−NをSi−Oで置換するために、膜が解離した後に熱処理が施される必要がある。本発明のひとつの実施形態において、CxHy溶媒を除去しかつ水の吸収を抑制するために、熱処理は200℃から400℃のサセプタ温度で実行される。その後、完全なSiO2膜を達成するために800から950℃で他の熱処理が実行される。
NH3またはN2とともにSixHyを使ってシラザンバルク膜を形成するための方法に加え、SiaNbCdHe(ここで、a,b,c,dは任意の整数)の液体材料をCxHy及びCxHyOzと組み合わせることにより、流動性を付加することも可能である。他の方法として、SiaNbCdHe(ここで、a,b,c,dは任意の整数)を使ってシラザン膜を形成し、その後膜を埋め込む前にCxHy及びCxHyOzにより流動性を与える方法がある。この場合、熱処理後に純粋な酸化膜が達成される。
熱処理後に大気中で膜組成がどのように変化するかを試験するのにFT−IRを使用すると、膜中に窒素基が残り、当該膜が完全な酸化膜ではないことがわかる。窒素ガスを使って熱処理された場合、シリコン窒化膜が形成される。酸化膜を得るために、酸化ガスがアニール中に導入される必要がある。実際にFT−IRのピークは、酸素ガス等を導入しながら同じ温度で熱処理を施したときに好適な酸化膜組成が達成されることを示している。172nmから260nmの波長のUV光を使ってO2キュアリングした状態で、Si−NからSi−Oへの変化が生じていることも確認された。FT−IRピークに基づき、同じSiO膜が形成された場合でさえ、ピーク強度及び波長は変化している。これは、シラザンバルク膜を形成するのに異なる条件が使用されたためである。本発明のひとつの実施形態において、膜中にはSi−H結合も存在し、熱処理後に取られたFT−IR波形は減少しまたは不完全レベルのピーク強度を示している。上記に基づいて、本発明のひとつの実施形態において、UVキュアリング中に生じる酸化作用により、Si−HはSi−Oにより置換されたということができる。Si−Hの生成はSiH4流量と関連する。
シラザンを解離するのに使用されるCxHy炭化水素ガスもまた、同じ熱処理中に膜から除去される。上記において、熱処理の前後で約10%の低い膜収縮係数を開示するが、Si−O構造に基づく従来の材料により達成される20から30%の収縮係数と比較すると大きな改善である。このように膜収縮係数が改善された理由は、ガス抜きの成分としてCxHy溶媒のみが存在し、Si−NがSi−Oで置換されたからである。
本発明のひとつの実施形態において、達成されたシラザン膜はプレコート膜としても使用される。プレコート膜が0℃で通常の処理で形成される場合、サセプタを構成する下部電極上に膜の代わりに液体層が形成され、よって実用的なプレコート膜を形成することが非常に困難となる。本発明のひとつの実施形態において、達成されるシラザン膜は液体層ではなくバルク膜である。よって、それは、リアクタ内部の上部及び下部電極で発生する材料汚染から電極を保護することが可能なプレコート膜として機能する。また、形成されたプレコート膜は、膜が形成された後にリアクタのプラズマクリーニングによりエッチングされる。シラザンプレコート膜は、サセプタを加熱するプロセスにより形成された膜が示すレベルと同等の強度及び安定性を有するため、このシラザンプレコート膜の実用的な使用には問題がない。付加的に、このシラザンプレコート膜はまた、すべての種類の低温膜形成チャンバ用のプレコート膜として使用可能である点で有意な利点を与える。
参考までに、本発明のひとつの実施形態において、プレコート膜を形成するための条件は基板上に膜を形成するために使用されるものと類似している。この実施形態のひとつの特徴は、成膜処理中にサセプタが低温に制御される場合でさえ、サセプタ上に液体層ではないバルク層が形成され、よって、流動性を有せずかつ良好な機械的強度を提供する膜が形成される点にある。この意味で、低温に制御されたサセプタ上にプレコート膜を堆積した後にサセプタ表面を加熱する必要はない。本発明のひとつの実施形態において、膜が形成された後にするCxHy処理により、または膜形成処理中に(上記2)及び3)で記述した)CxHyを付加することにより、シラザン膜に流動性が付加される。
また、シラザン膜はSTI及びPMDプロセス(例えば、米国特許公開第2005−129932号)に適用可能であるが、これらの処理は基板処理の一部であるため高度の金属汚染にさらされる。さらに、金属汚染の基準は配線パターンが微細化するに従い増加する。これらの点に鑑みて、シラザンベースのプレコート膜の同時使用は大きな利点を与える。金属汚染を防止するための他の方法は、プラズマ放電の本質的なコンポーネントである上部及び下部電極を非金属材料により構成することである。しかし、AlNからのAlがある条件において検出されるような場合、プレコート膜の同時使用によれば、シリコン基板の背面に付着する粒子ばかりでなく金属汚染を抑制することが可能になる。また、基本的に、リアクタのクリーニングは、炭素含有量が増加するに従いより困難となる。CxFy、NF3、及びCVD装置内で通常使用される他の材料がシリコンを除去するために主に付加され、これらは炭素を除去するのには不十分である。概して、炭素膜を除去するためにO2が使用される。しかし、実際には、Si及び炭素の両方を除去するには時間がかかる。この問題を解消するために、リアクタの内壁全体にプレコート膜が形成され、かつ、該プレコート膜の上に炭素が堆積可能となる。その結果、プレコートがエッチングにより除去されると、プレコート膜の上に堆積した炭素膜も除去される。この方法は、炭素クリーニングの効率を増加させる。特定的に、リアクタの内壁に炭素を直接的に堆積するのを防止するために、シラザンバルク膜を解離する際に使用されるCxHyガスを導入することによりプレコート膜を形成することが可能である。この場合、リアクタの内壁を覆うプレコート膜はプラズマクリーニングにより容易に除去可能である。
本発明のひとつの実施形態において、流動性を有する絶縁物質(CxHyガスを有する無機シラザンベース膜)が表面張力により不規則パターンを充填する。絶縁物質により不規則パターンを充填させるために、基板表面上に膜が形成される。この際、ブランケット上に形成される膜は不規則パターンで形成される膜と異なる品質を有する。ひとつの実施形態において、不規則パターンで形成される膜の成長速度はブランケット膜の成長速度の約2倍から4倍である。このため、不規則パターンの内側に形成される膜は疎となる傾向があり、熱が供給された後、ポアと呼ばれる穴が膜の疎領域の周囲に形成される。ひとつの実施形態において、膜成長速度は膜密度に有意に関連し、ブランケット膜の成長速度を100nmまたはそれ以下に制御することは、不規則パターンの酸化膜が疎に形成されるのを防止する。
上記いずれかの方法のひとつの実施形態に従い、不規則面の凹部は穴または溝を有し、穴または溝を絶縁膜で充填するべく堆積工程が不規則面上で実行される。ひとつの実施形態において、不規則面はSiN、SiO、ポリシリコン、Cu、またはシリコンバリアメタルの3次元構造を有し、他の実施形態において、アルミニウム、タングステン、またはタングステンシリコンの3次元構造を有する。上記いずれかの方法のひとつの実施形態において、凹部を有する不規則面は配線用にパターン化された面である。また、ひとつの実施形態において、基板の不規則面の凹部は約1/3から約1/10のアスペクト比を有する。1/3から1/10のような高いアスペクト比(例えば、シリコン基板内に形成されたSTI構造)または100nmまたはそれ以下の配線ピッチ(例えば、Al/低誘電率構造に対して45nmから100nm)に対して、CxHyガスを有する無機シラザンベース膜はボイドを形成することなく、穴または溝を充填することができる。
ひとつの実施形態において、酸化膜の形成に続き、膜の処理が実行される。これらの処理は洗浄等を含むため、ウエットエッチング耐性が重要となる。この観点から、ひとつの実施形態において、ウエットエッチング速度を改善するためにある特性の前駆体が与えられる。評価結果に基づいて、ひとつの実施形態において、無機シラザンベース膜から導出された酸化膜は35から55nm/分のウエットエッチング速度を示し、熱酸化膜に対する40nm/分のウエットエッチング速度に匹敵する。ウエットエッチング速度は、界面活性剤を含む緩衝フッ化水素酸である、Stella Chemifa社製のLAL500を使って評価可能である。
本発明のひとつの実施形態において、絶縁膜は低誘電率膜またはCu層の上に形成されるか、バリア膜としてダマシンプロセスにおけるビア及び/またはトレンチの表面に形成されるか、ドライエッチングにより処理可能なアルミニウム、タングステン、またはタングステンシリコン(または任意の他の配線材料)の3次元構造上に形成される。同一の装置を使って絶縁膜及び低誘電率膜が連続して形成される場合において、パーティクル汚染の問題は解消され、生産性が向上する。
基板は、絶縁膜が充填されるべき凹部から成る配線用のパターン面である不規則面を有する。基板は露出したCu層を有し、その上に絶縁膜が形成される。
上記した実施形態及び態様のすべてにおいて、ひとつの実施形態または態様で使用された任意の構成要件は、置換が容易ではなく、または逆効果でない限り、他の実施形態または態様において交換または付加して使用可能である。
他の態様、特徴及び利点は以下の好適実施形態の詳細な説明から明らかとなる。この好適実施形態は本発明を限定するものではない。
図1は、本発明のひとつの実施形態で使用可能なプラズマCVD装置を概略的に示したものである。プラズマCVD装置は、反応チャンバ1、ガス流入口6、温度を制御するためにチャネル内に冷媒または熱媒が流れるコイルが埋設された温度制御器を具備するサセプタ3(下部電極として機能する)を含む。シャワーヘッド2(上部電極として機能する)はガス流入口のすぐ下に配設されている。シャワーヘッド2はその底面に多くの細孔を有し、そこから半導体基板5に反応ガスを噴射することができる。反応チャンバ1の底面には排気口8が存在する。この排気口8は外部の真空ポンプ(図示せず)に結合され、それにより反応チャンバ1の内部は真空排気される。サセプタ3はシャワーヘッド2と平行かつ対向して配置されている。サセプタ3はその上に半導体基板5を保持し、温度制御器によりそれを加熱または冷却する。ガス吸入口6は反応チャンバ1から絶縁され、外部の高周波電源4と接続されている。他に、サセプタ3が電源4に接続されてもよい。したがって、シャワーヘッド2及びサセプタ3は高周波電極として作用し、半導体基板5の表面近傍にプラズマ反応場が形成される。
ガスは処理ガスを構成するようガス吸入口6の上流において混合されるか、ガスのいくつかがシャワーヘッド2内に別々に導入される。すでに真空排気された反応チャンバ1の内部に配置されるシャワーヘッド2と半導体基板5との間の空間は、単一の周波数または混合した周波数(例えば、13.56MHzから60MHz)を有するRF電力により充電され、当該空間はプラズマ場として機能する。サセプタ3は温度制御器により半導体基板5を連続的に加熱または冷却し、基板5を好適に−50℃から50℃の所定の温度に保持する。シャワーヘッド2の細孔を通じて供給された処理ガスは、所定の時間、半導体基板5の表面近傍のプラズマ場に滞留する。
絶縁膜が基板上に堆積されると、反応チャンバ内部のガスが排気口8を通じて放出され、反応チャンバ内に基板を保持しながら、還元ガス、または還元ガス及び不活性ガスの混合物と入れ替わる。
サセプタ3の温度は、ヒータ(図示せず)及び図3に示すような冷却コンジット23によって制御される。この冷却サセプタはセラミックから成り、RF電極用の金属プレート22の下側部分及び軸部分において冷却コンジット23、23’を具備し、その結果水などの冷媒が循環することができる。温度は金属プレート22の下側部分に与えられた熱電対25により測定される。金属プレートはウエハリフトピン用の穴24を有し、ウエハ支持部21は金属プレート22上に形成される。
続いて、ひとつの実施形態において、図2に示すUVキュア装置を使って、リアクタから取り出された半導体基板に対してキュアリング処理が実行される。UVキュア装置は、処理チャンバ11、UVランプ12、サセプタ13、排気口14、及びガス吸入口15を備える。UVランプ及びサセプタ13は平行に配置され、それぞれに埋設されたヒータにより加熱される。被処理体である半導体基板16はサセプタ13上に載置され、加熱保持される。172nm〜250nmから選択される波長範囲の光がUVランプから放射されると、光は半導体基板16の方向へ照射される。半導体基板が照射されるとき、He、H2、N2、O2、CO2等(膜の種類に応じて)のガスがガス吸入口15を通じて導入される。低誘電率構造から分離したH、O、C等により膜中にポアが形成されるとすぐに、不安定な結合がもはや存在しなくなる。したがって、低誘電率及び高強度を有する膜が得られる。
UVキュア装置として、ここに参考文献として組み込む、通常に譲渡された米国特許出願第11/040863号に開示される装置が使用可能である。
熱処理工程は、UVキュアの代わりに加熱アニールにより実行されてもよい。図4は加熱アニール装置を概略的に示したものである。チャンバ35内には、石英ボート33が設けられ、基板34は石英ボート33の内部に配置される。石英ボート33の内側温度はヒータ32により制御され、ガスはガス吸入口31を通じて内部に導入される。
本発明のひとつの実施形態において、SiH4またはSi2H6のような材料ガス及びNH3またはN2のような窒素添加ガスは、−50℃から50℃の温度に冷却されたサセプタ上に保持されたシリコン基板上でプラズマによる分解反応を生じる。この際、膜はSi、N及びHのみにより構成されている。その後、酸化ガス雰囲気中でアニールが実行される。熱の効果を考慮して、熱処理温度が低ければ、UVキュアを使用する方法が選択される。熱処理温度が450℃を超えれば、加熱アニールが好ましい。特に基板の近傍で実行されるプロセスの場合、後処理として700℃付近の熱が要求される。このように、要求される熱抵抗等を考慮して適当なアニール温度が選択される必要がある。
条件及び/または構造が特定されない本発明の開示において、当業者は本発明の開示を考慮して、通常の技術的手段を用いてこの条件及び/または構造を容易に与えることができる。付加的に、同一の譲受人所有の米国特許出願第11/465751号に開示される条件及び/または構造が本発明の実施形態において使用可能である。
以下に示す実験を行い、その結果を表に示す。これらの実験において、実験装置として図1及び図3に示すプラズマCVD装置を使用した。
基板の直径は200mmであった。絶縁膜の膜厚は500nmに設定された。
絶縁膜は、シリコンウエハ上に形成され、かつ、幅60nmで深さ600nmの穴を含むシリコンウエハ上に形成されたSiNの不規則面に充填された。図2に示すUV照射装置内、または真空圧力から大気圧でアニールを実行することが可能な石英ボートを具備する石英管から成る図4に示す加熱炉内でアニールが実行された。
I.膜形成
<従来例>
以下に示す表1の条件で、基板上に低誘電率膜(シロキサン膜)が形成された。
<従来例>
以下に示す表1の条件で、基板上に低誘電率膜(シロキサン膜)が形成された。
こうして得られたそれぞれの膜が評価され、その結果を以下の表6に示す。ウエットエッチング速度は室温でLAL500(日本のStella-Chemifa社製)の不希釈液を使って評価された。形成された膜中のボイドの存否はX線反射率測定法(XRR)を使って確認された。
<実施例9〜11>
実施例9〜11において、実施例1〜3と同じ方法でシラザンバルク膜が形成され、生成された膜は酸化ガスを導入することなく常圧800℃で熱処理された。熱処理後に膜組成がどのように変化するかがFT-IRを使って試験され、その結果が図5に示されている。この結果は、常圧で熱処理された後でも膜中にまだ窒素が残存しており、よって、生成された膜は完全な膜ではないことを示している。N供給ガスが多ければ(実施例9)、Si-N結合は残るが、多くのSi-O結合も形成されるため、膜組成は酸化膜に近くなる。このことは、常圧で熱処理される場合には、窒素供給ガスが多い環境が好ましいことを示唆している。
実施例9〜11において、実施例1〜3と同じ方法でシラザンバルク膜が形成され、生成された膜は酸化ガスを導入することなく常圧800℃で熱処理された。熱処理後に膜組成がどのように変化するかがFT-IRを使って試験され、その結果が図5に示されている。この結果は、常圧で熱処理された後でも膜中にまだ窒素が残存しており、よって、生成された膜は完全な膜ではないことを示している。N供給ガスが多ければ(実施例9)、Si-N結合は残るが、多くのSi-O結合も形成されるため、膜組成は酸化膜に近くなる。このことは、常圧で熱処理される場合には、窒素供給ガスが多い環境が好ましいことを示唆している。
図6は、実施例1及び2により得られた酸化膜の組成を示すFT-IR図である。酸化膜を得るために、アニール中に酸化ガスを導入する必要がある。したがって、1SLMの酸素が導入されかつ実施例9から11と同じ温度で熱処理が施された実施例1及び2は、FT-IRピークにより示されるような好ましい酸化膜を生成する。波長が172nmから260nmのUV光を使ったO2キュアにより、Si-NからSi-Oへの変更が生じることも確認された。参考までに、同じSiO膜が形成される場合でも、ピーク強度及び波長は変化する。これは、シラザンバルク膜を形成するのに使用される異なる条件のためである。
本発明は上記した実施形態及び以下に示す他のさまざまな実施形態を含む。
1)プラズマCVDにより絶縁膜を形成するための方法であって、−50℃から50℃の範囲に温度調節されたサセプタによりシラザン膜を形成するべく、SxHyにNH3またはN2が混合される、ことを特徴とする方法。付加的に、SiaNbCdHe(ここで、a,b,c,d,eは任意の整数)の液体材料を使ってシラザン膜が形成される。例えば、この液体材料は1,1,3,3テトラメチルジシラザン、ヘキサメチルシクロトリシラザン、オクタメチルシクロテトラシラザン、またはこれらの任意の組み合わせである。
2)項目1)に記載の方法であって、続くUVキュアリングまたは加熱アニール処理にO2、CO2等を導入し、膜中の窒素基を酸素基と置換して、高密度の酸化膜を形成することを特徴とする方法。
3)項目2)に記載の方法であって、形成された膜に施されるアニールの種類は、熱履歴を考慮して選択される、ことを特徴とする方法。
4)項目1)に記載の方法であって、少なくともCαHβOγ(ここでα及びβは1またはそれ以上の自然数であり、γは0を含む任意の自然数)を含む添加ガスが膜形成処理の前後または最中に導入される、ことを特徴とする方法。
5)項目2)に記載の方法であって、熱処理後にシリコン窒化膜が形成されるべきであれば、上記項目2)のように酸化ガスが導入されない、ことを特徴とする方法。
6)項目1)から5)のいずれかに記載の方法であって、疎水性から親水性にベース膜の表面状態を変化させるべく、成膜処理前にプラズマ処理が施される、ことを特徴とする方法。
7)項目1)に記載の方法であって、薄い酸化膜を形成するべく、膜形成処理後に酸化ガス雰囲気中でUVキュアリングまたは加熱アニールが施される、ことを特徴とする方法。
8)項目7)に記載の方法であって、熱処理後に施されるべきアニールの種類は熱履歴を考慮して選択され、その結果、特に、熱処理温度が低いかまたは450℃までであればUVキュアリングが使用され、熱処理温度が450℃またはそれ以上であれば加熱アニールが使用される、ことを特徴とする方法。
9)項目7)または8)に記載の方法であって、堆積したシラザン膜を酸化するべく、膜形成処理後に、酸素原子を含むガスが導入される、ことを特徴とする方法。
10)項目1)から9)のいずれかに記載の方法であって、材料ガスとしてSiH4、Si2H6または他のSixHyガスを使用し、添加ガスとしてNH3、N2または他の窒素添加ガスを単独でまたは組み合わせて使用し、不活性ガスとしてHe、Arまたは他の不活性原子を添加することにより、良好な埋め込み特性を有するシラザン膜が形成される、ことを特徴とする方法。応力制御が要求される場合、液体層が形成される際に酸素含有量を増加させるべくH2が添加され、その結果応力制御が可能となる。
11)項目1)から10)のいずれかに記載の方法であって、材料ガスの流量は1から350sccmの範囲である、ことを特徴とする方法。
12)項目1)から11)のいずれかに記載の方法であって、窒素添加ガスの流量は10から700sccmの範囲であり、かつ、材料ガスの流量の倍以上である、ことを特徴とする方法。
13)項目1)から12)のいずれかに記載の方法であって、13.56MHzから60MHzの範囲の発振周波数のプラズマにより膜が形成される、ことを特徴とする方法。
14)項目1)から13)のいずれかに記載の方法であって、基板は、冷媒がセラミック内部を流れることができるように構成されたセラミック製サセプタ上に載置され、シラザンは該基板上に堆積される、ことを特徴とする方法。
15)項目1)から14)のいずれかに記載の方法であって、サセプタに載置された基板の外周は、シラザンがウエハの斜面上に堆積しないように、3から0.1mmだけ、堆積防止マスクで覆われている、ことを特徴とする方法。
16)項目1)から15)のいずれかに記載の方法であって、基板上に膜を形成するべく、シラザンとシロキサンのラミネート構造がアニールされる、ことを特徴とする方法。
17)項目16)に記載の方法であって、基板上に膜を形成するべく、シラザンが堆積されかつアニールされ、同時にシロキサンも堆積されかつアニールされる、ことを特徴とする方法。
18)項目10)に記載の方法であって、不活性ガスの流量は、20から3000sccmの範囲である、ことを特徴とする方法。
19)項目1)から18)のいずれかに記載の方法であって、応力を制御するためまたは他の理由により、液体層が形成されたときに吸収されるべき水素の量を増加させる必要がある場合にはH2ガスが添加される、ことを特徴とする方法。この場合、流量は10sccmから2000sccmの範囲である。
本発明の思想から離れることなく、さまざまな修正が可能であることは当業者の知るところである。したがって、本発明の形式は例示に過ぎず、本発明の態様を制限するものではない。
Claims (19)
- 絶縁膜を形成する方法であって、
Si及びHから構成される第1のガスを被処理体が配置された反応チャンバ内に導入する工程と、
N及びHから選択的に構成される第2のガスを被処理体が配置された反応チャンバ内に導入する工程と、
前記被処理体の温度を−50℃から50℃の温度に制御する工程と、
無機シラザン結合を含むSi、N及びHにより構成された無機シラザンベース膜をプラズマ反応により前記被処理体上に堆積する工程と、
を備えたことを特徴とする方法。 - 前記無機シラザンベース膜を前記被処理体上に堆積するまで、反応チャンバ内には酸素供給ガスは導入されない、
ことを特徴とする請求項1記載の方法。 - 前記第1のガスは、SiH4またはSi2H6である、
ことを特徴とする請求項1記載の方法。 - 前記第2のガスは、NH3またはN2である、
ことを特徴とする請求項1記載の方法。 - さらに、前記被処理体上に前記無機シラザンベース膜を堆積する前、堆積中、または堆積後に、C、H及びOから選択的に構成される添加ガスを前記反応チャンバ内に導入する工程を含む、
ことを特徴とする請求項1記載の方法。 - 前記添加ガスはヘキサンまたはメシチレンである、
ことを特徴とする請求項5記載の方法。 - 前記被処理体は半導体基板であり、当該半導体基板は前記反応チャンバ内に設置されたサセプタ上に載置されている、
ことを特徴とする請求項5記載の方法。 - 前記半導体基板は、凹部を含む不規則面を有する、
ことを特徴とする請求項7記載の方法。 - さらに、膜中のNをOと置換し、それにより膜を酸化膜に変換するべく、酸素供給ガスを熱処理チャンバ内に導入しながら、熱処理チャンバ内で前記無機シラザンベース膜を有する基板を熱処理する工程を含む、
ことを特徴とする請求項8記載の方法。 - 前記酸素供給ガスは、O2、CO2、及び/またはN2Oである、
ことを特徴とする請求項9記載の方法。 - さらに、前記無機シラザンベース膜からシリコン窒化膜を形成するべく、酸素供給ガスを前記熱処理チャンバ内に導入することなく、熱処理チャンバ内で、前記無機シラザンベース膜を有する前記半導体基板を熱処理する工程を含む、
ことを特徴とする請求項7記載の方法。 - 前記熱処理工程は、UVキュアリング工程を含む、
ことを特徴とする請求項9記載の方法。 - 前記熱処理工程は、450℃またはそれ以上の温度での加熱アニール工程を含む、
ことを特徴とする請求項9記載の方法。 - さらに、不活性ガスを前記反応チャンバ内に導入する工程を含む、
ことを特徴とする請求項1記載の方法。 - さらに、前記第2のガスの流量に対する、前記第1のガスの流量比を2またはそれ以上に制御する工程を含む、
ことを特徴とする請求項1記載の方法。 - 前記不規則面の凹部は穴または溝を有し、堆積された前記無機シラザンベース膜により前記穴または溝が充填される、
ことを特徴とする請求項8記載の方法。 - 配線構造を形成する方法であって、
基板内に配線用の3次元構造を形成する工程と、
請求項1に記載の方法を使って、前記3次元構造の表面に絶縁膜を形成する工程と、
を備えたことを特徴とする方法。 - さらに、前記絶縁膜をウエットエッチングする工程を含む、
ことを特徴とする請求項17記載の方法。 - 前記3次元構造を形成する工程は、配線層となるアルミニウム層、タングステン層、またはタングステンシリコン層を形成する工程と、形成された層を配線パターンにエッチングする工程とを含む、
ことを特徴とする請求項18記載の方法。
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US11/759,159 US7781352B2 (en) | 2007-06-06 | 2007-06-06 | Method for forming inorganic silazane-based dielectric film |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2008306182A true JP2008306182A (ja) | 2008-12-18 |
Family
ID=40096280
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2008137995A Pending JP2008306182A (ja) | 2007-06-06 | 2008-05-27 | 無機シラザンベース絶縁膜を形成する方法 |
Country Status (4)
Country | Link |
---|---|
US (1) | US7781352B2 (ja) |
JP (1) | JP2008306182A (ja) |
KR (1) | KR20080107270A (ja) |
CN (1) | CN101319312A (ja) |
Cited By (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2009111382A (ja) * | 2007-10-22 | 2009-05-21 | Applied Materials Inc | 遠隔プラズマcvdによりジシラン前駆体から高品質シリコン酸化膜を形成する方法 |
JP2009135450A (ja) * | 2007-10-22 | 2009-06-18 | Applied Materials Inc | トレンチ内に誘電層を形成する方法 |
JP2012160647A (ja) * | 2011-02-02 | 2012-08-23 | Taiyo Nippon Sanso Corp | シリコン窒化膜の形成方法 |
JP2013501384A (ja) * | 2009-08-06 | 2013-01-10 | アプライド マテリアルズ インコーポレイテッド | 非炭素流動性cvdプロセスを使用する酸化ケイ素の形成 |
JP2013507003A (ja) * | 2009-10-05 | 2013-02-28 | アプライド マテリアルズ インコーポレイテッド | 平坦化後の高密度化 |
JP2013243375A (ja) * | 2007-10-22 | 2013-12-05 | Applied Materials Inc | 基板上に酸化ケイ素層を形成する方法 |
JP2014512687A (ja) * | 2011-04-20 | 2014-05-22 | アプライド マテリアルズ インコーポレイテッド | 低温酸化ケイ素変換 |
CN104164660A (zh) * | 2014-08-26 | 2014-11-26 | 复旦大学 | 一种低介电常数多孔SiOCNH薄膜及其制备方法 |
JP2017088811A (ja) * | 2015-11-16 | 2017-05-25 | 東海光学株式会社 | 撥水膜付き基材、並びにその製造方法及び製造装置 |
Families Citing this family (335)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8232176B2 (en) | 2006-06-22 | 2012-07-31 | Applied Materials, Inc. | Dielectric deposition and etch back processes for bottom up gapfill |
US7718553B2 (en) * | 2006-09-21 | 2010-05-18 | Asm Japan K.K. | Method for forming insulation film having high density |
US7781352B2 (en) | 2007-06-06 | 2010-08-24 | Asm Japan K.K. | Method for forming inorganic silazane-based dielectric film |
US7651959B2 (en) | 2007-12-03 | 2010-01-26 | Asm Japan K.K. | Method for forming silazane-based dielectric film |
US8003174B2 (en) * | 2007-12-13 | 2011-08-23 | Asm Japan K.K. | Method for forming dielectric film using siloxane-silazane mixture |
US8357435B2 (en) | 2008-05-09 | 2013-01-22 | Applied Materials, Inc. | Flowable dielectric equipment and processes |
US7622369B1 (en) | 2008-05-30 | 2009-11-24 | Asm Japan K.K. | Device isolation technology on semiconductor substrate |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US8765233B2 (en) * | 2008-12-09 | 2014-07-01 | Asm Japan K.K. | Method for forming low-carbon CVD film for filling trenches |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8980382B2 (en) | 2009-12-02 | 2015-03-17 | Applied Materials, Inc. | Oxygen-doping for non-carbon radical-component CVD films |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US8449942B2 (en) * | 2009-11-12 | 2013-05-28 | Applied Materials, Inc. | Methods of curing non-carbon flowable CVD films |
SG181670A1 (en) | 2009-12-30 | 2012-07-30 | Applied Materials Inc | Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio |
US8329262B2 (en) | 2010-01-05 | 2012-12-11 | Applied Materials, Inc. | Dielectric film formation using inert gas excitation |
CN102754193A (zh) | 2010-01-06 | 2012-10-24 | 应用材料公司 | 使用氧化物衬垫的可流动电介质 |
KR101837648B1 (ko) | 2010-01-07 | 2018-04-19 | 어플라이드 머티어리얼스, 인코포레이티드 | 라디칼-컴포넌트 cvd를 위한 인시츄 오존 경화 |
WO2011109148A2 (en) * | 2010-03-05 | 2011-09-09 | Applied Materials, Inc. | Conformal layers by radical-component cvd |
US8765573B2 (en) * | 2010-09-20 | 2014-07-01 | Applied Materials, Inc. | Air gap formation |
US9285168B2 (en) | 2010-10-05 | 2016-03-15 | Applied Materials, Inc. | Module for ozone cure and post-cure moisture treatment |
US8664127B2 (en) | 2010-10-15 | 2014-03-04 | Applied Materials, Inc. | Two silicon-containing precursors for gapfill enhancing dielectric liner |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8450191B2 (en) | 2011-01-24 | 2013-05-28 | Applied Materials, Inc. | Polysilicon films by HDP-CVD |
US8716154B2 (en) | 2011-03-04 | 2014-05-06 | Applied Materials, Inc. | Reduced pattern loading using silicon oxide multi-layers |
US8466073B2 (en) | 2011-06-03 | 2013-06-18 | Applied Materials, Inc. | Capping layer for reduced outgassing |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US9404178B2 (en) | 2011-07-15 | 2016-08-02 | Applied Materials, Inc. | Surface treatment and deposition for reduced outgassing |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US8617989B2 (en) | 2011-09-26 | 2013-12-31 | Applied Materials, Inc. | Liner property improvement |
US8551891B2 (en) | 2011-10-04 | 2013-10-08 | Applied Materials, Inc. | Remote plasma burn-in |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US8796105B2 (en) * | 2012-07-25 | 2014-08-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method and apparatus for preparing polysilazane on a semiconductor wafer |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US8889566B2 (en) | 2012-09-11 | 2014-11-18 | Applied Materials, Inc. | Low cost flowable dielectric films |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US8921235B2 (en) | 2013-03-04 | 2014-12-30 | Applied Materials, Inc. | Controlled air gap formation |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US10343907B2 (en) | 2014-03-28 | 2019-07-09 | Asm Ip Holding B.V. | Method and system for delivering hydrogen peroxide to a semiconductor processing chamber |
US9431238B2 (en) | 2014-06-05 | 2016-08-30 | Asm Ip Holding B.V. | Reactive curing process for semiconductor substrates |
US9412581B2 (en) | 2014-07-16 | 2016-08-09 | Applied Materials, Inc. | Low-K dielectric gapfill by flowable deposition |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
WO2016065219A1 (en) | 2014-10-24 | 2016-04-28 | Air Products And Chemicals, Inc. | Compositions and methods using same for deposition of silicon-containing film |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
KR102235612B1 (ko) | 2015-01-29 | 2021-04-02 | 삼성전자주식회사 | 일-함수 금속을 갖는 반도체 소자 및 그 형성 방법 |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US10381226B2 (en) | 2016-07-27 | 2019-08-13 | Asm Ip Holding B.V. | Method of processing substrate |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102613349B1 (ko) | 2016-08-25 | 2023-12-14 | 에이에스엠 아이피 홀딩 비.브이. | 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법 |
US9847221B1 (en) * | 2016-09-29 | 2017-12-19 | Lam Research Corporation | Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
KR20180070971A (ko) | 2016-12-19 | 2018-06-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
TWI779134B (zh) | 2017-11-27 | 2022-10-01 | 荷蘭商Asm智慧財產控股私人有限公司 | 用於儲存晶圓匣的儲存裝置及批爐總成 |
WO2019103610A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TW202325889A (zh) | 2018-01-19 | 2023-07-01 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
US11482412B2 (en) | 2018-01-19 | 2022-10-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
CN111699278B (zh) | 2018-02-14 | 2023-05-16 | Asm Ip私人控股有限公司 | 通过循环沉积工艺在衬底上沉积含钌膜的方法 |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
KR20190128558A (ko) | 2018-05-08 | 2019-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조 |
TWI816783B (zh) | 2018-05-11 | 2023-10-01 | 荷蘭商Asm 智慧財產控股公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11273432B2 (en) | 2018-05-31 | 2022-03-15 | Arizona Board Of Regents On Behalf Of Arizona State University | Beta-diketiminate manganese catalysts for hydrosilylation, hydroboration, and dehydrogenative pnictogen-silicon and pnictogen-boron bond formation |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11270899B2 (en) | 2018-06-04 | 2022-03-08 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
US11492703B2 (en) | 2018-06-27 | 2022-11-08 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
CN112292477A (zh) | 2018-06-27 | 2021-01-29 | Asm Ip私人控股有限公司 | 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构 |
KR20200002519A (ko) | 2018-06-29 | 2020-01-08 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR20200030162A (ko) | 2018-09-11 | 2020-03-20 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN110970344A (zh) | 2018-10-01 | 2020-04-07 | Asm Ip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
TW202037745A (zh) | 2018-12-14 | 2020-10-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成裝置結構之方法、其所形成之結構及施行其之系統 |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
JP2020136677A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための周期的堆積方法および装置 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
JP2020133004A (ja) | 2019-02-22 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材を処理するための基材処理装置および方法 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
CN112242296A (zh) | 2019-07-19 | 2021-01-19 | Asm Ip私人控股有限公司 | 形成拓扑受控的无定形碳聚合物膜的方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN112323048B (zh) | 2019-08-05 | 2024-02-09 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
JP7246284B2 (ja) * | 2019-08-15 | 2023-03-27 | 東京エレクトロン株式会社 | 成膜方法 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
CN112635282A (zh) | 2019-10-08 | 2021-04-09 | Asm Ip私人控股有限公司 | 具有连接板的基板处理装置、基板处理方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP2021090042A (ja) | 2019-12-02 | 2021-06-10 | エーエスエム アイピー ホールディング ビー.ブイ. | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210078405A (ko) | 2019-12-17 | 2021-06-28 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
JP2021109175A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
TW202129068A (zh) | 2020-01-20 | 2021-08-01 | 荷蘭商Asm Ip控股公司 | 形成薄膜之方法及修飾薄膜表面之方法 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
KR20210100010A (ko) | 2020-02-04 | 2021-08-13 | 에이에스엠 아이피 홀딩 비.브이. | 대형 물품의 투과율 측정을 위한 방법 및 장치 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
TW202146715A (zh) | 2020-02-17 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於生長磷摻雜矽層之方法及其系統 |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210132576A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202147383A (zh) | 2020-05-19 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
TW202200837A (zh) | 2020-05-22 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基材上形成薄膜之反應系統 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Family Cites Families (33)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2844896B2 (ja) | 1990-10-17 | 1999-01-13 | 信越化学工業株式会社 | 耐熱性絶縁塗料 |
US5487920A (en) * | 1994-04-19 | 1996-01-30 | The Boc Group, Inc. | Process for plasma-enhanced chemical vapor deposition of anti-fog and anti-scratch coatings onto various substrates |
KR100317569B1 (ko) | 1995-07-13 | 2001-12-24 | 다마호리 다메히코 | 세라믹스질 물질 형성용 조성물 및 세라믹스질 물질의제조 방법 |
US6551665B1 (en) * | 1997-04-17 | 2003-04-22 | Micron Technology, Inc. | Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers |
TW437017B (en) | 1998-02-05 | 2001-05-28 | Asm Japan Kk | Silicone polymer insulation film on semiconductor substrate and method for formation thereof |
US6514880B2 (en) | 1998-02-05 | 2003-02-04 | Asm Japan K.K. | Siloxan polymer film on semiconductor substrate and method for forming same |
US7354873B2 (en) | 1998-02-05 | 2008-04-08 | Asm Japan K.K. | Method for forming insulation film |
US6432846B1 (en) | 1999-02-02 | 2002-08-13 | Asm Japan K.K. | Silicone polymer insulation film on semiconductor substrate and method for forming the film |
US6383955B1 (en) | 1998-02-05 | 2002-05-07 | Asm Japan K.K. | Silicone polymer insulation film on semiconductor substrate and method for forming the film |
US7064088B2 (en) | 1998-02-05 | 2006-06-20 | Asm Japan K.K. | Method for forming low-k hard film |
US6784123B2 (en) | 1998-02-05 | 2004-08-31 | Asm Japan K.K. | Insulation film on semiconductor substrate and method for forming same |
US20060258176A1 (en) | 1998-02-05 | 2006-11-16 | Asm Japan K.K. | Method for forming insulation film |
US7582575B2 (en) | 1998-02-05 | 2009-09-01 | Asm Japan K.K. | Method for forming insulation film |
US6881683B2 (en) | 1998-02-05 | 2005-04-19 | Asm Japan K.K. | Insulation film on semiconductor substrate and method for forming same |
US6541367B1 (en) * | 2000-01-18 | 2003-04-01 | Applied Materials, Inc. | Very low dielectric constant plasma-enhanced CVD films |
AU2001278749A1 (en) | 2000-08-18 | 2002-03-04 | Tokyo Electron Limited | Low-dielectric silicon nitride film and method of forming the same, semiconductor device and fabrication process thereof |
US6436822B1 (en) | 2000-11-20 | 2002-08-20 | Intel Corporation | Method for making a carbon doped oxide dielectric material |
SG98468A1 (en) | 2001-01-17 | 2003-09-19 | Air Prod & Chem | Organosilicon precursors for interlayer dielectric films with low dielectric constants |
US6403486B1 (en) | 2001-04-30 | 2002-06-11 | Taiwan Semiconductor Manufacturing Company | Method for forming a shallow trench isolation |
JP3778045B2 (ja) | 2001-10-09 | 2006-05-24 | 三菱電機株式会社 | 低誘電率材料の製造方法および低誘電率材料、並びにこの低誘電率材料を用いた絶縁膜および半導体装置 |
US6818570B2 (en) | 2002-03-04 | 2004-11-16 | Asm Japan K.K. | Method of forming silicon-containing insulation film having low dielectric constant and high mechanical strength |
US6815373B2 (en) | 2002-04-16 | 2004-11-09 | Applied Materials Inc. | Use of cyclic siloxanes for hardness improvement of low k dielectric films |
US20040137757A1 (en) | 2003-01-13 | 2004-07-15 | Applied Materials, Inc. | Method and apparatus to improve cracking thresholds and mechanical properties of low-k dielectric material |
US6740602B1 (en) | 2003-03-17 | 2004-05-25 | Asm Japan K.K. | Method of forming low-dielectric constant film on semiconductor substrate by plasma reaction using high-RF power |
US6835664B1 (en) | 2003-06-26 | 2004-12-28 | Micron Technology, Inc. | Methods of forming trenched isolation regions |
US20050129932A1 (en) | 2003-12-16 | 2005-06-16 | Briley Robert E. | Rivet and coating technique |
US7129187B2 (en) * | 2004-07-14 | 2006-10-31 | Tokyo Electron Limited | Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films |
JP2006054353A (ja) | 2004-08-13 | 2006-02-23 | Az Electronic Materials Kk | フラットバンドシフトの少ないシリカ質膜およびその製造法 |
US7268057B2 (en) | 2005-03-30 | 2007-09-11 | Micron Technology, Inc. | Methods of filling openings with oxide, and methods of forming trenched isolation regions |
US20070065597A1 (en) | 2005-09-15 | 2007-03-22 | Asm Japan K.K. | Plasma CVD film formation apparatus provided with mask |
US20070289534A1 (en) * | 2006-05-30 | 2007-12-20 | Applied Materials, Inc. | Process chamber for dielectric gapfill |
US7718553B2 (en) | 2006-09-21 | 2010-05-18 | Asm Japan K.K. | Method for forming insulation film having high density |
US7781352B2 (en) | 2007-06-06 | 2010-08-24 | Asm Japan K.K. | Method for forming inorganic silazane-based dielectric film |
-
2007
- 2007-06-06 US US11/759,159 patent/US7781352B2/en active Active
-
2008
- 2008-04-24 CN CNA200810092368XA patent/CN101319312A/zh active Pending
- 2008-05-27 JP JP2008137995A patent/JP2008306182A/ja active Pending
- 2008-06-02 KR KR1020080051419A patent/KR20080107270A/ko not_active Application Discontinuation
Cited By (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2009111382A (ja) * | 2007-10-22 | 2009-05-21 | Applied Materials Inc | 遠隔プラズマcvdによりジシラン前駆体から高品質シリコン酸化膜を形成する方法 |
JP2009135450A (ja) * | 2007-10-22 | 2009-06-18 | Applied Materials Inc | トレンチ内に誘電層を形成する方法 |
JP2013065885A (ja) * | 2007-10-22 | 2013-04-11 | Applied Materials Inc | トレンチ内に誘電層を形成する方法 |
JP2013243375A (ja) * | 2007-10-22 | 2013-12-05 | Applied Materials Inc | 基板上に酸化ケイ素層を形成する方法 |
JP2013501384A (ja) * | 2009-08-06 | 2013-01-10 | アプライド マテリアルズ インコーポレイテッド | 非炭素流動性cvdプロセスを使用する酸化ケイ素の形成 |
JP2013507003A (ja) * | 2009-10-05 | 2013-02-28 | アプライド マテリアルズ インコーポレイテッド | 平坦化後の高密度化 |
JP2012160647A (ja) * | 2011-02-02 | 2012-08-23 | Taiyo Nippon Sanso Corp | シリコン窒化膜の形成方法 |
JP2014512687A (ja) * | 2011-04-20 | 2014-05-22 | アプライド マテリアルズ インコーポレイテッド | 低温酸化ケイ素変換 |
CN104164660A (zh) * | 2014-08-26 | 2014-11-26 | 复旦大学 | 一种低介电常数多孔SiOCNH薄膜及其制备方法 |
JP2017088811A (ja) * | 2015-11-16 | 2017-05-25 | 東海光学株式会社 | 撥水膜付き基材、並びにその製造方法及び製造装置 |
Also Published As
Publication number | Publication date |
---|---|
KR20080107270A (ko) | 2008-12-10 |
US20080305648A1 (en) | 2008-12-11 |
CN101319312A (zh) | 2008-12-10 |
US7781352B2 (en) | 2010-08-24 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP2008306182A (ja) | 無機シラザンベース絶縁膜を形成する方法 | |
US7651959B2 (en) | Method for forming silazane-based dielectric film | |
US7718553B2 (en) | Method for forming insulation film having high density | |
TWI676700B (zh) | 用於高品質流動式化學氣相沉積膜之先進製程流程 | |
US6991959B2 (en) | Method of manufacturing silicon carbide film | |
US8003174B2 (en) | Method for forming dielectric film using siloxane-silazane mixture | |
US6699784B2 (en) | Method for depositing a low k dielectric film (K>3.5) for hard mask application | |
JP4090740B2 (ja) | 集積回路の作製方法および集積回路 | |
TWI673826B (zh) | 可流動膜固化穿透深度之改進以及應力調諧 | |
KR100283007B1 (ko) | 저유전율 불소화 비정질 탄소 유전체 및 그 제조 방법 | |
JP2007036291A (ja) | 低誘電率材料、その製造方法、およびそれを含む相互接続構造、電子デバイス | |
TWI692008B (zh) | 用於形成高品質薄膜的循環連續製程 | |
WO1998008249A1 (en) | Method and apparatus for depositing a planarized dielectric layer on a semiconductor substrate | |
US20040076767A1 (en) | Method of manufacturing silicon carbide film | |
JP2004247725A (ja) | シリコンカーバイド膜を形成する方法 | |
GB2326886A (en) | Process for depositing nitrided fluorine doped SiOx films | |
KR20090033449A (ko) | 보텀-업 방식의 갭필을 위한 유전체 증착 및 에치 백 공정 | |
WO2007118026A2 (en) | Step coverage and pattern loading for dielectric films | |
JP2021520630A (ja) | H2プラズマを用いた流動性膜の硬化 | |
JP5238615B2 (ja) | 半導体装置の製造方法 | |
US20040147115A1 (en) | Two-step formation of etch stop layer | |
JP2022534801A (ja) | 高エネルギー低線量プラズマを用いた窒化ケイ素ベースの誘電体膜の後処理の方法 | |
JPH04343456A (ja) | 半導体装置の製造方法 | |
US11469100B2 (en) | Methods of post treating dielectric films with microwave radiation | |
TW202333223A (zh) | 用於氧化鎢移除之氟化鎢浸泡及處理 |