JP2009111382A - 遠隔プラズマcvdによりジシラン前駆体から高品質シリコン酸化膜を形成する方法 - Google Patents

遠隔プラズマcvdによりジシラン前駆体から高品質シリコン酸化膜を形成する方法 Download PDF

Info

Publication number
JP2009111382A
JP2009111382A JP2008272392A JP2008272392A JP2009111382A JP 2009111382 A JP2009111382 A JP 2009111382A JP 2008272392 A JP2008272392 A JP 2008272392A JP 2008272392 A JP2008272392 A JP 2008272392A JP 2009111382 A JP2009111382 A JP 2009111382A
Authority
JP
Japan
Prior art keywords
silicon
film
precursor
substrate
vapor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008272392A
Other languages
English (en)
Other versions
JP2009111382A5 (ja
Inventor
Abhijit Basu Mallick
バス マリック アビジット
Srinivas D Nemani
ディー. ネマーニ スリニヴァス
Ellie Yieh
イェー エリー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2009111382A publication Critical patent/JP2009111382A/ja
Publication of JP2009111382A5 publication Critical patent/JP2009111382A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】基板上の微細なトレンチおよびギャップにシリコンおよび窒素含有膜を堆積する方法を提供する。
【解決手段】基板を収容する堆積チャンバ内にシリコンを含有する前駆体を導入することを含み、シリコンを含有する前駆体は少なくとも2つのシリコン原子を含む。さらに、堆積チャンバの外側に位置する遠隔プラズマシステムを用いて少なくとも1つのラジカル窒素前駆体を生成する。さらに、堆積チャンバにラジカル窒素前駆体を導入することを含み、ラジカル窒素およびシリコン含有前駆体は反応して基板上にシリコンおよび窒素含有膜を堆積する。さらに、蒸気環境内でシリコンおよび窒素含有膜をアニーリングしてシリコン酸化膜を形成することを含み、蒸気環境は水および酸蒸気を含む。
【選択図】図1

Description

関連出願の相互参照
[0001]本出願は、Ingleらにより2006年5月30日に出願された、同時譲渡された米国特許出願第60/803,493号“CHEMICAL VAPOR DEPOSITION OF HIGH QUALITY FLOW−LIKE SILICON DIOXIDE USING A SILICON CONTAINING PRECURSOR AND ATOMIC OXYGEN”に関する。本出願はまた、代理人整理番号第A11125/T79600号を有し、Mallickらにより2007年10月22日に出願された、同時譲渡された米国特許出願“METHOD AND SYSTEM FOR IMPROVING DIELECTRIC FILM QUALITY FOR VOID FREE GAP FILL”に関する。両関連出願の全内容は参照により本明細書に組み込まれる。
発明の背景
[0002]浅いトレンチ分離構造体(STI:shallow isolation structure)のようなトレンチおよびギャップは半導体デバイス上の電気的絶縁素子に一般に採用されている。STIは、隣接するデバイス構造体(例えばトランジスタ、ダイオード等)の電気的結合を阻止するために誘電材料で充填された半導体基板の絶縁領域内に形成されるトレンチまたはギャップを含んでもよい。集積回路上のデバイス密度が増加し続けると、デバイス構造体間のサイズおよび距離は減少する。しかし、STIの垂直高さは通常、水平幅と同じ速度で減少せず、より大きい高さと幅との比(すなわち、より高い縦横比)を備えるギャップおよびトレンチをもたらす。
[0003]高い縦横比を備えるデバイス構造体を作製する能力により、より多くの構造体(例えばトランジスタ、キャパシタ、ダイオード等)が半導体チップ基板の同一表面積に詰め込まれることを可能にするが、また製造上の問題が生じている。これらの問題の1つは、充填プロセス中にボイドまたはシームを生じることなくこれらの構造体内にギャップおよびトレンチを完全に充填するのが困難であることである。シリコン酸化物のような誘電材料を用いてギャップおよびトレンチを充填するには、隣接するデバイス構造体を相互に電気的に絶縁して電気雑音および電流漏洩を最小にする必要がある。縦横比が増加すると、トレンチを充填する誘電材料内にボイドまたはシームを生じることなく深くて狭いトレンチを充填することがより困難になる。
[0004]誘電層内のボイドおよびシームは、半導体デバイスの製造中および完成デバイスの両方において問題を引き起こす。ボイドおよびシームは誘電材料内にランダムに形成され、予測できないサイズ、形状、場所および密度を有する。これは、不均一なエッチング、研磨、アニーリング等といった、層の予測できない、一貫性のない堆積後の処理をもたらす。完成デバイス内のボイドおよびシームはまたデバイス構造体内のギャップおよびトレンチの誘電品質の変動を生じる。これは、数ある問題の中で、電気的クロストーク、電荷漏れ、および場合によってはデバイス内の短絡のため、不規則で粗悪なデバイス性能をもたらす可能性がある。
[0005]高い縦横比構造体上に誘電材料を堆積する間のボイドおよびシームの形成を最小化する技術が開発されてきた。これらは誘電材料の堆積速度を低減することにより、誘電材料がトレンチの側壁および底部に均一に付着するようにすることを含む。より均一に堆積することにより、トレンチの上部における材料の蓄積と、誘電材料がトレンチの上部を時期尚早に密閉してボイドを形成する(「ブレッドローフィング(breadloafing)」と称されることがある問題)機会を低減することができる。しかし不利な点は、堆積速度を低減することはまた堆積時間を増加させることを意味し、これは処理効率および製造速度を低下させる。
[0006]ボイドの形成を制御する別の技術は堆積される誘電材料の流動性を増加させることである。高い流動性を備える材料はボイドまたはシームをより速く充填することができ、充填容積内に永久欠陥を発生することを防止する。優れた均等性でトレンチを充填するために、例えば、PSZ膜、SAM24、BTBAS等といった高い流動性を有するスピンオンガラス(SOG)前駆体が従来は採用されていた。しかし、このような従来のSOG膜によりシリコン酸化物誘電材料の流動性を増加させることは、多くの場合、残留炭素およびシラノール基により引き起こされる低い膜密度を備える堆積時膜をもたらす。膜密度を増加させる1つの方法は、SOG膜を硬化してシリコン酸化膜にする場合、高温のアニーリングを使用することである。しかし、残留炭素およびOH基を除去するために使用される高温のアニーリングはまた、かなりの程度の膜の容積縮小を引き起こす。STI用途における狭いトレンチにおいては、堆積時膜は不自然な状態で不安定であって縮小し、多孔性またはボイドを含む構造体を備える低密度膜をもたらす。
[0007]その結果、ボイドのないギャップ充填を達成するために、高い縦横比を備えるトレンチ、ギャップおよび他のデバイス構造体における誘電膜の密度を増加させるプロセスを改良する必要性がある。さらに、完成したギャップ充填の品質に悪影響を及ぼすことなく高速で良好な流動性の特徴で誘電材料を堆積できる誘電体堆積プロセスの必要性がある。誘電膜堆積のこれらのおよび他の態様は本発明により扱われる。
発明の概要
[0008]本発明は半導体デバイスを製造するためのシリコン酸化膜を形成する方法に関する。実施形態は、遠隔プラズマ中で生成されるラジカル窒素前駆体(例えば−N、−NH、−NH)と反応する1つまたは複数のSi−Si結合を有するシリコン前駆体から形成されるSi−N(H)−Si結合の化学気相堆積(CVD)を含む流動性誘電膜を形成する方法を含む。堆積膜は硬化されてSi−N(H)−Si基をシリコン酸化物(例えばSi−O−Si)に変換し、堆積後のアニーリング(例えば蒸気アニーリング)の間に膜密度を増加させる。上記方法はSTI(浅いトレンチ分離)構造体に対して高品質のシリコン酸化膜を形成する場合に適用できるが、より広範な適用性を有することは認識されるであろう。
[0009]実施形態はまた、基板上にシリコンおよび窒素含有膜を堆積する方法を含む。この方法は基板を収容する堆積チャンバにシリコン含有前駆体を導入するステップを含んでもよい。シリコン含有前駆体は少なくとも2つのシリコン原子を含んでもよい。上記方法はまた、堆積チャンバの外側に位置する遠隔プラズマシステムを用いて少なくとも1つのラジカル窒素前駆体を生成することを含んでもよい。加えて、上記方法は、ラジカル窒素前駆体を堆積チャンバに導入し、堆積チャンバ内でラジカル窒素およびシリコン含有前駆体が反応し、基板上にシリコンおよび窒素含有膜を堆積することを含んでもよい。
[0010]実施形態はさらに、基板上のシリコン酸化膜を形成する方法を含んでもよい。この方法は、堆積チャンバ内に基板を提供し、堆積チャンバに結合された遠隔プラズマシステムを用いて活性化窒素種(例えば、ハイドロニトレンラジカル)を生成することを含んでもよい。加えて、上記方法は堆積チャンバ内にシリコン含有前駆体を導入することを含む。シリコン含有前駆体は少なくとも1つのSi−Si結合を含む。上記方法はさらに、活性化窒素種を堆積チャンバ内に導入することを含み、堆積チャンバ内でこれらはシリコン含有前駆体と反応し、基板上に第1膜を堆積する。第1膜は複数のSi−N(H)−Si結合を含む。さらに、上記方法は蒸気雰囲気中で膜をアニーリングすることおよび基板上に第2膜を形成することを含む。第2膜は複数のSi−O−Si結合を含む。
[0011]追加の実施形態および特徴は以下の説明において部分的に記載されており、当業者には、部分的に、本明細書の考察から明らかになるであろう、あるいは本発明の実施により理解されてもよい。本発明の特徴および利点は、本明細書に記載されている手段、組み合わせおよび方法により実現および達成されてもよい。
発明の詳細な説明
[0019]本発明は半導体デバイスを製造するためのシリコン酸化膜を形成する方法に関する。実施形態は、遠隔プラズマ中で形成されるラジカル窒素前駆体(例えば−N、−NH、−NH)との1つまたは複数のSi−Si結合を有するシリコン前駆体の反応からのSi−N(H)−Si結合を含む、流動性を有する誘電膜を形成する化学気相堆積方法を含む。この方法はまた、堆積後のアニーリング(例えば蒸気アニーリング)を用いてSi−N(H)−Si結合をより密なSi−O−Si結合に変換するために、流動性の誘電膜を硬化することを含んでもよい。他の用途では、上記方法を使用して高品質のシリコン酸化物ギャップ充填を形成してもよい(例えばSTI構造体の形成)。
[0020]図1は、本発明の実施形態による、シリコン前駆体内のSi−Si結合をSi−N(H)−Si結合に変換し、次に例示的にSi−O−Si結合に変換する化学反応プロセスを概略的に示している。この図は単に例示的なものであり、特許請求の範囲を過度に制限するものではない。当業者は、他の変形例、変更例および代替例を認識するであろう。示されているとおり、化学反応はCVD(化学気相堆積)プロセスであり、この間に少なくともSi−Si結合を含むシリコン含有前駆体は、遠隔プラズマ(すなわち、流動性のSi−N(H)−Si膜の堆積から分離して形成されるプラズマ)中のアンモニア分解から生成されるラジカル窒素種と混合される。CVDプロセスは、シリコン前駆体(または複数のシリコン前駆体)内のSi−Si結合をSi−N(H)−Si結合に変換する。Si−N(H)−Si結合は次に、後続のアニーリングステップの間にSi−O−Si結合に変換される。この場合、アニーリングは例えば蒸気(すなわち、HO蒸気)環境内で実施されてもよい。酸素原子は窒素原子より大きい原子容を有するため、アニーリングの間におけるシリコン酸化物の形成は誘電膜の拡張をもたらす。
[0021]上記のCVDプロセスは堆積チャンバ内に個別の少なくとも2つの反応物質を導入し、それら反応物質を所定の状態で反応させることを含む。1つの実施形態では、第1反応物質は、アルコキシジシラン、アルコキシ−アルキルジシラン、アルコキシ−アセトキシジシランおよびポリシランを含む群より選択される1種類の前駆体であり得る。例えば、アルコキシジシランは、Si(EtO)エトキシジシラン、Si(MeO)メトキシジシランおよびSi(MeO)12メトキシシクロヘキシルシランを含み、ここで、Etはエチル基(C)を指し、Meはメチル基(CH)を指す。別の例では、アルコキシ−アルキルジシランはSi(EtO)(Me)テトラエトキシ−ジメチルジシラン、Si(EtO)(Et)テトラエトキシ−ジエチルジシラン、Si(EtO)(Me)ジエチル−テトラメチルジシラン、Si(MeO)(Me)テトラメトキシ−ジメチルジシランおよびSi(Me)メチルシクロヘキシルシロキサン、Si(MeO)(Me)メトキシ−メチルシクロヘキシルシラン、Si(Hハイドロ−シクロヘキシルシロキサンを含んでもよい。さらに別の例では、アルコキシ−アセトキシジシランはSi(AcO)アセトキシジシラン、Si(Me)(AcO)テトラメチル−ジアセトキシジシランおよびSi(Me)(AcO)ジメチル−テトラセトキシジシランを含んでもよく、Acはアセチル基を指す。さらに別の例では、ポリシランはシクロペンチルシランまたは他の代替品を含む。上述のこれらの前駆体のいずれの1つも、CVDプロセスのために、他の反応物質のいずれにも接触することなく、堆積チャンバ内に供給されてもよい。
[0022]別の実施形態では、上記のCVDプロセスのための他の反応物質は遠隔アンモニアプラズマから生成されるラジカル窒素種を含む第2反応物質である。例えば、ラジカル窒素種は、窒素イオン、ハイドロニトレンラジカルNHを含んでもよく、式中x=1または2である。これらのジシランまたはポリシラン系の前駆体の分子構造のため、強い反応性の少なくとも1つのSi−Si結合が存在し、CVDプロセスは、ヒドロキシル基および炭素系種に加えて複数のSi−N(H)Si結合を含む生成物をもたらす。例えば、生成物は、基板上に堆積されるシリコン炭窒化膜である。CVD堆積シリコン炭窒化膜は、これらのヒドロキシル基、および炭素系の種の存在のため、非晶質であり、流動性がある。
[0023]さらに別の実施形態では、水蒸気環境内の後続の熱処理はシリコン炭窒化膜とHO蒸気間の別の化学反応を誘発する。この反応は酸化プロセスであり、このプロセスでは、シリコン炭窒化膜内のSi−N(H)−Si結合がSi−O−Si結合に変換され、シリコン酸化膜の形成をもたらす。一方の生成物は、チャンバに対して構築される排出システムを通して即時に排出されるNHアンモニアを含んでもよい。
[0024]図2は本発明の実施形態による基板上にシリコン酸化膜を形成する方法を図示する簡略化フローチャートである。示されているとおり、この方法200は、シリコン酸化膜を形成するために、図1に記載されている化学反応プロセスを利用してもよい。例示的な方法200は限定的な一連のステップを含み、このステップには追加ステップ(図示せず)がさらに加えられてもよい。当業者は多くの変形例、変更例および代替例を認識するであろう。示されている実施形態では、方法200は堆積チャンバ内に基板を提供することを含む(210)。方法200はさらに、分子構成内に少なくとも1つのSi−Si結合を含む1つまたは複数のシリコン含有前駆体を、堆積チャンバ内に導入することを含む(220)。上記方法はまた、1つまたは複数のラジカル窒素前駆体を生成することを含む(230)。例えば、1つまたは複数のラジカル窒素前駆体は、堆積チャンバに結合された遠隔アンモニアプラズマシステムにおいて生成されてもよい。
[0025]上記方法200はさらに、1つまたは複数のシリコン含有前駆体と反応させるために、1つまたは複数のラジカル窒素前駆体を堆積チャンバ内に導入することを含み(240)、基板上にSi−N(H)−Si結合を含む流動性の誘電膜の堆積を引き起こす。加えて、方法200はCVD堆積流動性誘電膜を酸化してシリコン酸化膜を形成するために、蒸気アニーリングを含んでもよい(250)。蒸気酸化プロセス(250)は、Si−N(H)−Si結合をSi−O−Si結合に変換することに起因する膜拡張を含むことによって、CVD堆積膜からいくつかのヒドロキシル基が除去されることに起因する膜の収縮を抑制してもよい。結果として、膜拡張および収縮の均衡は、また、堆積およびアニーリングの間に導入される応力によって低下する亀裂発生の可能性が低くなる、密なボイドのないシリコン酸化物を引き起こす。
[0026]1つの実施形態では、CVDプロセスにおいて使用される1つまたは複数のシリコン含有前駆体は分子構成内に複数のヒドロキシル基を含む。ヒドロキシル基は、従来のSOG(スピンオンガラス)膜の流動性と類似の膜の流動特性を提供するCVD堆積膜内に保持される。流動特性のため、上記方法200に基づくCVD堆積膜は堆積中に基板のギャップまたはトレンチの底部分に集中する傾向があり、ギャップ充填またはSTIトレンチの中心付近でのボイドの発生を低減する。別の実施形態では、分子構成内に少なくとも1つのSi−Si結合を含む1つまたは複数のシリコンを含有する前駆体は、ジシランおよび/またはポリシラン前駆体を含む。ジシランは分子構成内に単一Si−Si単位を有し、ポリシランは複数のSi−Si結合を有してもよい。例えば、アルコキシジシラン、アルコキシ−アルキルジシランおよびアルコキシ−アセトキシジシランを含む、異なる置換基を含むジシランを使用できる。追加の例では、高級同族体を含むジシランが使用されてもよい。当然、当業者は、ジシランおよびポリシラン前駆体の選択において多くの代替例、変形例および変更例を認識するであろう。
[0027]上述のCVD堆積プロセスは、Ingleらにより2006年5月30日に出願された、同時譲渡された米国特許出願第60/803,493号「CHEMICAL VAPOR DEPOSITION OF HIGH QUALITY FLOW−LIKE SILICON DIOXIDE USING A SILICON CONTAINING PRECURSOR AND ATOMIC OXYGEN」に記載されているプロセスと同様であり、この出願の全内容は参照により本明細書に組み込まれる。しかし、上記方法200では、原子状酸素の代わりにアンモニアのプラズマ分解から生成されるラジカル窒素を使用して1つまたは複数のシリコン含有前駆体と反応させ、ヒドロキシル基(例えばシラノール基)の存在によって流動特性を有する、シリコンおよび窒素を含有する膜をもたらす。
[0028]上述のとおり、上記方法200で使用される(−N、−Nhおよび−NHといった)反応性窒素種は、アンモニア(NH)を遠隔プラズマシステム内に導入することにより生成されてもよい。遠隔プラズマシステムは堆積チャンバに結合される別個のチャンバを含んでもよい。アンモニアをNH/N/Hラジカルに分解するために使用されるプラズマの条件は、3kWから15kWの範囲でRF出力を使用して、室温から約200℃の範囲のチャンバ温度で、1トールから10トールの範囲のチャンバ圧力下でプラズマを生成することを含んでもよい。遠隔プラズマシステム内でのアンモニアの分解は、NHまたはNHのようなハイドロニトレンラジカルといった、ラジカル窒素前駆体を生成する。原子状水素(H)ラジカルもまた生成されてもよい。例えば、ハイドロニトレンおよび水素ラジカルは上記方法200のステップ230において生成される。ラジカル窒素前駆体は次に、1つまたは複数のシリコン含有前駆体が個別に導入されている、堆積チャンバに移送されてもよい。例えば、反応性窒素前駆体はシャワーヘッドによって移送されてもよく、一方、シリコン前駆体は複数の溝付きのノズルによって導入される。
[0029]図3は、本発明の実施形態による、アンモニア遠隔プラズマにより生成されるラジカル窒素前駆体とジシランおよび/またはポリシラン前駆体との反応からシリコン炭窒化物(Si:C:N:H)を形成する、化学反応ステップを概略的に示している。図3は1つの例示的なものであり、本明細書の特許請求項の範囲を過度に制限するものではない。当業者は他の変形例、変更例および代替例を認識するであろう。
[0030]示されているとおり、ハイドロニトレンNHラジカルおよび水素Hラジカルはアンモニアプラズマによりプロセス310において生成される。ハイドロニトレンNHラジカルおよび水素Hラジカルが堆積チャンバ内でジシランまたはポリシラン前駆体と接触すると、図3の反応プロセス320に示されるとおり、ジシランまたはポリシラン前駆体内の強い反応性のSi−Si結合は通常破壊して、−NHと再結合するシリコン−ヒドロキシルラジカルを形成する。代替的には、図3の副次的プロセス325に示されるとおり、ジシランまたはポリシラン前駆体内のSi−Si結合は破壊して、−Hと直接再結合するシリコン−ヒドロキシルラジカルを形成してもよい。当然、当業者は多くの代替例、変形例および変更例を認識するであろう。
[0031]示されている例では、−NHラジカルと結合したシリコン−ヒドロキシル基は、アルコール基を解放することによりシリコン−ハイドロニトレンラジカルに分解されてもよい。例えば、元のシリコン含有前駆体内のヒドロキシル基は、図3のプロセス330に示されるとおり、窒素原子と結合してメタノール(CHOH)を形成する、H原子を捕捉する。生成されたメタノールは真空ポンプにより容易に除去して、追加のメタノール形成を促進することができる。反応物質の残留部分は2つのダングリングボンドを含むSi−NH結合を含むラジカルになる。次に、2つのダングリングボンドは即座に再結合して、図3のプロセス340に図示されるとおり、Si=NH二重結合を含むdimethylsilanimineラジカルを形成してもよい。当然、当業者は多くの代替例、変形例および変更例を認識するであろう。
[0032]別の例では、化学反応はさらに、シリコン含有前駆体内に存在する、dimethylsilanimineラジカルとSi−C結合との間の反応を含んでもよい。図3のプロセス350に示されるとおり、反応は結合挿入プロセスであって、このプロセスでは、dimethylsilanimineラジカルがSi原子とC原子との間に直接挿入されて、シリコン炭窒化生成物を生成する。当然、当業者は多くの代替例、変形例および変更例を認識するであろう。
[0033]図4は本発明の実施形態による流動性のシリコンおよび窒素含有膜を堆積する方法を図示する簡略化されたフローチャートである。この略図は単に例示的なものであり、本発明の範囲を過度に制限するものではない。当業者は多くの変形例、変更例および代替例を認識するであろう。示されているとおり、方法400は、基板上に流動特性を備えるアモルファスシリコン炭窒化膜を堆積するためのCVDプロセスである。方法400は堆積チャンバ内に基板を提供することを含んでもよい(410)。堆積チャンバは遠隔プラズマシステムに結合される。方法400はまた、遠隔プラズマシステム中にアンモニアを導入して、遠隔プラズマ中のアンモニア分解からラジカル窒素前駆体を生成することを含んでもよい。ラジカル窒素前駆体はハイドロニトレンNHラジカルおよび水素Hラジカルを含んでもよい。当然、当業者は多くの代替例、変形例および変更例を認識するであろう。
[0034]加えて、上記方法400は堆積チャンバにハイドロニトレンNHラジカルおよび水素Hラジカルを移送することを含む(420)。1つの実施形態では、ハイドロニトレンNHラジカルおよび水素Hラジカルは、堆積チャンバを遠隔プラズマシステムに流体結合するシャワーヘッドによって移送される。例えば、反応性窒素ラジカル(および付随する搬送ガス)は、Lubomirskyらにより2007年5月29日に出願された、同時譲渡された米国特許出願第11/754,924号“PROCESS CHAMBER FOR DIELECTRIC GAPFILL”に記載されたようなバッフルまたはシャワーヘッドを通して堆積チャンバに入ってもよく、この全内容は参照により本明細書に組み込まれる。
[0035]上記方法400はまた、少なくとも1つのSi−Si結合を含む1つまたは複数のシリコン含有前駆体を堆積チャンバ内に導入することを含む(430)。1つの実施形態では、シリコン含有前駆体は個別に堆積チャンバ内に誘導される。例えば、これらの前駆体は1つまたは複数の個別のCVDガス源から導入され、堆積チャンバ内に導入する前にはアンモニアプラズマと接触しない。別の実施形態では、シリコン含有前駆体は、これらの分子構成内に単一Si−Si単位を有するジシランおよび/または複数のSi−Si単位を有するポリシランを含む。例えば、シリコン含有前駆体は図3に示されたエチルヒドロキシル基を含むジシランである。
[0036]上記方法400はさらに、堆積チャンバ内で基板上に流動性のシリコン炭窒化膜を堆積するCVDプロセスを実施することを含む(440)。シリコン含有前駆体が堆積チャンバ内でハイドロニトレンNHラジカルおよび水素Hラジカルと接触すると、前駆体内のSi−Si結合は強い反応性を示し、これにより、Si−Si結合を含むジシランまたはポリシラン前駆体が破壊されてシリコン−ハイドロニトレンラジカルになる。さらに、シリコン−ハイドロニトレンラジカルは、アルコール分解および再結合反応プロセス後に、順次にdimethylsilanimineラジカルに変わってもよい。dimethylsilanimineラジカルは次に、結合挿入プロセスにより前駆体内のSi−C結合と反応して、シリコン炭窒化分子を形成してもよい。
[0037]例えば、CVDプロセス440は以下のプロセス条件の下で、すなわち、1)前駆体の流量が1mgm/minから15gm/minの範囲に設定されること、2)堆積チャンバは約1ミリトールから約600トールの範囲の圧力を保持されること、3)チャンバの温度は約0℃から約400℃の間に制御されること、の条件の下で堆積チャンバ内において実施されてもよい。シリコン−ハイドロニトレンラジカルの形成は、図3のプロセス320の間に生じる。上記のCVDプロセス440に含まれる追加の化学反応プロセスは、図3に示される反応ステップ330、340および350を含んでもよい。得られるシリコン炭窒化分子は次に基板上に堆積され、固体膜を形成する。膜は本質的に非晶質であり、分子構成内にヒドロキシル基を含む流動特性を有する。
[0038]流動性を有する堆積膜は、デバイス誘電体分離に対して極めて低密度を有するボイドのないギャップ充填を形成する。上記方法400は酸化環境内で流動性のシリコン炭窒化膜をアニーリングすることにより、高密度のシリコン酸化膜を形成することを含む(450)。従来のSOG膜のような他の流動性の誘電膜と同様に、高温のアニーリングにより、ヒドロキシル基および残留炭素が即時に排出される水および/またはアルコール蒸気に分解し、著しい膜縮小および高密度化をもたらす。特定の実施形態では、アニーリングプロセス450は蒸気(水蒸気)雰囲気内の酸化環境における熱アニーリングである。例えば、上記アニーリングは約200℃から約1050℃までの基板温度で実施することができる。アニーリング中の水蒸気圧力はおよそ1トールから1atm(すなわち、約760トール)の範囲である。
[0039]代替の実施形態では、アニーリングプロセス450は、基板を室温から約600℃までに保持するオゾン処理である。オゾン処理はさらにUV光照射と組み合わせられてもよい。別の代替の実施形態では、アニーリングプロセス450は、室温から約900℃の分子酸素処理または室温から約600℃の原子酸素処理を含む膜硬化プロセスである。当然、当業者は多くの代替例、変形例および変更例を認識するであろう。
[0040]別の特定の実施形態では、堆積時のシリコン炭窒化膜内にSi−N(H)−Si結合が形成されて存在するため、酸化プロセス450はこれらのSi−N(H)−Si結合をSi−O−Si結合に変換させる。窒素より酸素の原子容が大きいため、この変換は膜を本質的に拡張する。例えば、結合変換プロセスは図1に記載された化学反応プロセスと同一である。この膜拡張は未反応の炭素およびOH種の損失に起因して、膜縮小を防止する。膜拡張および縮小を均衡させる正味の効果は、結果的に全体的縮小がより少なく、ボイドのないギャップ充填を保持すると同時に高い膜密度を備える、より高品質のシリコン酸化膜をもたらす。
[0041]別の実施形態では、流動性のシリコン炭窒化膜のアニーリングは水蒸気および酸蒸気の両方を含む雰囲気内で実施される。アルコキシ置換ジシランを含むCVD堆積膜に関しては、酸環境は堆積の間に未反応である有機質部分の反応に触媒作用を及ぼすことに役立ってもよい。図5は本発明の実施形態による、堆積時の誘電膜を処理する酸触媒作用の化学反応図を概略的に示している。この略図は単に例示的なものであり、特許請求の範囲を過度に制限するものではない。当業者は多くの変形例、変更例および代替例を認識するであろう。示されているとおり、未反応のヒドロキシル基をアニーリングする間に加えられる酸蒸気は酸の求電子攻撃を受ける、すなわち、酸からのHイオンは、酸素原子から水素原子に1つの電子を取ることにより未反応のCHO基を反応性CHOH基に変換してもよい。これは図5のプロセス510に図示されている。
[0042]水蒸気は次に、プロセス520に示されるとおり、反応性CHOH基と反応してもよい。この反応はシラノールSi−OH基の形成および膜からのアルコールCHOH分子の解放をもたらす。形成されたシラノールSi−OH基の凝縮(すなわち、2つのシラノールSi−OH基を結合すると水を解放することによる)は、膜におけるシリコン酸化物網の形成を引き起こす。1つの実施形態では、酸処理を用いるアニーリングは炭素種の除去により膜の密度を増加させること、および他の方法によるヒドロキシル基の損失に起因してボイド形成の可能性を低減することに役立つ。当然、他の代替例、変形例および変更例が存在する可能性がある。
[0043]追加の実施形態では、流動性のシリコン炭窒化膜のアニーリングは、水蒸気と、アンモニア(NH)のような塩基性蒸気との両方を含む雰囲気内で実施される。アルコキシ置換ジシランを含むCVD堆積膜に関しては、塩基環境は、堆積の間に未反応である有機部分の反応に触媒作用を及ぼすことに役立ってもよい。
[0044]図6A−図6Bは堆積時の誘電膜の塩基触媒処理に対する2つの化学反応機序を概略的に示している。図6Aに示されている第1機序はアンモニアを含む塩基蒸気によるアルキル基の求核攻撃を含む。この機序では、シリコンアルコキシド基のアルキル部分はアンモニアに移動し、また移動時に陽子(H)を解放する。アルキル基の変換はまた水分子を含み、これはシラノール(−Si−OH)基を残し、形成する、アルキル基を置換する陽子を提供する。後続の反応では、シラノール凝縮反応が起きて、硬化される誘電酸化膜内の−Si−OH基を−Si−O−Si基に変換させてもよい。
[0045]図6Bに示される第2反応は、アンモニアを含む塩基蒸気によるシリコンアルコキシド内のシリコン原子の求核攻撃を含む。この第2反応は、シリコンに対して付着するアルコキシ基をアンモニア分子で置換して、シリルアミンを形成することを含む。アルコキシド基は水分子から提供される陽子と反応して、アルコールを形成する。後続の反応では、シリルアミンは加水分解され、シラノールを形成し、アンモニアを再生成する。次に、図6Aに記載されている機序と同様に、シラノール基は、硬化誘電酸化膜内の−Si−OH基を−Si−O−Si−基に変換する濃縮反応を受けてもよい。
[0046]図6A−図6Bに記載されている塩基触媒反応機序は実現可能な反応機序の2つの例にすぎず、特許請求の範囲を過度に制限するものではないことは理解されなければならない。当業者は、堆積時のシリコンアルコキシド種の塩基触媒処理に関して、他の変形例、変更例および代替例を認識するであろう。
[0047]流動性の有機ケイ素膜から炭素(例えばアルキルおよびアルキルオキシド基)の塩基触媒作用を利用して除去するための例示的なプロセス条件は、水および塩基蒸気を含む環境内における膜の堆積後の硬化を含んでもよい。例えば、塩基蒸気が塩基触媒としてアンモニアを含む場合、堆積膜は約2分から約5分間で約1トールから約40トールの圧力で湿ったアンモニア雰囲気に曝露される間、約300℃に加熱されてもよい。追加の実施形態では、アンモニア雰囲気はまた、酸素(O)ガスおよび/または、窒素前駆体(例えばNH)の遠隔プラズマ解離により生成される原子状窒素(N)を含んでもよい。
[0048]図7を参照すると、本発明の実施形態による例示的な処理システム700の断面図が示されている。システム700は、前駆体が化学的に反応し、基板のウェーハ702上に流動性の誘電膜を堆積する、堆積チャンバ701を含む。ウェーハ702(例えば、200mm、300mm、400mm等の直径の半導体基板ウェーハ)は、上に重なる前駆体分配システム706に近接するかまたはこれから離れるように、基板702を位置合わせするために垂直に移送可能である、回転可能な基板ペデスタル704に結合されてもよい。ペデスタルは約1rpmから約2000rpm(例えば約10rpmから約120rpm)の回転速度で基板のウェーハを回転してもよい。ペデスタルは、例えば、前駆体の分配システムの側方ノズル708から、約0.5mmから約100mmまでの距離で基板を垂直に並進してもよい。
[0049]前駆体分配システム706は複数の半径方向に分散された側方ノズル708を含み、各ノズルは2つの異なる長さのうちの1つを有する。追加の実施形態(図示せず)では、側方ノズルを取り外して、堆積チャンバの壁周りに分散された開口のリングを残してもよい。前駆体はチャンバのこれらの開口を通して流れる。
[0050]分配システム706はまた、基板ペデスタル704の中心と同軸であってもよい円錐形の上部バッフル710を含んでもよい。流体チャネル712がバッフル710の中心を通過して、バッフルの外側向き面の下を流れる前駆体とは異なる組成を備える前駆体または搬送ガスを供給してもよい。
[0051]バッフル710の外側面は、堆積チャンバ701の上に配置される反応種生成システム(図示せず)から反応性前駆体を導入する導管714により囲まれてもよい。導管714はバッフル710の外側面上の一端の開口および反応種生成システムに結合される反対端を備える直線円形チューブであってもよい。
[0052]反応種生成システムは、高安定性の開始物質をプラズマに曝露することにより反応種を生成する遠隔プラズマ生成システム(RPS)であってもよい。例えば、開始物質は分子酸素(またはオゾン)を含む混合物であってもよい。RPSからプラズマへのこの開始物質の曝露により、分子酸素の一部を原子酸素、すなわち、極めて低い温度(例えば100℃未満)で有機ケイ素前駆体(例えばTMOS、OMCTS)と化学反応して、基板面上に流動性の誘電体を形成するラジカル種である、原子酸素に解離できる。反応種生成システムにおいて生成される反応種は多くの場合、室温下であっても他の堆積前駆体と強く反応するため、これら反応種は、他の堆積前駆体と混合される前に、導管714の下の分離ガス混合物中で輸送され、バッフル710により反応チャンバ701に分散されてもよい。
[0053]システム700はまた堆積チャンバ701のドーム716周りに巻き付けられたRFコイル(図示せず)を含んでもよい。これらのコイルは、堆積チャンバ内に誘導結合プラズマを生成して反応種前駆体および他の前駆体の反応性をさらに高めることにより、基板上に流体誘電膜を堆積することができる。例えば、バッフル710によりチャンバ内に分散された反応性原子酸素を含むガス流れと、チャネル712および/または1つまたは複数の側方ノズル708からの有機ケイ素前駆体とは、RFコイルにより基板702の上方に形成されたプラズマ内に誘導されてもよい。原子酸素および有機ケイ素前駆体は低温であってもプラズマ中で急激に反応する。基板面上に流動性の高い誘電膜を形成する。
[0054]基板表面自体は、堆積膜の均一性を高めるためにペデスタル704により回転されてもよい。回転面はウェーハ堆積面の平面に平行であってもよく、または2つの平面は部分的に整列面の外側にあってもよい。平面が整列面の外側にある場合、基板704の回転により堆積面の上方の空間に乱流を生成する可能性がある振動が生じる場合がある。状況によっては、この乱流はまた基板表面上に堆積される誘電膜の均一性を高める場合がある。ペデスタル704はまた、ペデスタルが運動するとき、ウェーハをペデスタル上の所定の位置に保持する真空チャックを生成する凹部および/または他の構造を含んでもよい。チャンバ内の典型的な堆積圧力は約0.05トールから約200トールのチャンバ全圧(例えば1トール)の範囲にあり、この圧力はウェーハを所定の位置に保持することができる真空チャックを作製する。
[0055]ペデスタルの回転は、堆積チャンバ701の下方に配置されるモータ718により駆動され、ペデスタル704を支持するシャフト720に回転可能に結合されてもよい。シャフト720はまた、堆積チャンバの下方の冷却/加熱システム(図示せず)からペデスタル704に冷却流体および/または電線を搬送する内部チャネル(図示せず)を含んでもよい。これらのチャネルはペデスタルの中心から周囲に突き出して、上に重なる基板ウェーハ702に均一な冷却および/または加熱を提供してもよい。これらにチャネルはまた、シャフト720および基板のペデスタル704が回転および/または並進するときに作動するように設計されてもよい。例えば、冷却システムは、ペデスタルが回転している間、流動性の酸化膜の堆積中に基板ウェーハ702の温度を100℃未満に維持するように作動してもよい。
[0056]システム700はさらにドーム716の上方に配置される照射システム722を含んでもよい。照射システム722からのランプ(図示せず)は下にある基板702を照射して、基板上に堆積膜を焼き付けまたはアニーリングしてもよい。ランプはまた堆積中に点灯され、膜前駆体または堆積膜における反応を促進させてもよい。少なくともドーム716の上部はランプから放射される光の一部を伝達することができる半透明材料から作製される。
[0057]ある範囲の値が提供される場合、その範囲の上限値と下限値との間で、文脈において特に明確に指定しない限り、下限値の単位の小数点第1位までのそれぞれの中間値もまた明らかに含まれる、と理解される。定められた範囲内の任意の定められた値または中間値と、その定められた範囲内の他の定められた値または中間値との間のより小さい範囲は各々、本発明の範囲内に包含される。これらのより小さい範囲の上限値および下限値は、別個に範囲に含まれるかまたは含まれなくてもよく、および、上限値および下限値のいずれか、いずれでもない、または両方がより小さい範囲内に含まれる各領域もまた、定められた範囲内における任意の明らかに含まれない限界を前提として、本発明の範囲内である。定められた範囲が限界の1つまたは両方を含む場合、これらの含まれる限界のいずれかまたは両方を含まない範囲もまた本発明に包含される。
[0058]本明細書および添付の特許請求の範囲で使用されているとおり、単数形「a」、「an」および「the」は、文脈において特に明確に指定しない限り、複数の指示対象を含む。したがって、例えば、「a process」を参照することは複数のこのようなプロセスを含む場合があり、「the nozzle」を参照することは1つまたは複数のノズルおよび当業者には知られている均等物などを参照することを含む場合がある。
[0059]また、単語「comprise」、「comprising」、「include」、「including」および「includes」は本明細書および添付の特許請求の範囲において使用される場合、定められた特徴物、統合体、構成要素またはステップの存在を特定することを意図しているが、これらは1つまたは複数の他の特徴物、統合体、構成要素、ステップ、または群の存在または追加を排除しない。
本発明の実施形態による、Si−O−Siを含む化合物を形成するためにシリコン前駆体内のSi−Si結合を反応性窒素種と反応させ、次にこの化合物を酸化してSi−O−Siを含む化合物を形成する、化学反応プロセスを概略的に示している。 本発明の実施形態による、基板上にシリコン酸化膜を形成する方法を図示する簡略化されたフローチャートである。 本発明の実施形態による、遠隔プラズマ中のアンモニア分解により生成されるジシランまたはポリシラン前駆体およびラジカル窒素前駆体からシリコン炭窒化物Si:C:N:Hを形成する化学反応ステップを概略的に示している。 本発明の実施形態による、流動性を有するシリコンおよび窒素含有膜を堆積する方法を図示する簡略化されたフローチャートである。 本発明の実施形態による、堆積時の誘電膜内の炭素系種の酸触媒除去における化学反応機序を概略的に示している。 本発明の実施形態による、堆積時の誘電膜内の炭素系種の塩基触媒除去における化学反応機序を概略的に示している。 本発明の実施形態による、堆積時の誘電膜内の炭素系種の塩基触媒除去における化学反応機序を概略的に示している。 本発明の実施形態による、基板上にシリコン酸化膜を形成する例示的なプロセスシステムの断面図を示している。
符号の説明
700…処理システム、701…堆積チャンバ、702…ウェーハ、704…基板ペデスタル、706…分配システム、708…ノズル、710…バッフル、712…流体チャネル、714…導管、716…ドーム、718…モータ、720…シャフト

Claims (39)

  1. 基板上にシリコンおよび窒素含有膜を堆積する方法であって、
    前記基板を収容する堆積チャンバに、少なくとも2つのシリコン原子を含むシリコン含有前駆体を導入するステップと、
    前記堆積チャンバの外側に位置する遠隔プラズマシステムを用いて少なくとも1つのラジカル窒素前駆体を生成するステップと、
    前記堆積チャンバに前記ラジカル窒素前駆体を導入するステップと、
    を備え、
    前記ラジカル窒素およびシリコン含有前駆体が反応し、前記基板上に前記シリコンおよび窒素含有膜を堆積する方法。
  2. 原子状窒素の生成が前記遠隔プラズマシステム内でアンモニアをプラズマに曝露させるステップを備え、前記アンモニアの少なくとも一部が前記ラジカル窒素前駆体に分解する、請求項1に記載の方法。
  3. 前記ラジカル窒素前駆体が化学式NHを有し、式中xが0、1または2である、請求項1に記載の方法。
  4. 前記シリコンを含有する前駆体がジシラン前駆体またはポリシラン前駆体を含む、請求項1に記載の方法。
  5. 前記シリコン含有前駆体がアルコキシジシラン、アルコキシ−アルキルジシランおよびポリシランから成る群より選択される、請求項1に記載の方法。
  6. 前記シリコンおよび窒素含有膜がシリコン炭窒化膜を含む、請求項1に記載の方法。
  7. 前記シリコンおよび窒素含有膜がSi−N(H)−Si結合を含む膜を含む、請求項1に記載の方法。
  8. 前記方法が、前記シリコンおよび窒素含有膜をアニーリングしてシリコン酸化膜を形成するステップをさらに備える、請求項1に記載の方法。
  9. 前記アニーリングが蒸気を含む雰囲気内で実施される、請求項8に記載の方法。
  10. 前記アニーリングが酸蒸気を含む雰囲気内で実施される、請求項8に記載の方法。
  11. 前記アニーリングが約20℃から約900℃の範囲の温度で実施される、請求項8に記載の方法。
  12. 前記アニーリングがオゾン(O)を含む雰囲気内で実施される、請求項8に記載の方法
  13. 前記アニーリングが、約20℃から約600℃の範囲の温度で前記基板を紫外線光に曝露するステップをさらに備える、請求項12に記載の方法。
  14. 前記アニーリングが分子状酸素(O)を含む雰囲気内で実施される、請求項8に記載の方法
  15. 前記アニーリングが、約20℃から約600℃の範囲の温度で原子状酸素(O)を含む雰囲気内で実施される、請求項8に記載の方法。
  16. 基板上にシリコン酸化膜を形成する方法であって、
    堆積チャンバ内に基板を提供するステップと、
    前記堆積チャンバに結合された遠隔プラズマシステムを用いて複数のハイドロニトレンラジカルを生成するステップと、
    少なくとも1つのSi−Si結合を含むシリコン含有前駆体を堆積チャンバに導入するステップと、
    前記堆積チャンバに複数のハイドロニトレンラジカルを導入するステップであって、前記ハイドロニトレンラジカルとシリコン含有前駆体とが反応して前記基板上に第1膜を堆積し、前記第1膜が複数のS−N(H)−Si結合を含む、ステップと、
    蒸気雰囲気内で前記第1膜をアニーリングするステップと、
    前記基板上に、複数のSi−O−Si結合を含む第2膜を形成するステップと、
    を備える方法。
  17. 複数のハイドロニトレンラジカルを生成する前記ステップが、前記遠隔プラズマシステム内でアンモニアをプラズマに曝露させる工程を備え、前記アンモニアの少なくとも一部が前記複数のハイドロニトレンラジカルに分解する、請求項16に記載の方法。
  18. 前記シリコン含有前駆体がジシラン前駆体またはポリシラン前駆体を含む、請求項16に記載の方法。
  19. 前記第1膜が流動特性を備えるヒドロキシル基をさらに含む、請求項16に記載の方法。
  20. 前記第1膜を前記アニーリングする前記ステップが、約20℃から約900℃の範囲の温度で実施される、請求項16に記載の方法。
  21. 前記蒸気雰囲気が水蒸気および酸蒸気を含む、請求項16に記載の方法。
  22. 第2膜を形成する前記ステップが、前記水蒸気を使用して、前記第1膜内の前記複数のSi−N(H)−Si結合の少なくとも一部を複数のSi−O−Si結合の第1部分に変換する工程を備える、請求項21に記載の方法。
  23. 第2膜を形成する前記ステップが、前記酸蒸気を使用して、前記水蒸気と未反応のヒドロキシル基と反応に触媒作用を及ぼすことにより反応性OH基を形成し、前記複数のSi−O−Si結合の少なくとも第2部分をもたらす工程をさらに備える、請求項21に記載の方法。
  24. 前記酸蒸気が塩酸または酢酸を含む、請求項21に記載の方法。
  25. 前記第2膜が前記第1膜より高密度を有する、請求項16に記載の方法。
  26. 基板上のシリコン酸化層を硬化する方法であって、
    半導体処理チャンバおよび基板を提供するステップと、
    前記基板の少なくとも一部の上に重なるシリコン酸化層を形成するステップであって、前記シリコン酸化層が形成の副産物として炭素種を含む、ステップと、
    前記半導体処理チャンバに塩基蒸気を導入するステップであって、前記塩基蒸気が前記シリコン酸化層と反応して前記シリコン酸化層から前記炭素種を除去する、ステップと、
    前記半導体処理チャンバから前記塩基蒸気を除去するステップと、
    を備える方法。
  27. 前記塩基蒸気がアンモニアを含む、請求項26に記載の方法。
  28. 前記シリコン層がアルコキシシランプロセスを使用して堆積される、請求項26に記載の方法。
  29. 前記シリコン酸化層がプラズマCVDプロセスを使用して堆積される、請求項26に記載の方法。
  30. 塩基蒸気を導入する前記ステップが、アンモニアガスを前記半導体処理チャンバに流し込む工程をさらに備える、請求項26に記載の方法。
  31. 前記塩基蒸気が触媒として機能し、前記シリコン酸化層内の反応を完成する、請求項26に記載の方法。
  32. 前記蒸気が酸と水の混合物である、請求項26に記載の方法。
  33. 前記蒸気が分子状酸素(O)をさらに含む、請求項26に記載の方法。
  34. 前記蒸気が遠隔で生成されるラジカル原子状窒素をさらに含む、請求項26に記載の方法。
  35. 前記塩基蒸気とシリコン酸化層との間の前記反応が約60℃から約600℃の間で実施される、請求項26に記載の方法。
  36. 前記反応が約300℃で実施される、請求項25に記載の方法。
  37. 前記処理チャンバが、前記シリコン酸化層との前記反応の間が約1トールから760トールの圧力を有する、請求項26に記載の方法。
  38. 前記処理チャンバが約40トールの圧力を有する、請求項37に記載の方法。
  39. 前記アニーリングが約200℃から約1050℃の範囲の温度で実施される、請求項8に記載の方法。
JP2008272392A 2007-10-22 2008-10-22 遠隔プラズマcvdによりジシラン前駆体から高品質シリコン酸化膜を形成する方法 Pending JP2009111382A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/876,538 US7867923B2 (en) 2007-10-22 2007-10-22 High quality silicon oxide films by remote plasma CVD from disilane precursors

Publications (2)

Publication Number Publication Date
JP2009111382A true JP2009111382A (ja) 2009-05-21
JP2009111382A5 JP2009111382A5 (ja) 2011-12-01

Family

ID=40340585

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008272392A Pending JP2009111382A (ja) 2007-10-22 2008-10-22 遠隔プラズマcvdによりジシラン前駆体から高品質シリコン酸化膜を形成する方法

Country Status (7)

Country Link
US (2) US7867923B2 (ja)
EP (1) EP2053143A3 (ja)
JP (1) JP2009111382A (ja)
KR (1) KR101042788B1 (ja)
CN (1) CN101418438B (ja)
SG (1) SG152183A1 (ja)
TW (1) TWI506158B (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013501384A (ja) * 2009-08-06 2013-01-10 アプライド マテリアルズ インコーポレイテッド 非炭素流動性cvdプロセスを使用する酸化ケイ素の形成
JP2013507003A (ja) * 2009-10-05 2013-02-28 アプライド マテリアルズ インコーポレイテッド 平坦化後の高密度化
JP7285682B2 (ja) 2013-03-12 2023-06-02 アプライド マテリアルズ インコーポレイテッド 平坦化された極端紫外線リソグラフィブランク及びそのための製造及びリソグラフィシステム

Families Citing this family (473)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6622999B1 (en) * 1999-05-25 2003-09-23 Silverbrook Research Pty Ltd Printed media binder
US20040253777A1 (en) * 2001-08-30 2004-12-16 Hidenori Miyoshi Method and apparatus for forming film
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7902080B2 (en) * 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
KR100748559B1 (ko) * 2006-08-09 2007-08-10 삼성전자주식회사 플래시 메모리 장치 및 그 제조 방법
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
KR20110057645A (ko) * 2009-11-24 2011-06-01 삼성전자주식회사 절연막 형성 방법 및 이를 포함하는 트랜지스터 형성 방법
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
JP2013516763A (ja) * 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US8329262B2 (en) * 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
SG182336A1 (en) * 2010-01-06 2012-08-30 Applied Materials Inc Flowable dielectric using oxide liner
CN102714156A (zh) * 2010-01-07 2012-10-03 应用材料公司 自由基成分cvd的原位臭氧固化
KR20110096843A (ko) * 2010-02-23 2011-08-31 삼성전자주식회사 반도체 소자의 제조 방법
US8748259B2 (en) * 2010-03-02 2014-06-10 Applied Materials, Inc. Method and apparatus for single step selective nitridation
KR101853802B1 (ko) * 2010-03-05 2018-05-02 어플라이드 머티어리얼스, 인코포레이티드 라디칼­성분 cvd에 의한 컨포멀 층들
US8236708B2 (en) * 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
JP5524152B2 (ja) * 2010-12-06 2014-06-18 株式会社東芝 基板処理装置、及び成膜システム
US20120177846A1 (en) * 2011-01-07 2012-07-12 Applied Materials, Inc. Radical steam cvd
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
CN103348776B (zh) * 2011-02-15 2017-06-09 应用材料公司 多区等离子体生成的方法和设备
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8647993B2 (en) * 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8445078B2 (en) * 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9117877B2 (en) * 2012-01-16 2015-08-25 Globalfoundries Inc. Methods of forming a dielectric cap layer on a metal gate structure
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US20130187236A1 (en) 2012-01-20 2013-07-25 Globalfoundries Inc. Methods of Forming Replacement Gate Structures for Semiconductor Devices
US8871656B2 (en) * 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
CN102832119B (zh) * 2012-07-03 2015-12-16 上海华力微电子有限公司 低温二氧化硅薄膜的形成方法
JP6001940B2 (ja) * 2012-07-11 2016-10-05 東京エレクトロン株式会社 パターン形成方法及び基板処理システム
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9612521B2 (en) 2013-03-12 2017-04-04 Applied Materials, Inc. Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9417515B2 (en) 2013-03-14 2016-08-16 Applied Materials, Inc. Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US20140273531A1 (en) * 2013-03-14 2014-09-18 Asm Ip Holding B.V. Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140329027A1 (en) * 2013-05-02 2014-11-06 Applied Materials, Inc. Low temperature flowable curing for stress accommodation
CN105720207B (zh) 2013-06-29 2017-09-15 艾克斯特朗欧洲公司 用于高性能涂层的沉积的方法以及封装的电子器件
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9190263B2 (en) 2013-08-22 2015-11-17 Asm Ip Holding B.V. Method for forming SiOCH film using organoaminosilane annealing
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9543140B2 (en) 2013-10-16 2017-01-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9362107B2 (en) * 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9520301B2 (en) 2014-10-21 2016-12-13 Samsung Electronics Co., Ltd. Etching method using plasma, and method of fabricating semiconductor device including the etching method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
TWI670756B (zh) 2014-12-22 2019-09-01 美商應用材料股份有限公司 藉由沉積調整來解決fcvd的線條彎曲
US9777378B2 (en) * 2015-01-07 2017-10-03 Applied Materials, Inc. Advanced process flow for high quality FCVD films
US9508545B2 (en) * 2015-02-09 2016-11-29 Applied Materials, Inc. Selectively lateral growth of silicon oxide thin film
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9947576B2 (en) * 2015-07-13 2018-04-17 Applied Materials, Inc. UV-assisted material injection into porous films
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9812319B1 (en) * 2016-07-06 2017-11-07 Asm Ip Holding B.V. Method for forming film filled in trench without seam or void
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10062561B2 (en) * 2016-11-01 2018-08-28 Applied Materials, Inc. High-pressure annealing and reducing wet etch rates
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP7061432B2 (ja) * 2017-02-16 2022-04-28 レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Si含有膜の成膜方法
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
CN110612596B (zh) * 2017-04-13 2023-08-15 应用材料公司 用于沉积低介电常数膜的方法与设备
US10017856B1 (en) 2017-04-17 2018-07-10 Applied Materials, Inc. Flowable gapfill using solvents
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
KR102574914B1 (ko) 2017-06-02 2023-09-04 어플라이드 머티어리얼스, 인코포레이티드 보론 카바이드 하드마스크의 건식 스트리핑
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US20180363133A1 (en) * 2017-06-16 2018-12-20 Applied Materials, Inc. Method and Apparatus for Void Free SiN Gapfill
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10234630B2 (en) 2017-07-12 2019-03-19 Applied Materials, Inc. Method for creating a high refractive index wave guide
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
US11065654B2 (en) * 2017-07-17 2021-07-20 Lam Research Corporation In situ vapor deposition polymerization to form polymers as precursors to viscoelastic fluids for particle removal from substrates
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
WO2019032457A1 (en) * 2017-08-08 2019-02-14 Applied Materials, Inc. METHODS AND APPARATUSES FOR DEPOSITING LOW DIELECTRIC CONSTANT FILMS
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
US10096516B1 (en) 2017-08-18 2018-10-09 Applied Materials, Inc. Method of forming a barrier layer for through via applications
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102659317B1 (ko) 2017-09-12 2024-04-18 어플라이드 머티어리얼스, 인코포레이티드 보호 배리어 층을 사용하여 반도체 구조들을 제조하기 위한 장치 및 방법들
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
US10872762B2 (en) * 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
SG11202003355QA (en) 2017-11-11 2020-05-28 Micromaterials Llc Gas delivery system for high pressure processing chamber
US10854483B2 (en) 2017-11-16 2020-12-01 Applied Materials, Inc. High pressure steam anneal processing apparatus
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
WO2019099255A2 (en) 2017-11-17 2019-05-23 Applied Materials, Inc. Condenser system for high pressure processing system
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
JP7299898B2 (ja) 2018-01-24 2023-06-28 アプライド マテリアルズ インコーポレイテッド 高圧アニールを用いたシーム修復
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
JP7239598B2 (ja) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド 金属含有材料の高圧アニーリングプロセス
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US20190376178A1 (en) * 2018-06-11 2019-12-12 Versum Materials Us, Llc Compositions and Methods Using Same for Deposition of Silicon-Containing Film
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
CN112640065A (zh) 2018-10-30 2021-04-09 应用材料公司 用于蚀刻用于半导体应用的结构的方法
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
CN112996950B (zh) 2018-11-16 2024-04-05 应用材料公司 使用增强扩散工艺的膜沉积
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
US11658026B2 (en) 2020-10-23 2023-05-23 Applied Materials, Inc. Conformal silicon oxide film deposition
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06104181A (ja) * 1992-09-22 1994-04-15 Sony Corp 光cvd法利用絶縁膜の製造方法と平坦化絶縁膜の製造方法
JPH07169762A (ja) * 1992-05-15 1995-07-04 Masatoshi Utaka 絶縁膜の製造方法及びこの絶縁膜を使用する半導体装置の製造方法
JPH07176528A (ja) * 1993-11-02 1995-07-14 Nippon Steel Corp 半導体装置における絶縁膜の製造方法
JPH07316823A (ja) * 1994-05-31 1995-12-05 Sony Corp プラズマcvd装置およびプラズマcvd方法
JPH0822986A (ja) * 1994-07-05 1996-01-23 Sony Corp 絶縁膜の成膜方法
WO2004006321A1 (ja) * 2002-07-08 2004-01-15 Kansai Technology Licensing Organization Co.,Ltd. シリコン窒化膜の形成方法および形成装置
JP2004529496A (ja) * 2001-02-12 2004-09-24 エーエスエム アメリカ インコーポレイテッド 半導体膜の改良された堆積方法
WO2005045899A2 (en) * 2003-10-31 2005-05-19 Aviza Technology, Inc. Low temperature deposition of silicone nitride
WO2006019438A2 (en) * 2004-07-14 2006-02-23 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
JP2006344659A (ja) * 2005-06-07 2006-12-21 Toshiba Corp 半導体装置及びその製造方法
JP2008306182A (ja) * 2007-06-06 2008-12-18 Asm Japan Kk 無機シラザンベース絶縁膜を形成する方法

Family Cites Families (248)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4147571A (en) 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
FR2598520B1 (fr) 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
US4818326A (en) 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
US4816098A (en) 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4931354A (en) * 1987-11-02 1990-06-05 Murata Manufacturing Co., Ltd. Multilayer printed circuit board
JPH03257182A (ja) 1990-03-07 1991-11-15 Hitachi Ltd 表面加工装置
US5016332A (en) 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5436172A (en) 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5426076A (en) 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization
US5271972A (en) * 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5393708A (en) 1992-10-08 1995-02-28 Industrial Technology Research Institute Inter-metal-dielectric planarization process
US5587014A (en) 1993-12-22 1996-12-24 Sumitomo Chemical Company, Limited Method for manufacturing group III-V compound semiconductor crystals
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
US5468687A (en) * 1994-07-27 1995-11-21 International Business Machines Corporation Method of making TA2 O5 thin film by low temperature ozone plasma annealing (oxidation)
US5576071A (en) 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
JPH08148559A (ja) * 1994-11-15 1996-06-07 Fujitsu Ltd 絶縁膜を有する半導体装置の製造方法
US5530293A (en) 1994-11-28 1996-06-25 International Business Machines Corporation Carbon-free hydrogen silsesquioxane with dielectric constant less than 3.2 annealed in hydrogen for integrated circuits
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5786263A (en) 1995-04-04 1998-07-28 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5827783A (en) 1996-08-23 1998-10-27 Mosel Vitelic, Inc. Stacked capacitor having improved charge storage capacity
US5935340A (en) * 1996-11-13 1999-08-10 Applied Materials, Inc. Method and apparatus for gettering fluorine from chamber material surfaces
US5873781A (en) 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
FR2759362B1 (fr) 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
US6090723A (en) 1997-02-10 2000-07-18 Micron Technology, Inc. Conditioning of dielectric materials
US5937308A (en) 1997-03-26 1999-08-10 Advanced Micro Devices, Inc. Semiconductor trench isolation structure formed substantially within a single chamber
US6090442A (en) * 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
US6551665B1 (en) 1997-04-17 2003-04-22 Micron Technology, Inc. Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
AUPO748097A0 (en) 1997-06-20 1997-07-17 Commonwealth Scientific And Industrial Research Organisation Alkene borates
US6207587B1 (en) 1997-06-24 2001-03-27 Micron Technology, Inc. Method for forming a dielectric
TW416100B (en) 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
US6114219A (en) * 1997-09-15 2000-09-05 Advanced Micro Devices, Inc. Method of manufacturing an isolation region in a semiconductor device using a flowable oxide-generating material
US6024044A (en) 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6624064B1 (en) * 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6087243A (en) 1997-10-21 2000-07-11 Advanced Micro Devices, Inc. Method of forming trench isolation with high integrity, ultra thin gate oxide
US6009830A (en) 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
KR100253079B1 (ko) 1997-12-01 2000-04-15 윤종용 반도체 장치의 트렌치 격리 형성 방법
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6156394A (en) * 1998-04-17 2000-12-05 Optical Coating Laboratory, Inc. Polymeric optical substrate method of treatment
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6165834A (en) 1998-05-07 2000-12-26 Micron Technology, Inc. Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell
US6509283B1 (en) 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
US6146970A (en) 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6667553B2 (en) * 1998-05-29 2003-12-23 Dow Corning Corporation H:SiOC coated substrates
US6461970B1 (en) * 1998-06-10 2002-10-08 Micron Technology, Inc. Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6014979A (en) 1998-06-22 2000-01-18 Applied Materials, Inc. Localizing cleaning plasma for semiconductor processing
US6406677B1 (en) 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6197658B1 (en) 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6121130A (en) * 1998-11-16 2000-09-19 Chartered Semiconductor Manufacturing Ltd. Laser curing of spin-on dielectric thin films
US6583063B1 (en) 1998-12-03 2003-06-24 Applied Materials, Inc. Plasma etching of silicon using fluorinated gas mixtures
US6469283B1 (en) 1999-03-04 2002-10-22 Applied Materials, Inc. Method and apparatus for reducing thermal gradients within a substrate support
US6290774B1 (en) 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US7091605B2 (en) * 2001-09-21 2006-08-15 Eastman Kodak Company Highly moisture-sensitive electronic device element and method for fabrication
US6180490B1 (en) 1999-05-25 2001-01-30 Chartered Semiconductor Manufacturing Ltd. Method of filling shallow trenches
US6204201B1 (en) 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6524931B1 (en) 1999-07-20 2003-02-25 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US6383954B1 (en) 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
US6602806B1 (en) * 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
JP2001144325A (ja) 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6440860B1 (en) * 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US6461980B1 (en) 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
NL1014274C2 (nl) 2000-02-03 2001-08-16 Tele Atlas Bv Stelsel voor het beveiligen van op een datadrager aanwezige data.
EP1124252A2 (en) 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
EP1130633A1 (en) 2000-02-29 2001-09-05 STMicroelectronics S.r.l. A method of depositing silicon oxynitride polimer layers
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
US6558755B2 (en) * 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
DE10196026B4 (de) 2000-04-04 2011-02-10 Asahi Kasei Kabushiki Kaisha Überzugs-Zusammensetzung, dünner Film, zur Verwendung des dünnen Films und Verfahren zur Herstellung eines dünnen porösen Kieselsäure-Films
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6553932B2 (en) * 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
US6559026B1 (en) 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
JP4371543B2 (ja) 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6835278B2 (en) * 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
US7183177B2 (en) * 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
JP4232330B2 (ja) 2000-09-22 2009-03-04 東京エレクトロン株式会社 励起ガス形成装置、処理装置及び処理方法
JP3712356B2 (ja) 2000-10-23 2005-11-02 アプライド マテリアルズ インコーポレイテッド 成膜方法および半導体装置の製造方法
US20020060322A1 (en) 2000-11-20 2002-05-23 Hiroshi Tanabe Thin film transistor having high mobility and high on-current and method for manufacturing the same
US6287962B1 (en) * 2000-11-30 2001-09-11 Taiwan Semiconductor Manufacturing Company Method for making a novel graded silicon nitride/silicon oxide (SNO) hard mask for improved deep sub-micrometer semiconductor processing
US6531413B2 (en) 2000-12-05 2003-03-11 United Microelectronics Corp. Method for depositing an undoped silicate glass layer
US6576564B2 (en) * 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6930041B2 (en) * 2000-12-07 2005-08-16 Micron Technology, Inc. Photo-assisted method for semiconductor fabrication
DE10063688A1 (de) * 2000-12-20 2002-07-18 Infineon Technologies Ag Schaltungsanordnung zur Ansteuerung einer programmierbaren Verbindung
US6538274B2 (en) * 2000-12-20 2003-03-25 Micron Technology, Inc. Reduction of damage in semiconductor container capacitors
US20020081817A1 (en) 2000-12-22 2002-06-27 Jayendra Bhakta Void reduction and increased throughput in trench fill processes
US6660662B2 (en) 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
US6599839B1 (en) 2001-02-02 2003-07-29 Advanced Micro Devices, Inc. Plasma etch process for nonhomogenous film
US6589868B2 (en) * 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
KR100364026B1 (ko) * 2001-02-22 2002-12-11 삼성전자 주식회사 층간 절연막 형성방법
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
EP1373595A1 (en) 2001-03-23 2004-01-02 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films
US6596576B2 (en) * 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
FR2824062B1 (fr) * 2001-04-27 2004-10-15 Atofina Procede de fabrication de solutions aqueuses de sels insatures d'ammonium quaternaire
US6528332B2 (en) 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6780499B2 (en) 2001-05-03 2004-08-24 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
US6596653B2 (en) 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
KR100421046B1 (ko) 2001-07-13 2004-03-04 삼성전자주식회사 반도체 장치 및 그 제조방법
US6548416B2 (en) 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US20030064154A1 (en) 2001-08-06 2003-04-03 Laxman Ravi K. Low-K dielectric thin films and chemical vapor deposition method of making same
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6872323B1 (en) * 2001-11-01 2005-03-29 Novellus Systems, Inc. In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
US6770521B2 (en) 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
JP3891267B2 (ja) 2001-12-25 2007-03-14 キヤノンアネルバ株式会社 シリコン酸化膜作製方法
US20030124873A1 (en) * 2001-12-28 2003-07-03 Guangcai Xing Method of annealing an oxide film
WO2003065424A2 (en) 2002-01-25 2003-08-07 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
TW536775B (en) 2002-04-18 2003-06-11 Nanya Technology Corp Manufacturing method of shallow trench isolation structure
AU2003235305A1 (en) 2002-04-19 2003-11-03 Tokyo Electron Limited Method of treating substrate and process for producing semiconductor device
KR100468729B1 (ko) * 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7307273B2 (en) 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6900881B2 (en) 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7294582B2 (en) * 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US6734082B2 (en) 2002-08-06 2004-05-11 Chartered Semiconductor Manufacturing Ltd. Method of forming a shallow trench isolation structure featuring a group of insulator liner layers located on the surfaces of a shallow trench shape
US6825097B2 (en) 2002-08-07 2004-11-30 International Business Machines Corporation Triple oxide fill for trench isolation
KR100459724B1 (ko) * 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
JP4358492B2 (ja) * 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US6828211B2 (en) 2002-10-01 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US6833322B2 (en) 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US6819886B2 (en) * 2002-10-23 2004-11-16 Nex Press Solutions Llc Gloss/density measurement device with feedback to control gloss and density of images produced by an electrographic reproduction apparatus
US7080528B2 (en) 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
US6900067B2 (en) 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US6923189B2 (en) * 2003-01-16 2005-08-02 Applied Materials, Inc. Cleaning of CVD chambers using remote source with cxfyoz based chemistry
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7122222B2 (en) * 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7723242B2 (en) 2004-03-15 2010-05-25 Sharp Laboratories Of America, Inc. Enhanced thin-film oxidation process
US7205248B2 (en) 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6884685B2 (en) 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7429540B2 (en) * 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US6867086B1 (en) 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
JP2004283065A (ja) 2003-03-20 2004-10-14 Ushio Inc 化学走性機能制御膜の製造方法および人工材料並びに人工材料の製造方法
US7176144B1 (en) * 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
JP3976703B2 (ja) 2003-04-30 2007-09-19 エルピーダメモリ株式会社 半導体装置の製造方法
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US20040231590A1 (en) * 2003-05-19 2004-11-25 Ovshinsky Stanford R. Deposition apparatus for the formation of polycrystalline materials on mobile substrates
US6958112B2 (en) 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
JP2005033173A (ja) * 2003-06-16 2005-02-03 Renesas Technology Corp 半導体集積回路装置の製造方法
US7399388B2 (en) 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7192891B2 (en) 2003-08-01 2007-03-20 Samsung Electronics, Co., Ltd. Method for forming a silicon oxide layer using spin-on glass
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
US7361991B2 (en) 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
JP4285184B2 (ja) 2003-10-14 2009-06-24 東京エレクトロン株式会社 成膜方法及び成膜装置
DE10350752A1 (de) * 2003-10-30 2005-06-09 Infineon Technologies Ag Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung
JP2007528640A (ja) * 2003-12-17 2007-10-11 セドラエウス インコーポレーテッド ランダムベースの意志決定プロセスを使用する方法
US7064004B2 (en) * 2003-12-29 2006-06-20 Intel Corporation Induction-based heating for chip attach
US7030468B2 (en) * 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
JP4678304B2 (ja) 2004-02-17 2011-04-27 東亞合成株式会社 シリコン酸化膜の製造方法
US7067438B2 (en) 2004-02-19 2006-06-27 Micron Technology, Inc. Atomic layer deposition method of forming an oxide comprising layer on a substrate
US7524735B1 (en) * 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7074690B1 (en) * 2004-03-25 2006-07-11 Novellus Systems, Inc. Selective gap-fill process
US20050221020A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US7115508B2 (en) 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
US7109114B2 (en) 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
US8119210B2 (en) * 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
EP1751325A4 (en) 2004-06-04 2009-05-13 Applied Microstructures Inc STEAM-PHASE DEPOSITION CONTROLLING MULTILAYER COATINGS BONDED BY OXIDE LAYER
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US7642171B2 (en) 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7294574B2 (en) * 2004-08-09 2007-11-13 Applied Materials, Inc. Sputter deposition and etching of metallization seed layer for overhang and sidewall improvement
JP4470023B2 (ja) * 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7629270B2 (en) * 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060046506A1 (en) * 2004-09-01 2006-03-02 Tokyo Electron Limited Soft de-chucking sequence
KR100550351B1 (ko) 2004-09-07 2006-02-08 삼성전자주식회사 반도체 장치의 막 형성방법 및 이를 수행하기 위한 반도체장치의 막 형성 장치
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100782369B1 (ko) 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US8193096B2 (en) * 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
JP2006261434A (ja) * 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US20060228903A1 (en) 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US7972441B2 (en) * 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
JP5091428B2 (ja) 2005-06-14 2012-12-05 株式会社東芝 半導体装置の製造方法
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4860953B2 (ja) 2005-07-08 2012-01-25 富士通株式会社 シリカ系被膜形成用材料、シリカ系被膜及びその製造方法、多層配線及びその製造方法、並びに、半導体装置及びその製造方法
WO2007008653A2 (en) * 2005-07-08 2007-01-18 Aviza Technology, Inc. Method for depositing silicon-containing films
US7427570B2 (en) * 2005-09-01 2008-09-23 Micron Technology, Inc. Porous organosilicate layers, and vapor deposition systems and methods for preparing same
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
US7498270B2 (en) 2005-09-30 2009-03-03 Tokyo Electron Limited Method of forming a silicon oxynitride film with tensile stress
JP5154009B2 (ja) * 2005-10-21 2013-02-27 株式会社ジャパンディスプレイイースト 有機シロキサン系絶縁膜の製造方法、及び、この製造方法で製造した有機シロキサン系絶縁膜を層間絶縁として用いた液晶表示装置の製造方法
TWI332532B (en) 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7985330B2 (en) * 2005-12-30 2011-07-26 Medtronic Minimed, Inc. Method and system for detecting age, hydration, and functional states of sensors using electrochemical impedance spectroscopy
US7521377B2 (en) * 2006-01-11 2009-04-21 International Business Machines Corporation SiCOH film preparation using precursors with built-in porogen functionality
JP5070702B2 (ja) * 2006-01-19 2012-11-14 富士通セミコンダクター株式会社 半導体装置の製造方法及び製造装置
US7972954B2 (en) 2006-01-24 2011-07-05 Infineon Technologies Ag Porous silicon dielectric
US7435661B2 (en) 2006-01-27 2008-10-14 Atmel Corporation Polish stop and sealing layer for manufacture of semiconductor devices with deep trench isolation
JP4984558B2 (ja) 2006-02-08 2012-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
CN101466865A (zh) * 2006-04-03 2009-06-24 乔治洛德方法研究和开发液化空气有限公司 通过化学汽相淀积使氮化硅膜和/或氧氮化硅膜淀积的方法
US7524750B2 (en) * 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US20070281106A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7902080B2 (en) * 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7629273B2 (en) 2006-09-19 2009-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for modulating stresses of a contact etch stop layer
TWI462179B (zh) * 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US7737050B2 (en) * 2006-10-30 2010-06-15 International Business Machines Corporation Method of fabricating a nitrided silicon oxide gate dielectric layer
US20080102223A1 (en) 2006-11-01 2008-05-01 Sigurd Wagner Hybrid layers for use in coatings on electronic devices or other articles
US7749574B2 (en) * 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
JP5177617B2 (ja) * 2006-12-25 2013-04-03 独立行政法人産業技術総合研究所 酸化シリコン薄膜形成装置
US7572647B2 (en) * 2007-02-02 2009-08-11 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
KR100800495B1 (ko) * 2007-02-27 2008-02-04 삼성전자주식회사 반도체 장치의 제조방법
KR100866143B1 (ko) * 2007-08-03 2008-10-31 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
US7745352B2 (en) * 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
EP2193541A1 (en) * 2007-09-18 2010-06-09 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming silicon-containing films
US20090095714A1 (en) 2007-10-12 2009-04-16 Tokyo Electron Limited Method and system for low pressure plasma processing
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US8501637B2 (en) * 2007-12-21 2013-08-06 Asm International N.V. Silicon dioxide thin films by ALD
US7737052B2 (en) 2008-03-05 2010-06-15 International Business Machines Corporation Advanced multilayer dielectric cap with improved mechanical and electrical properties
JP2009267366A (ja) 2008-04-02 2009-11-12 Nec Electronics Corp 半導体記憶装置及びその製造方法
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US8357435B2 (en) * 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US20090325391A1 (en) 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US7972980B2 (en) * 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8980382B2 (en) * 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8741788B2 (en) * 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
JP2013516763A (ja) * 2009-12-30 2013-05-13 アプライド マテリアルズ インコーポレイテッド フレキシブルな窒素/水素比を使用して生成されるラジカルを用いる誘電体膜成長
US8329262B2 (en) * 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
SG182336A1 (en) * 2010-01-06 2012-08-30 Applied Materials Inc Flowable dielectric using oxide liner
KR101853802B1 (ko) * 2010-03-05 2018-05-02 어플라이드 머티어리얼스, 인코포레이티드 라디칼­성분 cvd에 의한 컨포멀 층들
US8236708B2 (en) * 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) * 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07169762A (ja) * 1992-05-15 1995-07-04 Masatoshi Utaka 絶縁膜の製造方法及びこの絶縁膜を使用する半導体装置の製造方法
JPH06104181A (ja) * 1992-09-22 1994-04-15 Sony Corp 光cvd法利用絶縁膜の製造方法と平坦化絶縁膜の製造方法
JPH07176528A (ja) * 1993-11-02 1995-07-14 Nippon Steel Corp 半導体装置における絶縁膜の製造方法
JPH07316823A (ja) * 1994-05-31 1995-12-05 Sony Corp プラズマcvd装置およびプラズマcvd方法
JPH0822986A (ja) * 1994-07-05 1996-01-23 Sony Corp 絶縁膜の成膜方法
JP2004529496A (ja) * 2001-02-12 2004-09-24 エーエスエム アメリカ インコーポレイテッド 半導体膜の改良された堆積方法
WO2004006321A1 (ja) * 2002-07-08 2004-01-15 Kansai Technology Licensing Organization Co.,Ltd. シリコン窒化膜の形成方法および形成装置
WO2005045899A2 (en) * 2003-10-31 2005-05-19 Aviza Technology, Inc. Low temperature deposition of silicone nitride
WO2006019438A2 (en) * 2004-07-14 2006-02-23 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
JP2006344659A (ja) * 2005-06-07 2006-12-21 Toshiba Corp 半導体装置及びその製造方法
JP2008306182A (ja) * 2007-06-06 2008-12-18 Asm Japan Kk 無機シラザンベース絶縁膜を形成する方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013501384A (ja) * 2009-08-06 2013-01-10 アプライド マテリアルズ インコーポレイテッド 非炭素流動性cvdプロセスを使用する酸化ケイ素の形成
JP2013507003A (ja) * 2009-10-05 2013-02-28 アプライド マテリアルズ インコーポレイテッド 平坦化後の高密度化
JP7285682B2 (ja) 2013-03-12 2023-06-02 アプライド マテリアルズ インコーポレイテッド 平坦化された極端紫外線リソグラフィブランク及びそのための製造及びリソグラフィシステム

Also Published As

Publication number Publication date
KR101042788B1 (ko) 2011-06-20
US20110014798A1 (en) 2011-01-20
TW200927979A (en) 2009-07-01
US8242031B2 (en) 2012-08-14
CN101418438B (zh) 2013-05-01
EP2053143A3 (en) 2009-09-02
TWI506158B (zh) 2015-11-01
SG152183A1 (en) 2009-05-29
US20090104755A1 (en) 2009-04-23
EP2053143A2 (en) 2009-04-29
US7867923B2 (en) 2011-01-11
CN101418438A (zh) 2009-04-29
KR20090040870A (ko) 2009-04-27

Similar Documents

Publication Publication Date Title
JP2009111382A (ja) 遠隔プラズマcvdによりジシラン前駆体から高品質シリコン酸化膜を形成する方法
US8871656B2 (en) Flowable films using alternative silicon precursors
US7745352B2 (en) Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
KR101215033B1 (ko) 실리콘 함유 전구체 및 원자 산소를 이용하는 고품질플로우-형 실리콘 이산화물의 화학적 기상 증착
KR101853802B1 (ko) 라디칼­성분 cvd에 의한 컨포멀 층들
KR101837648B1 (ko) 라디칼-컴포넌트 cvd를 위한 인­시츄 오존 경화
US8466073B2 (en) Capping layer for reduced outgassing
JP2009539268A (ja) シリコン含有前駆物質と原子酸素を用いた高品質流動状二酸化シリコンの化学気相堆積
KR20110082025A (ko) 질화규소계 필름 또는 규소 탄소계 필름을 형성시키는 방법
JP2013239752A (ja) ボイドフリーギャップ充填に対する誘電体膜品質を向上させる方法及びシステム
KR20120094490A (ko) 비­탄소 유동성 cvd 필름의 경화
JP2009135450A (ja) トレンチ内に誘電層を形成する方法
KR20140050059A (ko) 감소된 아웃개싱을 위한 표면 처리 및 증착

Legal Events

Date Code Title Description
RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111018

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20111018

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120705

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120724

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120925

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121016

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130409

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130705

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140408

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20150127