KR20090040870A - 원격 플라즈마 cvd에 의한 디실란 전구체로부터의 고품질 실리콘 산화물 필름의 형성 - Google Patents

원격 플라즈마 cvd에 의한 디실란 전구체로부터의 고품질 실리콘 산화물 필름의 형성 Download PDF

Info

Publication number
KR20090040870A
KR20090040870A KR1020080103819A KR20080103819A KR20090040870A KR 20090040870 A KR20090040870 A KR 20090040870A KR 1020080103819 A KR1020080103819 A KR 1020080103819A KR 20080103819 A KR20080103819 A KR 20080103819A KR 20090040870 A KR20090040870 A KR 20090040870A
Authority
KR
South Korea
Prior art keywords
substrate
silicon
silicon oxide
film
precursor
Prior art date
Application number
KR1020080103819A
Other languages
English (en)
Other versions
KR101042788B1 (ko
Inventor
아브히지트 바수 말리크
스리니배스 디. 네마니
엘리 예
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20090040870A publication Critical patent/KR20090040870A/ko
Application granted granted Critical
Publication of KR101042788B1 publication Critical patent/KR101042788B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 발명은 기판상에 실리콘 및 질소를 함유한 필름을 증착시키는 방법에 관한 것이다. 이 방법은 기판을 포함한 증착 챔버로 실리콘 함유 전구체를 도입시키는 단계를 포함하고, 이 경우 실리콘 함유 전구체는 두 개 이상의 실리콘 원자를 포함한다. 또한, 이 방법은 증착 챔버의 외부에 위치한 원격 플라즈마 시스템을 이용하여 하나 이상의 라디칼 질소 전구체를 생성하는 단계를 포함한다. 또한, 이 방법은 증착 챔버로 라디칼 질소 전구체를 도입시키는 단계를 포함하고, 이 경우 라디칼 질소 및 실리콘 함유 전구체는 기판상에 실리콘 및 질소 함유 필름을 반응시키고 증착시킨다. 또한, 이 방법은 실리콘 산화물 필름을 형성하기 위해 증기 환경에서 실리콘 및 질소 함유 필름을 어닐링하는 단계를 포함하고, 이 경우 증기 환경은 물 및 산성 증기를 포함한다.

Description

원격 플라즈마 CVD에 의한 디실란 전구체로부터의 고품질 실리콘 산화물 필름의 형성 {HIGH QUALITY SILICON OXIDE FILMS BY REMOTE PLASMA CVD FROM DISILANE PRECURSORS}
관련 출원에 대한 참조
본 출원은 Ingle 등이 2006년 5월 30일자로 출원하고 본 출원인에게 양도된 "CHEMICAL VAPOR DEPOSITION OF HIGH QUALITY FLOW-LIKE SILICON DIOXIDE USING A SILICON CONTAINING PRECURSOR AND ATOMIC OXYGEN" 라는 명칭의 미국특허출원 제60/803,493호와 관련된다. 본 출원은 Mallick 등이 2007년 10월 22일자로 출원하고 본 출원인에게 양도되었으며 대리인 서류번호가 A11125/T79600인 "METHOD AND SYSTEM FOR IMPROVING DIELECTRIC FILM QUALITY FOR VOID FREE GAP FILL"이라는 명칭의 미국 특허출원과도 관련된 것이다. 상기 양 출원들의 전체 내용은 모든 목적에 대해서 본 출원에 참조로서 포함된다.
반도체 소자들 상에서 요소들(elements)을 전기적으로 격리시키기 위해서, 쉘로우 트렌치 아이솔레이션(소자 분리; shallow trench isolation; STIs)과 같은 갭 및 트렌치가 일반적으로 이용된다. STI는 반도체 기판의 격리 영역 내에 형성 된 트렌치 또는 갭을 포함할 수 있으며, 그러한 트렌치 또는 갭은 인접하는 소자 구조물(예를 들어, 트랜지스터, 다이오드 등)의 전기적 커플링을 방지하기 위해서 유전체 물질로 충진된다. 집적 회로 상의 소자 밀도가 계속적으로 높아짐에 따라, 소자 구조물들의 크기 및 그 사이의 간격은 감소되고 있다. 그러나 일반적으로 STIs의 수직 높이가 수평방향 폭 만큼 빨리 감소되지 않으며, 그 결과로 높이 대 폭의 비율이 큰(즉, 높은 종횡비) 갭 및 트렌치가 초래된다.
종횡비가 큰 소자 구조물을 제조할 수 있는 능력이 반도체 칩 기판의 동일한 표면적 상에 보다 많은 구조물(예를 들어, 트랜지스터, 커패시터, 다이오드 등)이 팩킹될 수 있게 하는 반면, 이는 역시 제조상의 문제점을 초래한다. 이러한 문제점들 중 하나는 충진 프로세스 중에 공극(void)이나 시임(seam)을 생성하지 않으면서 이들 구조물 내의 갭 및 트렌치를 완전히 충진하는 것이 어렵다는 것이다. 실리콘 산화물과 같은 유전체 물질로 갭 및 트렌치를 충진하는 것은 전기적 노이즈 및 전류 누설을 방지하기 위해서 인접 소자 구조물들을 서로로부터 전기적으로 격리시키는데 있어서 필수적이다. 종횡비가 커짐에 따라, 트렌치를 충진하는 유전체 물질 내에 공극이나 시임을 생성하지 않고 깊고 좁은 트렌치를 충진하기가 보다 어려워지고 있다.
유전체 층 내의 공극 및 시임은 반도체 소자 제조 중에 그리고 마무리된 소자들 내에서 문제를 유발시킨다. 공극 및 시임은 유전체 물질내에서 불규칙하게 형성되고 예측할 수 없는 크기, 형상, 위치 및 밀도를 가진다. 이는, 균일하지 못한 에칭, 폴리싱, 어닐링 등과 같은, 예측불가능한 그리고 일정하지 않은 층의 증 착-후(post-deposition) 프로세싱을 초래한다. 마무리된 소자 내의 공극 및 시임은 또한 소자 구조물 내의 갭 및 트렌치의 유전 품질의 편차를 초래한다. 이는, 전기적 혼선(crosstalk), 전하 누설, 및 일부 경우의 소자 내에서의 단락 등과 같은 문제점에 기인한 불규칙적이고 열악한 소자 성능을 초래할 수 있다.
높은 종횡비의 구조물 상에 유전체 물질을 증착하는 동안, 공극 및 시임이 형성되는 것을 최소화하기 위한 기술이 개발되어 있다. 이들은, 유전체 물질이 트렌치 측벽 및 바닥에 대해 보다 등각적(conformal)으로 유지될 수 있도록 유전체 물질의 증착 속도(rate)를 느리게 하는 것을 포함한다. 보다 등각적인 증착은 트렌치의 상부에 물질이 축적되는 것을 감소시킬 수 있고, 또 유전체 물질이 트렌치의 상부를 조기에 밀봉하여 공극을 형성("브로드로핑(breadloafing)"이라고 종종 지칭되는 문제점)할 가능성을 감소시킬 수 있다. 그러나 불행하게도, 증착 속도를 늦추는 것은 또한 증착 시간의 연장을 의미하며, 이는 프로세싱 효율 및 생산 속도를 감소시킨다.
공극 형성을 제어하기 위한 다른 기술은 증착된 유전체 물질의 유동성을 증대시키기 위한 것이다. 유동성이 보다 큰 물질은 공극 또는 시임을 보다 신속하게 충진할 수 있을 것이고 그러한 공극 또는 시임이 충진 부피내에서 영구적인 결함이 되는 것을 방지할 수 있을 것이다. 예를 들어, PSZ 필름, SAM 24, BTBAS 등과 같은 고유동성 스핀-온-글래스 전구체(highly flowable spin-on-glass(SOG) precursors)가 양호한 등각성으로 트렌치를 충진하기 위해서 종래에 이용되었었다. 그러나 그러한 종래의 SOG 필름에 의해서 실리콘 산화물 유전체 물질의 유동성을 증대시키는 것은 잔류 탄소 및 실라놀 그룹에 의해서 유발된 낮은 필름 밀도의 증착된 상태의(as-deposited) 필름을 종종 초래한다. 필름 밀도를 높이기 위한 하나의 해결책은 SOG 필름을 실리콘 산화물 필름으로 경화시킬 때 고온 어닐링을 이용하는 것이다. 그러나 잔류 탄소 및 OH-그룹을 제거하기 위해서 사용되는 고온 어닐링은 또한 필름의 상당한 정도의 부피 축소를 초래할 수 있다. STI 어플리케이션에 대한 좁은 트렌치에서, 증착된 상태의 필름은 속박되고(constrained) 수축에 대해서 불안정하며, 그에 따라 다공성 또는 공극 함유 구조물을 가지는 저밀도 필름을 초래한다.
그에 따라, 트렌치, 갭 및 기타 고종횡비의 소자 구조물 내에서 유전체 물질의 밀도를 높여 공극이 없는 갭필(gapfills; 갭 충진)을 달성하기 위한 개선된 프로세스가 여전히 요구되고 있다. 또한, 마감된 갭필의 품질에 부정적인 영향을 미치지 않고 높은 속도 및 양호한 유동가능한 특성으로 유전체 물질을 증착할 수 있는 유전체 증착 프로세스에 대한 요구가 여전히 존재한다. 유전체 필름 증착에 대한 이러한 측면들 및 기타 측면들이 본 발명에 의해서 해결된다.
본 발명은 반도체 소자를 제조하기 위해서 실리콘 산화물을 형성하는 방법에 관한 것이다. 실시예들은 원격 플라즈마(remote plasma)에서 생성된 라디칼 질소 전구체(예를 들어, -N, -NH, -NH2)와 반응하는 하나 이상의 Si-Si 결합을 가지는 실리콘 전구체로부터 형성된 Si-N(H)-Si 결합의 화학기상증착(CVD)을 포함하는 유동가능한 유전체 필름 형성 방법을 포함한다. Si-N(H)-Si 그룹을 실리콘 산화물(예를 들어, Si-O-Si)로 변환시키기 위해서 증착된 필름을 경화시킴으로써, 증착 후 어닐링 동안에 필름 밀도를 높인다. 이러한 방법은 STI(Shallow Trench Isolation) 구조물을 위한 고품질 실리콘 산화물 필름을 형성하는 단계에 적용될 수 있으며, 또한 보다 넓은 적용분야를 가진다는 것을 이해할 수 있을 것이다.
실시예들은 또한 실리콘 및 질소 함유 필름을 기판상에 증착하는 방법을 포함한다. 이러한 방법은 기판을 수용하는 증착 챔버내로 실리콘-함유 전구체를 도입하는 단계를 포함할 수 있다. 실리콘-함유 전구체는 두 개 이상의 실리콘 원자를 포함할 수 있다. 이러한 방법은 또한 증착 챔버의 외부에 위치된 원격 플라즈마 시스템을 이용하여 하나 이상의 라디칼 질소 전구체를 생성하는 단계를 포함한다. 또한, 상기 방법은 증착 챔버내로 라디칼 질소 전구체를 도입하는 단계를 포함할 수 있으며, 상기 증착 챔버내에서는 라디칼 질소 전구체 및 실리콘-함유 전구체가 반응하고 기판상에 실리콘 및 질소 함유 필름을 증착한다.
실시예들은 기판상에 실리콘 산화물 필름을 형성하는 방법을 추가적으로 포 함할 수 있다. 상기 방법은 증착 챔버 내에 기판을 제공하는 단계 그리고 상기 증착 챔버에 커플링된 원격 플라즈마 시스템을 이용하여 활성화된 질소 종(species)(예를 들어, 하이드로니트렌 라디칼)을 생성하는 단계를 포함할 수 있다. 또한, 상기 방법은 실리콘-함유 전구체를 증착 챔버로 도입하는 단계를 포함한다. 실리콘-함유 전구체는 적어도 Si-Si 결합을 포함한다. 상기 방법은 활성화된 질소 종을 증착 챔버로 도입하는 단계를 더 포함하며, 상기 증착 챔버내에서는 상기 질소 종들이 실리콘-함유 전구체와 반응하고 기판상에 제 1 필름을 증착한다. 상기 제 1 필름은 다수의 Si-N(H)-Si 결합을 포함한다. 또한, 상기 방법은 증기 분위기 내에서 제 1 필름을 어닐링하고 그리고 기판상에 제 2 필름을 형성하는 단계를 포함한다. 제 2 필름은 다수의 Si-O-Si 결합을 포함한다.
이하에서는, 추가적인 실시예들 및 특징들의 일부에 대해서 설명하며, 추가적인 실시예들 및 특징들 일부는 본 명세서의 내용으로부터 소위 당업자에게 명확하게 이해될 수 있고 또는 본 발명의 실시로부터 학습할 수 있을 것이다. 본 발명의 특징들 및 이점들은 본 명세서에 기재된 수단, 조합 및 방법들에 의해서 실현되고 획득될 수 있을 것이다.
본 발명은 반도체 장치를 제조하기 위한 실리콘 산화물 필름 형성 방법에 관한 것이다. 실시예들은 원격 플라즈마 내에 형성되는 라디칼 질소 전구체(예를 들면, -N, -NH, -NH2)를 구비한 하나 또는 그 이상의 Si-Si 결합을 가지는 실리콘 전 구체의 반응으로부터의 Si-N(H)-Si 결합을 포함하는 유동가능한 유전체 필름을 형성하는 화학적 증기 증착 방법을 포함한다. 방법은 또한 포스트(post)-증착 어닐링(예를 들면, 증기 어닐링)으로 Si-N(H)-Si 결합을 더욱 밀집한 Si-O-Si 결합으로 전환하기 위하여 유동가능한 유전체 필름을 경화하는 것을 포함한다. 다른 적용 중에서, 상기 방법들은 고품질 실리콘 산화물 갭필(gapfils)을 형성하기 위하여 이용될 수 있다.
도 1은 본 발명의 일 실시예에 따라 실리콘 전구체 내의 Si-Si 결합의 Si-N(H)-Si 결합으로 이어서 확장적으로 Si-O-Si 결합으로의 변환의 화학 반응 프로세스를 개략적으로 보여준다. 이러한 도면은 단지 예시적인 것으로 본 명세서에서 청구범위의 범위를 과도하게 제한하지 않아야 한다. 본 기술분야의 일반적인 기술자는 다른 변화, 변형 및 다른 실시예를 인정하여야 한다. 도시된 바와 같이, 화학적 반응은 적어도 Si-Si 결합을 가지는 실리콘 함유 전구체가 원격 플라즈마(예를 들면, 유동성 Si-N(H)-Si 필름의 증착으로부터 개별적으로 형성된 플라즈마) 내의 암모니아의 증착으로부터 발생된 라디칼 질소 종과 혼합되는 CVD(화학적 증착) 프로세스이다. CVD 프로세스는 실리콘 전구체(또는 전구체들) 내의 Si-Si 결합의 Si-N(H)-Si 결합으로의 변환을 초래한다. Si-N(H)-Si 결합은 이어서 후속하는 어닐링 단계 동안 Si-O-Si 결합으로 변환되며, 여기에서 어닐링은 예를 들면 증기(즉, H2O 증기) 환경에서 실행될 수 있다. 산소 원자가 질소 원자보다 더 큰 원자 용적을 가지기 때문에, 어닐링 동안 실리콘 산화물의 형성이 유전체 필름의 팽창을 초래할 수 있다.
상기 CVD 프로세스는 증착 챔버 내로 두 개 이상의 반응물을 도입하여 미리결정된 상태에서 반응하도록 하는 것이다. 일 실시예에서, 제 1 반응물은 알콕시 디실란, 알콕시-알킬 디실란, 알콕시-아세톡시 디실란, 및 폴리실란으로 이루어지는 그룹으로부터 선택된 하나의 타입의 전구체일 수 있다. 예를 들면, 알콕시-디실란은 Si2(EtO)6 에톡시 디실란, Si2(MeO)6, 메톡시 디실란, 및 Si6(MeO)12 메톡시 사이클로헥실실란을 포함하며, 여기서, Et는 에틸 그룹(C2H6)을 표시하고 Me는 메틸 그룹(CH3)을 표시한다. 또 다른 실시예에서, 알콕시-알킬 디실란은 Si2(EtO)4(Me)2 테트라에톡시-디메틸 디실란, Si2(EtO)4(Et)2 테트라에톡시-디에틸 디실란, Si2(EtO)2(Me)4 디에톡시-테트라메틸 디실란, Si2(MeO)4(Me)2 테트라메톡시-디메틸 디실란 및 Si4O2(Me)8 메틸 사이클로헥실실록산, Si6(MeO)6(Me)6 메톡시-메틸 사이클로헥실실란, Si4O2(H2)4 하이드로-사이클로헥실실록산을 포함할 수 있다. 또 다른 실시예에서, 알콕시-아세톡시 디실란은 Si2(AcO)6 아세톡시 디실란, Si2(Me)4(AcO)2 테트라메틸-디아세톡시 디실란, 및 Si2(Me)2(AcO)4 디메틸-테트라세톡시 디실란을 포함할 수 있으며, Ac는 아세틸 그룹을 표시한다. 또한, 다른 실시예에서, 폴리실란은 사이클로펜틸실란 또는 다른 대체물을 포함한다. 상술된 바와 같이 이러한 전구체들 중 어느 하나는 CVD 프로세스에 대한 소정의 다른 반응물과 만나지 않고 증 착 챔버 내로 공급될 수 있다.
또 다른 실시예에서, 상기 CVD 프로세스에 대한 다른 반응물은 원격 암모니아 플라즈마로부터 발생된 라디칼 질소 종을 포함하는 제 2 반응물이다. 예를 들면, 라디칼 질소 종은 질소 이온, 하이드로니트렌 라디칼 NHX를 포함할 수 있으며, 여기서 x=1, 또는 2이다. 디실란 또는 폴리실란의 분자 구조에서 높은 반응성인 적어도 Si-Si 결합이 있기 때문에, CVD 프로세스는 하이드록실 그룹 및 탄소 기재 종에 부가하여 다수의 Si-N(H)-Si 결합을 포함하는 제품을 초래한다. 예를 들면, 제품은 기판상에 증착되는 실리콘 카보나이트라이드 필름이다. CVD-증착 실리콘 카보나이트라이드 필름은 하이드록실 그룹 및 탄소 기재 종의 존재 때문에 비결정성 및 유동성이다.
또 다른 실시예에서, 수 증기 환경 내의 후속하는 열 처리는 실리콘 카보나이트라이드 필름과 H2O 증기 사이의 또 다른 화학 반응을 유도한다. 이러한 반응은 실리콘 카보나이트라이드 내의 Si-N(H)-Si 결합은 Si-O-Si 결합으로 변환하여, 실리콘 산화물 필름을 형성하는, 산화 프로세스이다. 하나의 부산물은 챔버를 위해 제조된 배기 시스템을 통하여 즉시 펌핑 아웃될 수 있는 NH3 암모니아를 포함할 수 있다.
도 2는 본 발명의 일 실시예에 따라 기판상의 실리콘 산화물 필름을 형성하는 방법을 나타내는 단순화된 흐름도이다. 도시된 바와 같이, 방법(200)은 도 1에 도시된 화학 반응 프로세스를 이용할 수 있어 실리콘 산화물 필름을 형성하도록 한 다. 예시적인 방법(200)은 부가 단계(도시안됨)가 부가될 수 있는 비 전면적 단계 시리즈(non-exhaustive seriess of steps)를 포함한다. 본 기술분야의 일반적인 기술자는 다수의 변화, 변형 및 다른 실시예를 인정할 수 있다. 도시된 실시예에서, 방법(200)은 증착 챔버(210) 내에 기판을 제공하는 단계를 포함한다. 방법(200)은 증착 챔버(220) 내로 분자 구조의 적어도 Si-Si 결합을 구비한 하나 또는 그 이상의 실리콘 함유 전구체를 포함하는 단계를 포함한다. 방법은 또한 하나 또는 그 이상의 라디칼 질소 전구체(230)를 발생하는 단계를 포함한다. 예를 들면, 하나 또는 그 이상의 라디칼 질소 전구체가 증착 챔버에 결합되는 원격 암모니아 플라즈마 시스템 내에 발생될 수 있다.
방법(200)은 기판상에 Si-N(H)-Si를 구비한 유동성 유전체 필름의 증착을 초래하는 하나 또는 그 이상의 실리콘 함유 전구체(240)와 반응하도록 증착 챔버 내로 하나 또는 그 이상의 질소 전구체를 포함하는 단계를 더 포함할 수 있다. 또한, 방법(200)은 실리콘 산화물 필름(250) 내로 CVD-증착 유동성 유전체 필름을 산화하기 위하여 증기 어닐링을 포함할 수 있다. 증기 산화 프로세스(250)는 CVD 증착 필름으로부터 일부 하이드록실 그룹의 제거 때문에 필름 수축에 반작용하는 Si-O-Si 결합으로 Si-N(H)-Si 결합의 변환 때문에 필름 팽창을 포함할 수 있다. 결과적으로, 필름 팽창 및 수축의 균형이 증착 및 어닐링 동안 도입되는 응력 때문에 감소된 크랙 가능성을 가지는 밀집되고 공간 없는 실리콘 산화물 필름을 초래한다.
일 실시예에서, CVD 프로세스에서 이용되는 하나 또는 그 이상의 실리콘 함유 전구체는 분자 구조의 다중 하이드록실 그룹을 포함한다. 하이드록실 그룹은 종래의 SOG(스핀-온 글래스(Spin-on-Glass)) 필름의 특성과 유사한 필름의 유동가능한 특성을 제공하는 CVD 증착 필름에 유지된다. 유동가능한 특성 때문에, 방법(200)을 기초로 한 CVD 증착 필름은 갭필 또는 STI 트렌치의 중앙 주위의 공극의 발생을 감소하는, 증착 동안 기판 갭 또는 트렌치의 바닥 부분에 수집되도록 한다. 또 다른 실시예에서, 분자 구조의 적어도 Si-Si 결합을 구비한 하나 또는 그 이상의 실리콘 함유 전구체는 디실란 및/또는 폴리실란 전구체를 포함한다. 디실란은 분자 구조의 단일의 Si-Si 유닛을 가지며 폴리실란은 다중 Si-Si 결합을 가질 수 있다. 예를 들면, 알콕시 디실란, 알콕시-알킬 디실란, 및 알콕시-아세톡시 디실란을 포함하는 상이한 치환체를 가지는 디실란이 이용될 수 있다. 부가 실시예에서, 더 많은 동족체를 가지는 디실란이 또한 이용될 수 있다. 물론, 당업자는 디실란 및 폴리실란 전구체의 선택시 많은 대안, 변형 및 수정을 알 수 있을 것이다.
상기 CVD 증착 프로세스는 2006년 5월 30일자로 제출되고, 제목이 "CHEMICAL VAPOR DEPOSITION OF HIGH QUALITY FLOW-LIKE SILICON DIOXIDE USING A SILICON CONTAINING PRECURSOR AND ATOMIC OXYGEN"이며 그 전체 내용이 본 명세서에서 모든 목적을 위해 참조되는, Ingle 등의 본 출원인에게 양도된 U.S.특허출원 제60/803,493호에 설명된 것과 유사하다. 그러나 방법(200)에서 하나 또는 그보다 많은 실리콘 함유 전구체와 반응하도록 산소 원자 대신 암모니아의 플라즈마 분해로부터 생성된 라디칼 질소가 사용되어 실리콘 및 질소 함유 필름을 생기게 하며, 이 필름은 하이드록실 그룹(hydroxyl groups)(예를 들면, 실라놀 그룹(silanol groups))의 존재로 인해 유동가능한 특성을 갖는다.
전술한 바와 같이, 방법(200)에서 사용된 (-N, -NH, 및 -NH2와 같은) 반응성 질소종(nitrogen species)은 원격 플라즈마 시스템 내에 암모니아(NH3)를 도입함으로써 생성될 수 있다. 원격 프라즈마 시스템은 분리된 챔버를 포함할 수 있으며, 이 챔버는 증착 챔버에 연결된다. 암모니아를 NH/N/H 라디칼로 분해하는데 사용되는 플라즈마 조건은 실온 내지 약 200℃ 범위의 챔버 온도에서 1 Torr 내지 10 Torr 범위의 챔버 압력하에서 플라즈마를 생성하도록 3kW 내지15kW 범위의 RF 전력을 사용하는 것을 포함할 수 있다. 원격 플라즈마 시스템에서의 암모니아의 분해는 NH 또는 NH2와 같은 하이드로니트렌 라디칼(hydronitrene radicals)과 같은 방사상 질소 전구체를 발생시킨다. 수소(H) 원자 라디칼이 생성될 수도 있다. 예를 들면, 하이드로니트렌 및 수소 라디칼은 방법(200)의 단계(230)에서 생성된다. 그 후, 하나 또는 그보다 많은 실리콘 함유 전구체가 독립적으로 도입된 증착 챔버로 라디칼 질소 전구체가 전달될 수 있다. 예를 들면, 반응성 질소 전구체는 샤워헤드를 통해 전달될 수 있는 반면, 실리콘 전구체는 복수의 홈 노즐(fluted nozzles)을 통해 도입된다.
도 3은 본 발명의 실시예에 따른 암모니아 원격 플라즈마에 의해 생성된 라디칼 질소 전구체와 폴리실란 및/또는 디실란 전구체의 반응으로부터 실리콘 카보나이트라이드(Si:C:N:H) 필름을 형성하는 화학 반응 단계를 개략적으로 도시한다. 도 3은 예시적인 일례이며, 본 발명의 특허청구범위의 범주를 과도하게 제한하지 않아야 한다. 당업자는 다른 변형, 수정 및 대안을 이해할 것이다.
도시된 바와 같이, 하이드로니트렌(NH) 라디칼 및 수소(H) 라디칼은 암모니아 플라즈마에 의해 프로세스(310)에서 생성된다. 하이드로니트렌(NH) 라디칼 및 수소(H) 라디칼은 증착 챔버에서 디실란 또는 폴리실란 전구체를 만나며, 디실란 또는 폴리실란 전구체 내의 고반응성 Si-Si 결합은, 도 3의 반응 프로세스(320)에 도시된 바와 같이, -NH2와 재결합된 실리콘 하이드록실 라디칼을 형성하도록 일반적으로 분해된다. 대안적으로, 디실란 또는 폴리실란 전구체 내의 Si-Si 결합은, 도 3의 측면 프로세스(325)에 도시된 바와 같이, -H와 바로 재결합된 실리콘 하이드록실 라디칼을 형성하도록 분해될 수 있다. 물론, 당업자는 많은 대안, 변형, 및 수정을 이해할 것이다.
도시된 실시예에서, -NH2 라디칼과 결합된 실리콘 하이드록실 그룹은 알코올 그룹을 해제함으로써 실리콘 하이드록실 라디칼로 분해될 수 있다. 예를 들면, 본래 실리콘 함유 전구체 내의 하이드록실 그룹은, 도 3의 프로세스(330)에 도시된 바와 같이, 메탄올(CH3OH)을 형성하도록 질소 원자에 결합되는 H 원자를 포획한다. 발생된 메탄올은 진공 펌프로부터 용이하게 제거되어 추가의 메탄올을 형성시킬 수 있다. 반응물의 나머지 부분은 2개의 미완결 결합(dangling bonds)을 갖는 Si-NH 결합을 함유하는 라디칼이 된다. 그 후, 2개의 미완결 결합은, 도 3의 프로세스(340)에 도시된 바와 같이, Si=NH 이중 결합을 갖는 디메틸실란이민 라디칼(dimethylsilanimine radical)을 형성하도록 빠르게 재결합할 수 있다. 물론, 당업자는 많은 대안, 변형, 및 수정을 이해할 것이다.
다른 예시에서, 화학 반응은 실리콘 함유 전구체에 존재하는 Si-C 결합과 디메틸실란이민 라디칼 사이의 반응을 또한 수반할 수 있다. 도 3의 프로세스(350)에 도시된 바와 같이, 반응은 디메틸실란이민 라디칼이 Si 원자와 C 원자 시이에 바로 삽입되어 실리콘 카보나이트라이드 생성물을 형성하는 결합 삽입 프로세스이다. 물론, 당업자는 많은 대안, 변형, 및 수정을 이해할 것이다.
도 4는 본 발명의 실시예에 따른 유동성 실리콘 및 질소 함유 필름을 증착하는 방법을 도시하는 단순화된 흐름도이다. 이 도면은 예시일 뿐이며, 본 발명의 특허청구범위의 범주를 과도하게 제한하지 않아야 한다. 당업자는 다른 변형, 수정, 및 대안을 이해할 것이다. 도시된 바와 같이, 방법(400)은 기판상에 유동가능한 특성을 갖는 비결정 실리콘 카보나이트라이드 필름을 증착하는 CVD 프로세스이다. 이 방법(400)은 증착 챔버 내에 기판을 제공하는 단계(410)를 포함할 수 있다. 증착 챔버는 원격 플라즈마 시스템에 연결된다. 또한, 이 방법(400)은 원격 플라즈마 내의 암모니아의 분해로부터 라디칼 질소 전구체를 발생시키도록, 암모니아를 원격 플라즈마 시스템 내부로 도입시키는 단계를 포함할 수 있다. 발생된 라디칼 질소 전구체는 NH 라디칼 및 수소(H) 라디칼을 포함할 수 있다. 물론, 다른 대안, 변형, 및 수정이 가능할 수 있다.
또한, 방법(400)은 증착 챔버 내부로 수소(H) 라디칼 및 하이드로니트렌(NH) 라디칼을 전달하는 단계(420)를 포함한다. 일 실시예에서, 하이드로니트렌(NH) 라디칼 및 수소(H) 라디칼은 샤워헤드를 통해 전달되며, 샤워헤드는 원격 플라즈마 시스템에 증착 챔버를 유동적으로 연결한다. 예를 들면, 반응성 질소 라디칼 (및 수반되는 캐리어 가스)은, 2007년 5월 29일자로 제출되고 제목이 "PROCESS CHAMBER FOR DIELECTRIC GAPFILL"이며, 그 전체 내용이 모든 목적을 위해 본 명세서에 참조되는, Lubomirsky 등의 본 출원인에게 양도된 U.S. 특허출원번호 제11/754,924호에 도시된 것과 유사한 샤워헤드 또는 배플을 통해 증착 챔버로 도입될 수 있다.
또한, 이 방법(400)은 증착 챔버 내부로 적어도 Si-Si 결합을 갖는 하나 또는 그보다 많은 실리콘 함유 전구체를 도입하는 단계(430)를 포함한다. 일 실시예에서, 실리콘 함유 전구체는 증착 챔버 내부로 독립적으로 지향된다. 예를 들면, 이들 전구체는 하나 또는 그보다 많은 분리된 CVD 가스원으로부터 오며, 증착 챔버 내부로 도입되기 전에 임의의 암모니아 플라즈마와 만나지 않는다. 다른 실시예에서, 실리콘 함유 전구체는 분자 구조에 단일한 Si-Si 단위를 갖는 디실란 및/또는 복수의 Si-Si 단위를 갖는 폴리실란을 포함한다. 예를 들면, 실리콘 함유 전구체는 도 3에 도시된 바와 같이 에틸 하이드록실 그룹을 갖는 디실란이다.
방법(400)은 증착 챔버 내에서 기판상에 유동성 실리콘 카보나이트라이드 필름을 증착하도록 CVD 프로세스를 실행하는 단계(440)를 더 포함한다. 실리콘 함유 전구체는 증착 챔버 내에서 하이드로니트렌(NH) 라디칼 및 수소(H) 라디칼와 만나기 때문에, 전구체 내의 Si-Si 결합이 고반응성이어서 Si-Si 결합(들)을 갖는 디실란 또는 폴리실란이 실리콘하이드로니트렌 라디칼로 분해되지 않을 것이다. 또한, 실리콘 하이드로니트렌 라디칼은, 반응성 프로세스를 알코올 분해하고 재결합한 후에, 디메틸실란이민 라디칼로 바뀔 수 있다. 그 후, 디메틸실란이민 라디칼은 실리콘 카보나이트라이드 분자를 형성하기 위한 결합 삽입 프로세스에 의해 전구체 내의 Si-C 결합과 반응할 수 있다.
예를 들어, CVD 프로세스(440)는 이하의 처리 조건하에서 증착 챔버 내에서 실행될 수 있다: 1) 전구체 유동 속도가 1m gm/min 내지 15 gm/min으로 설정됨; 2) 증착 챔버가 약 1 mTorr 내지 약 600 Torr 범위의 압력에서 유지됨; 3) 챔버 온도가 약 0℃ 내지 약 400℃ 사이에서 제어됨. 실리콘-하이드로니트렌 (silicon-hydronitrene) 라디칼의 형성은 도 3의 프로세스(320) 동안에 이루어진다. 상기 CVD 프로세스(440)와 관련된 추가의 화학 반응 처리는 도 3에 도시된 반응 단계(330, 340, 및 350)를 포함할 수 있다. 결과적인 실리콘 카보나이트라이드(silicon carbonitride) 분자는 이후 기판상에 증착되어 고체 막을 형성한다. 이러한 막은 사실상 무정형이며 분자 구조 내의 하이드록실(hydroxyl)을 갖는 유사 유동 특성(flow-like characteristics)을 갖는다.
유동가능한 증착 막은 장치 절연성 차단을 위한 매우 낮은 밀도를 갖는, 무-보이드(void-free) 갭필(gapfill)을 형성한다. 방법(400)은 조밀한 산화실리콘 막(450)을 형성하도록 산화 환경(oxidizing environment) 내에서 유동가능한 실리콘 카보나이트라이드 막을 어닐링하는 단계를 더 포함할 수 있다. 종래의 SOG 막과 같이, 다른 유동가능한 절연성 막과 유사하게, 고온 어닐링은 하이드록실 그룹 및 잔여 탄소가 물 및/또는 알코올 기체로 분해되도록 하며, 이러한 물 및/또는 알코올 기체는 즉각적으로 배출되어 상당한 막 수축 및 조밀화(densification)를 초래한다. 특수한 실시예에서는, 어닐링 프로세스(450)가 증기(수증기) 분위기 내에 있는 산화 환경에서의 열적 어닐링이다. 예를 들어, 증기 어닐링은 약 200℃ 내지 약 1050℃의 기판 온도에서 실행될 수 있다. 어닐링 동안의 수증기압은 약 1 Torr 내지 1 atm(즉, 약 760 Torr)이다.
대안적인 실시예에서, 어닐링 프로세스(450)는 실내 온도에서 약 600℃까지 유지되는 기판에 대한 오존 처리(ozone treatment)이다. 오존 처리는 자외선 투사와 병합될 수 있다. 다른 대안적인 실시예에서는, 어닐링 프로세스(450)가 실내 온도부터 약 900℃까지의 분자 산소 처리 또는 실내 온도부터 약 600℃ 까지의 원자 산소 처리를 수반하는 막 경화 처리이다. 물론, 당업자라면 다양한 대안, 변경, 및 수정을 인식할 수 있을 것이다.
다른 특수한 실시예에서는, 증착된 상태의(as-deposited) 실리콘 카보나이트라이드 막 내에 형성된 Si-N(H)-Si 결합의 존재로 인해서, 산화 프로세스(450)가 이러한 Si-N(H)-Si 결합을 Si-O-Si 결합으로 변환시킬 것이다. 질소에 비하여 더 큰 산소의 원자 부피로 인해서, 이러한 변환은 본질적으로 막을 팽창시킨다. 예를 들어, 결합 변환 처리는 도 1에 도시된 화학 반응 처리와 동일하다. 이러한 막 팽창은 반응하지 않은 탄소와 OH 종의 손실로 인해서 막의 수축을 방해한다. 막 팽창 및 수축의 균형화의 순 효과(net effect)는, 무-보이드 갭 필링(gap filling)을 유지하면서 증가된 막 밀도를 가지면서도, 적은 전체적 수축을 갖는 고품질의 산화 실리콘 막이 형성되게 한다.
다른 실시예에서는, 유동가능한 실리콘 카보나이트라이드 막의 어닐링이 수증기 및 산성 기체 모두를 포함하는 분위기에서 실행된다. 알콕시 치환된 디실란(alkoxy substituted disilanes)을 수반하는 CVD-증착 막에 대하여, 산성 환경은 증착과정 동안에 반응하지 않는 유기 부분(organic moieties)의 반응을 촉진시키는 것을 돕는다. 도 5는 본원발명의 실시예에 따른 증착된 상태의 절연성 막을 처리하기 위한 산성 촉매의 화학적 도면을 개략적으로 도시한다. 이러한 다이어그램은 단지 예일 뿐이며 본 명세서의 청구항의 범위를 부당하게 제한해서는 안 된다. 당업자라면 다른 대안, 변경, 및 수정을 인식할 수 있을 것이다. 도시된 바와 같이, 어닐링 과정 동안에 첨가되는 산성 증기를 이용하여 반응되지 않은 하이드록실 그룹이 산의 전자 친화 작용(attack)을 겪게 될 수 있다, 즉, 산으로부터의 H+ 이온은 산소 원자로부터 수소 원자로 하나의 전자를 취함으로써 반응하지 않은 CH3O 그룹을 반응성의 CH3OH 그룹으로 전환시킬 수 있다. 이는 도 5의 프로세스(510)에 도시되어 있다.
이후 수증기는 프로세스(520)에 도시된 바와 같이 반응성 CH3OH 그룹과 반응할 수 있다. 이러한 반응은 실라놀(silanol)(Si-OH) 그룹의 형성 및 막으로부터의 알코올(CH3OH)의 방출을 초래한다. (두 개의 실라놀(Si-OH) 그룹을 결합할 때 물을 방출함으로써 이루어지는) 형성된 실라놀(Si-OH) 그룹의 응축(condensation)은 막 내에 산화실리콘 망(network)의 형성으로 이어진다. 일 실시예에서는, 산 처리와 함께 이루어지는 어닐링이 탄소 종의 제거에 의하여 막 밀도를 증가시키고 다른 방식을 통한 하이드록실 그룹의 손실을 통한 보이드 형성의 가능성을 감소시키는 것을 돕는다. 물론, 다른 대안, 변경, 및 수정이 있을 수 있다.
추가의 실시예에서는, 유동가능한 실리콘 탄소 질화물의 어닐링이 암모니아(NH3)와 같은 염기성 증기 및 수증기 모두를 포함하는 분위기에서 실행된다. 알콕시 치환된 디실란을 수반하는 CVD-증착 막에 대하여, 염기성 환경은 증착과정 동안에 반응하지 않는 유기 부분의 반응을 촉진시키는 것을 돕는다.
도 6a-6b는 증착된 상태의 절연성 막의 염기 촉매 처리(base catalyzed treatment)의 두 가지 화학 반응 메커니즘을 개략적으로 도시한다. 도 6a에 도시된 제1 메커니즘은 암모니아를 포함하는 염기성 증기에 의한 알킬 그룹의 친핵성(nucleophilic) 작용을 포함한다. 이러한 메커니즘에서는, 실리콘 알콕사이드(alkoxide) 그룹의 알킬 부분이 암모니아로 이동되며, 이러한 암모니아 역시 이러한 이동 동안에 양성자(H+)를 내어 놓는다. 알킬 그룹의 이동은 물 분자도 수반하며, 이는 떠나서 실라놀(-Si-OH) 그룹을 형성하는 알킬 그룹을 대체하도록 양성자를 기부한다. 후속 반응에서, 경화된 절연성 산화물 막 내에서 -Si-OH 그룹을 -Si-O-Si 그룹으로 변환시키도록 실라놀 압축 반응이 발생할 수 있다.
도 6b에 도시된 제2 반응 메커니즘은 암모니아를 포함하는 염기성 증기에 의한, 실리콘 알콕사이드의 실리콘 원자의 친핵성 작용을 포함한다. 이러한 반응은 실릴 아민(silyl amine)을 형성하도록, 실리콘에 작용된 알콕사이드 그룹의 암모니아 분자에 의한 치환을 포함한다. 알콕사이드 그룹은 알코올을 형성하기 위하여 물분자로부터 기증된 양성자와 반응한다. 후속적인 반응에서, 실릴 아민은 실라놀을 형성하고 암모니아를 재생시키기 위하여 가수분해될 수 있다. 이후, 도 6a에 도시된 메커니즘과 유사하게, 실라놀 그룹이 경화된 절연성 산화물 막 내에서 -Si-OH 그룹을 -Si-O-Si 그룹으로 변환시키는 응축 반응을 거칠 수 있다.
도 6a-b에 도시된 염기 촉매 반응은 가능한 반응 메커니즘 중의 단지 두 개의 예일 뿐이며 본 명세서의 청구항의 범위를 부당하게 제한해서는 안 된다는 것을 이해하여야 한다. 당업자라면, 증착된 상태의 실리콘 알콕사이드 종의 염기 촉매 처리에 대한 다른 변경, 수정 및 대안을 알 수 있을 것이다.
유동가능한 유기-실리콘 막으로부터의 탄소(예를 들어, 알킬 및 알킬 산화물 그룹)의 염기 촉매 제거에 대한 예시적인 처리 조건에는 물 및 염기성 증기를 포함하는 환경에서 막의 사후-증착 경화가 포함될 수 있다. 예를 들어, 염기성 증기가 염기 촉매로서 암모니아를 포함한다면, 증착된 막은 약 2 내지 약 5 분 동안 약 1 Torr 내지 약 40 Torr의 압력에서 습성(moist) 암모니아 분위기에 노출되면서 약 300℃까지 가열될 수 있다. 추가의 실시예에서는, 암모니아 분위기가 질소 전구체(예를 들어 NH3)의 원격 플라즈마 해리에 의해 생성되는 산소(O2) 가스, 및/또는 원자의 질소(N)를 포함할 수도 있다.
이제 도 7을 참조하면, 본원발명의 실시예에 따른 예시적인 처리 시스템(700)의 횡단면도가 도시된다. 이러한 시스템(700)은 증착 챔버(701)를 포함하는데, 여기서는 전구체가 화학적으로 반응하여 기판 웨이퍼(702) 상에 유동가능한 절연성 막을 증착한다. 웨이퍼(702)(예를 들어, 200 mm, 300 mm, 400 mm 등등의 직경의 반도체 기판 웨이퍼)는 회전 가능한 페데스탈(704)에 결합될 수 있으며, 이 러한 페데스탈은 기판을 위에 놓인 전구체 분배 시스템(706)으로부터 멀리 떨어뜨리거나 가깝게 위치시키도록 수직방향으로 이동가능하다. 페데스탈은 약 1 rpm 내지 약 2000 rpm(예를 들어 약 10 rpm 내지 약 120 rpm)의 회전 속도에서 기판 웨이퍼를 회전시킬 수 있다. 페데스탈은 전구체 분배 시스템의 측면 노즐(708)로부터 예를 들어 약 0.5 mm 내지 약 100 mm 떨어진 거리로 기판을 수직으로 이동시킬 수 있다.
전구체 분배 시스템(706)은 다수의 방사상으로 분포된 측부 노즐(708)을 포함하고, 이 측부 노즐의 각각은 두 개의 서로 다른 길이 중 하나를 갖는다. 추가적인 실시예(미도시)에서, 측부 노즐은 증착 챔버의 벽 주위로 분포된 개구의 링을 남기고 제거될 수 있다. 전구체는 챔버 안으로 이 개구들을 통해 유동한다.
분배 시스템(706)은 또한 원뿔형태의 상부 배플(710)을 포함할 수 있고 이 배플은 기판 페데스탈(704)의 중앙부와 동축에 있을 수 있다. 유체 채널(712)은 배플(710)의 중앙부를 통해 뻗어 있을 수 있고 이에 의해 배플의 외부 직접적인 표면 아래로 유동하는 전구체와 상이한 조성을 가진 전구체 또는 캐리어 가스를 공급한다.
배플(710)의 외부면은 도관(714)에 의해 둘러싸일 수 있고, 이 도관은 증착 챔버(701) 위에 위치한 반응종 생성 시스템(미도시)으로부터의 반응성 전구체를 유도한다. 이 도관(714)은 반응종 생성 시스템에 연결된 대향 단부 및 배플(710)의 외부면 상의 일단부 개구를 구비한 직선 원형 튜브일 수 있다.
반응종 생성 시스템은 원격 플라즈마 생성 시스템(RPS)일 수 있고, 이 RPS 시스템은 플라즈마에 더욱 안정적인 시작 물질을 노출시킴에 의해 반응종을 생성한다. 예를 들면, 시작 물질은 분자 산소(또는 오존)를 포함하는 혼합물일 수 있다. RPS로부터 플라즈마로의 시작 물질의 노출은 분자 산소의 일부분이 원자 산소로 분해되게 하고, 높은 반응성의 라디칼종은 훨씬 낮은 온도(예를 들어 100℃ 미만)에서 유기 실리콘 전구체(예를 들어 TMOS, OMCTS)와 화학적으로 반응하여 기판 표면상에 유동가능한 유전체를 형성한다. 반응종 생성 시스템에서 생성된 반응종은 상온에서조차도 다른 증착 전구체와 매우 반응성이 높기 때문에, 다른 증착 전구체들과 혼합되기 이전에 분리된 가스 혼합물 다운 도관에서 수송될 수 있고 배플(710)에 의해 반응 챔버(701)로 분산될 수 있다.
또한, 시스템(700)은 증착 챔버(701)의 돔(716) 주위로 코일된 RF 코일(미도시)을 포함할 수 있다. 이러한 코일은 증착 챔버(701)에서 유도적으로 결합된 플라즈마를 만들 수 있고 이에 의해 반응종 전구체 및 다른 전구체의 반응성을 추가적으로 향상시키며 이로써 기판상에 유체 유전체 필름을 증착시킨다. 예를 들면, 측부 노즐(708) 중 하나 이상 및/또는 채널(712)로부터의 유기-실리콘 전구체 및 배플(710)에 의해 챔버 안으로 분산되는 반응성 원자 산소를 함유한 가스 유동은 RF 코일에 의해 기판(702) 위에 형성된 플라즈마로 유도될 수 있다. 원자 산소 및 유기-실리콘 전구체는 저온에서조차도 플라즈마에서 빠르게 반응하고 이에 의해 기판 표면상에 매우 유동가능한 유전체 필름을 형성한다.
기판 표면 그 자체는 페데스탈(704)에 의해 회전될 수 있고 이에 의해 증착된 필름의 균일성을 향상시킨다. 회전면은 웨이퍼 증착 표면과 평행할 수 있거나 또는 두 평면은 부분적으로 정렬되지 않을 수 있다. 평면들이 정렬되지 않을(out of alignment) 때, 기판(704)의 회전은 흔들림을 만들 수 있고, 이 흔들림은 증착 표면 위의 공간에 유체 난류를 생성한다. 일정한 경우에, 이 난류는 기판 표면상에 증착되는 유전체 필름의 균일성을 향상시킬 수 있다. 또한, 페데스탈(704)은 움직일 때 페데스탈 상에 위치한 웨이퍼를 유지시키기 위해 진공 처크를 만드는 리세스 및/또는 다른 구조를 포함할 수 있다. 챔버의 일반적인 증착 압력은 약 0.05 Torr 내지 약 200 Torr의 총 챔버 압력(예를 들어 1 Torr)의 범위에 있고, 이는 진공 처크가 웨이퍼를 일정한 위치에 지지시키는 것을 가능하게 한다.
페데스탈 회전은 페데스탈(704)을 지지하는 샤프트(720)에 회전적으로 결합되고 증착 챔버(701) 아래에 위치한 모터(718)에 의해 구동될 수 있다. 또한, 샤프트(720)는 페데스탈(704)로 증착 챔버(미도시) 아래에서 냉각/가열 시스템으로부터의 냉각 유체 및/또는 전기적 와이어를 운반하는 내부 채널(미도시)을 포함할 수 있다. 이러한 채널은 페데스탈의 주변부로 중앙부로부터 연장될 수 있고 이에 의해 위에 있는 기판 웨이퍼(702)에 균일한 냉각 및/또는 가열을 제공한다. 또한, 샤프트(720) 및 기판 페데스탈(704)이 회전하고 및/또는 평행이동할 때 채널이 작동하도록 설계될 수 있다. 예를 들면, 냉각 시스템은 페데스탈이 회전하는 동안 유동가능한 산화물 필름의 증착 동안 100℃ 미만의 온도로 기판 웨이퍼(702)를 유지시키도록 작동할 수 있다.
또한, 이 시스템(700)은 돔(716) 위에 위치한 방사 시스템(irradiation system; 722)을 포함할 수 있다. 방사 시스템(722)으로부터의 램프(미도시)는 하위 기판(702)에 방사할 수 있고 이에 의해 기판상의 증착된 필름을 굽거나 또는 어닐링한다. 또한, 램프는 증착 동안 활성화될 수 있고 이에 의해 필름 전구체 또는 증착된 필름에서 반응을 향상시킨다. 돔(716)의 적어도 상부는 램프로부터 방출된 광의 일부를 전송할 수 있는 반투명 물질로 만들어진다.
값의 범위가 제공되는 경우, 이 범위의 상위 및 하위 한계 사이의 각각의 사이값, 이 문맥에서 분명하게 지시되지 않는다면 그 하위 한계의 유닛의 1/10(the tenth of the unit of the lower limit)에 이르는 사이값이 구체적으로 개시된다. 표시된 범위의 표시값 또는 사이값과 표시된 범위의 다른 표시값 또는 사이값 사이의 각각의 작은 범위는 본 발명 내에 포함된다. 이러한 작은 범위의 상위 및 하위 한계는 이 범위에 독립적으로 포함되거나 또는 제외될 수 있고, 한계 중 어느 하나 또는 둘 모두가 작은 범위에 포함되거나 둘 모두 작은 범위에 포함되지 않는 각각의 범위는 본 발명 내에 포함되고, 표시된 범위에서 특히 제외된 한계에 있을 수도 있다. 표시된 범위가 이러한 한계 중 하나 또는 둘 모두를 포함하는 경우에, 한계를 포함하는 것들 중 어느 하나 또는 둘 모두를 제외하는 범위가 또한 본 발명에 포함된다.
여기서 그리고 첨부된 청구항에서 이용된 용어 중, 단수 형태인 "하나", "및" 및 "그"란 표현은 그 문맥에서 분명하게 지시하지 않았다면 복수 형태도 포함한다. 따라서, 예를 들면 "하나의 프로세스"란 표현은 다수의 이러한 프로세스들을 포함할 수 있고, "그 노즐"이란 표현은 하나 이상의 노즐 및 당업자에게 알려진 그 동등물 등을 포함할 수 있다.
또한, "이루어지다", "이루어지는", "포함하는", "포함한", 그리고 "포함하는"이란 용어가 이 명세서 및 이하의 청구항에서 사용될 때 이들은 표시된 피쳐(feature), 완전체(integer), 구성요소 또는 단계의 존재를 나타내려는 의도이고, 이들이 하나 이상의 다른 피쳐, 완전체, 구성요소, 단계 또는 그룹의 존재 또는 추가를 제외하는 것은 아니다.
도 1은 본 발명의 실시예에 따라 Si-N(H)-Si 함유 화합물을 형성하도록 반응성 질소 종을 구비한 실리콘 전구체 내의 Si-Si 결합 반응하여, 상기 화합물이 Si-O-Si 함유 화합물로 산화되는 화학 반응 프로세스를 개략적으로 보여주며,
도 2는 본 발명의 실시예에 따라 기판상의 실리콘 산화물 필름을 형성하는 방법을 나타내는 단순화된 흐름도이며,
도 3은 본 발명의 실시예에 따라 원격 플라즈마 내의 암모니아의 분해에 의해 발생되는 디실란 또는 폴리실란 전구체 및 라디칼 질소 전구체로부터의 실리콘 카보나이트라이드 Si:C:N:H을 형성하기 위한 개략적인 화학 반응 단계를 보여주며,
도 4는 본 발명의 실시예에 따라 유동가능한 실리콘 및 질소 함유 필름의 증착 방법을 나타내는 흐름도이며,
도 5는 본 발명의 실시예에 따라 증착된 상태의 유전체 필름 내의 탄소 기재 종의 산성 촉매 제거를 위한 화학적 반응 기구를 개략적으로 보여주며,
도 6a 내지 도 6b는 본 발명의 실시예에 따라 증착된 상태의 유전체 필름 내의 탄소 기재 종의 염기성 촉매 제거를 위한 화학적 반응 기구를 개략적으로 보여주며,
도 7은 본 발명의 실시예에 따라 기판상의 실리콘 산화물 필름을 형성하기 위한 전형적인 프로세스 시스템의 단면도이다.
※ 도면의 주요 부분에 대한 부호의 설명 ※
700: 처리 시스템 701: 증착 챔버
702: 웨이퍼 704: 기판 받침대
706: 전구체 분배 시스템 708: 측면 노즐
710: 상부 배플 712: 유체 채널
714: 도관 716: 돔
718: 모터 720: 샤프트
722: 방사 시스템

Claims (39)

  1. 실리콘 및 질소 함유 필름을 기판상에 증착하는 방법으로서,
    두 개 이상의 실리콘 원자를 포함하는 실리콘 함유 전구체를 상기 기판을 포함하고 있는 증착 챔버로 도입하는 단계와,
    상기 증착 챔버의 외부에 위치된 원격 플라즈마 시스템을 이용하여 하나 이상의 라디칼 질소 전구체를 생성하는 단계, 및
    상기 라디칼 질소 전구체를 상기 증착 챔버로 도입하는 단계를 포함하며,
    상기 라디칼 질소와 실리콘 함유 전구체가 반응하여 상기 실리콘 및 질소 함유 필름을 상기 기판상에 증착하는,
    실리콘 및 질소 함유 필름을 기판상에 증착하는 방법.
  2. 제 1 항에 있어서,
    원자 질소의 생성은 암모니아를 상기 원격 플라즈마 시스템 내의 플라즈마에 노출시키는 단계를 포함하며, 상기 암모니아의 적어도 일부분은 상기 라디칼 질소 전구체로 분해되는,
    실리콘 및 질소 함유 필름을 기판상에 증착하는 방법.
  3. 제 1 항에 있어서,
    상기 라디칼 질소 전구체는 화학식 NHx를 가지며, 여기서 x는 0, 1 또는 2인,
    실리콘 및 질소 함유 필름을 기판상에 증착하는 방법.
  4. 제 1 항에 있어서,
    상기 실리콘 함유 전구체는 디실란 전구체 또는 폴리실란 전구체를 포함하는,
    실리콘 및 질소 함유 필름을 기판상에 증착하는 방법.
  5. 제 1 항에 있어서,
    상기 실리콘 함유 전구체는 알콕시 디실란, 알콕시-알킬 디실란, 및 폴리실란으로 이루어지는 그룹으로부터 선택되는,
    실리콘 및 질소 함유 필름을 기판상에 증착하는 방법.
  6. 제 1 항에 있어서,
    상기 실리콘 및 질소 함유 필름은 실리콘 카보나이트라이드 필름을 포함하는,
    실리콘 및 질소 함유 필름을 기판상에 증착하는 방법.
  7. 제 1 항에 있어서,
    상기 실리콘 및 질소 함유 필름은 Si-N(H)-Si 결합 함유 필름을 포함하는,
    실리콘 및 질소 함유 필름을 기판상에 증착하는 방법.
  8. 제 1 항에 있어서,
    실리콘 산화물 필름을 형성하도록 실리콘 및 질소 함유 필름을 어닐링하는 단계를 더 포함하는,
    실리콘 및 질소 함유 필름을 기판상에 증착하는 방법.
  9. 제 8 항에 있어서,
    상기 어닐링하는 단계는 증기를 포함하는 분위기에서 실행되는,
    실리콘 및 질소 함유 필름을 기판상에 증착하는 방법.
  10. 제 8 항에 있어서,
    상기 어닐링하는 단계는 산성 증기를 포함하는 분위기에서 실행되는,
    실리콘 및 질소 함유 필름을 기판상에 증착하는 방법.
  11. 제 8 항에 있어서,
    상기 어닐링하는 단계는 약 20℃ 내지 약 900℃ 범위의 온도에서 실행되는,
    실리콘 및 질소 함유 필름을 기판상에 증착하는 방법.
  12. 제 8 항에 있어서,
    상기 어닐링하는 단계는 오존(O3)을 포함하는 분위기에서 실행되는,
    실리콘 및 질소 함유 필름을 기판상에 증착하는 방법.
  13. 제 12 항에 있어서,
    상기 어닐링하는 단계는 약 20℃ 내지 약 600℃ 범위의 온도에서 기판을 자외선에 노출시키는 단계를 더 포함하는,
    실리콘 및 질소 함유 필름을 기판상에 증착하는 방법.
  14. 제 8 항에 있어서,
    상기 어닐링하는 단계는 분자 산소(O2)를 포함하는 분위기에서 실행되는,
    실리콘 및 질소 함유 필름을 기판상에 증착하는 방법.
  15. 제 8 항에 있어서,
    상기 어닐링하는 단계는 약 20℃ 내지 약 600℃ 범위의 온도에서 원자 산소(O)를 포함하는 분위기에서 실행되는,
    실리콘 및 질소 함유 필름을 기판상에 증착하는 방법.
  16. 실리콘 산화물 필름을 기판상에 형성하는 방법으로서,
    증착 챔버 내에 상기 기판을 제공하는 단계와,
    상기 증착 챔버에 연결된 원격 플라즈마 시스템을 이용하여 복수의 하이드로니트렌 라디칼을 생성하는 단계와,
    적어도 Si-Si 결합을 포함하는 실리콘 함유 전구체를 증착 챔버로 도입하는 단계와,
    상기 복수의 하이드로니트렌 라디칼을 상기 증착 챔버로 도입하는 단계로서, 상기 하이드로니트렌 라디칼 및 실리콘 함유 전구체가 반응하여 상기 기판상에 제 1 필름을 증착하며, 상기 제 1 필름이 복수의 Si-N(H)-Si 결합을 포함하는, 도입 단계와,
    증기 분위기에서 상기 제 1 필름을 어닐링하는 단계, 및
    상기 기판상에 복수의 상기 Si-O-Si 결합을 포함하는 제 2 필름을 형성하는 단계를 포함하는,
    실리콘 산화물 필름을 기판상에 형성하는 방법.
  17. 제 16 항에 있어서,
    상기 복수의 하이드로니트렌 라디칼을 생성하는 단계는 암모니아를 상기 원격 플라즈마 시스템 내의 플라즈마에 노출시키는 단계를 포함하며, 상기 암모니아의 적어도 일부분은 복수의 상기 하이드로니트렌 라디칼로 분해되는,
    실리콘 산화물 필름을 기판상에 형성하는 방법.
  18. 제 16 항에 있어서,
    상기 실리콘 함유 전구체는 디실란 전구체 또는 폴리실란 전구체를 포함하 는,
    실리콘 산화물 필름을 기판상에 형성하는 방법.
  19. 제 16 항에 있어서,
    상기 제 1 필름은 유동가능한 특징을 갖는 하이드록실 그룹을 더 포함하는,
    실리콘 산화물 필름을 기판상에 형성하는 방법.
  20. 제 16 항에 있어서,
    상기 제 1 필름을 어닐링하는 단계는 약 20℃ 내지 약 900℃ 범위의 온도에서 실행되는,
    실리콘 산화물 필름을 기판상에 형성하는 방법.
  21. 제 16 항에 있어서,
    상기 증기 분위기는 수증기와 산성 증기를 포함하는,
    실리콘 산화물 필름을 기판상에 형성하는 방법.
  22. 제 21 항에 있어서,
    상기 제 2 필름을 형성하는 단계는 상기 제 1 필름 내의 복수의 Si-N(H)-Si 결합의 적어도 일부분을 복수의 Si-O-Si 결합의 제 1 부분으로 전환시키도록 상기 수증기를 사용하는 단계를 포함하는,
    실리콘 산화물 필름을 기판상에 형성하는 방법.
  23. 제 21 항에 있어서,
    상기 제 2 필름을 형성하는 단계는 반응성 OH 그룹을 형성하도록 미반응 하이드록실 그룹과 상기 수증기와의 반응을 촉진시켜 상기 복수의 Si-O-Si 결합의 제 2 부분을 형성하도록 상기 산성 증기를 사용하는 단계를 더 포함하는,
    실리콘 산화물 필름을 기판상에 형성하는 방법.
  24. 제 21 항에 있어서,
    상기 산성 증기는 염화수소 산 또는 아세트 산을 포함하는,
    실리콘 산화물 필름을 기판상에 형성하는 방법.
  25. 제 16 항에 있어서,
    상기 제 2 필름은 상기 제 1 필름보다 큰 밀도를 가지는,
    실리콘 산화물 필름을 기판상에 형성하는 방법.
  26. 기판상에 실리콘 산화물 층을 경화시키는 방법으로서,
    반도체 처리 챔버 및 기판을 제공하는 단계와,
    상기 기판의 적어도 일부분 위에 놓이며 제조 부산물로서의 탄소 종을 포함하는 실리콘 산화물 층을 형성하는 단계와,
    상기 실리콘 산화물 층으로부터 상기 탄소 종을 제거하도록 상기 실리콘 산화물 층과 반응하는 염기성 증기를 상기 반도체 처리 챔버의 내부로 도입하는 단계, 및
    상기 염기성 증기를 상기 반도체 처리 챔버로부터 제거하는 단계를 포함하는,
    기판상의 실리콘 산화물 층을 경화시키는 방법.
  27. 제 26 항에 있어서,
    상기 염기성 증기는 암모니아를 포함하는,
    기판상의 실리콘 산화물 층을 경화시키는 방법.
  28. 제 26 항에 있어서,
    상기 실리콘 층은 알콕시실란 프로세스를 사용하여 증착되는,
    기판상의 실리콘 산화물 층을 경화시키는 방법.
  29. 제 26 항에 있어서,
    상기 실리콘 산화물 층은 플라즈마 CVD 프로세스를 사용하여 증착되는,
    기판상의 실리콘 산화물 층을 경화시키는 방법.
  30. 제 26 항에 있어서,
    상기 염기성 증기를 도입하는 단계는 암모니아 가스를 상기 반도체 처리 챔버의 내부로 유동시키는 단계를 더 포함하는,
    기판상의 실리콘 산화물 층을 경화시키는 방법.
  31. 제 26 항에 있어서,
    상기 염기성 증기는 상기 실리콘 산화물 층 내의 반응들을 완료시키도록 촉매로서의 기능을 하는,
    기판상의 실리콘 산화물 층을 경화시키는 방법.
  32. 제 26 항에 있어서,
    상기 증기는 산과 물의 혼합물인,
    기판상의 실리콘 산화물 층을 경화시키는 방법.
  33. 제 26 항에 있어서,
    상기 증기는 분자 산소(O2)를 더 포함하는,
    기판상의 실리콘 산화물 층을 경화시키는 방법.
  34. 제 26 항에 있어서,
    상기 증기는 원격 발생되는 라디칼 원자 질소를 더 포함하는,
    기판상의 실리콘 산화물 층을 경화시키는 방법.
  35. 제 26 항에 있어서,
    상기 염기성 증기와 실리콘 산화물 층 사이의 반응은 약 60℃ 내지 약 600℃ 사이에서 실행되는,
    기판상의 실리콘 산화물 층을 경화시키는 방법.
  36. 제 25 항에 있어서,
    상기 반응은 약 300℃에서 실행되는,
    기판상의 실리콘 산화물 층을 경화시키는 방법.
  37. 제 26 항에 있어서,
    상기 처리 챔버는 상기 실리콘 산화물 층과의 반응 중에 약 1 Torr 내지 760 Torr의 압력을 가지는,
    기판상의 실리콘 산화물 층을 경화시키는 방법.
  38. 제 37 항에 있어서,
    상기 처리 챔버는 약 40 Torr의 압력을 가지는,
    기판상의 실리콘 산화물 층을 경화시키는 방법.
  39. 제 8 항에 있어서,
    상기 어닐링하는 단계는 약 200℃ 내지 약 1050℃의 온도 범위에서 실행되는,
    실리콘 및 질소 함유 필름을 기판상에 증착하는 방법.
KR1020080103819A 2007-10-22 2008-10-22 원격 플라즈마 cvd에 의한 디실란 전구체로부터의 고품질 실리콘 산화물 막의 형성 KR101042788B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/876,538 2007-10-22
US11/876,538 US7867923B2 (en) 2007-10-22 2007-10-22 High quality silicon oxide films by remote plasma CVD from disilane precursors

Publications (2)

Publication Number Publication Date
KR20090040870A true KR20090040870A (ko) 2009-04-27
KR101042788B1 KR101042788B1 (ko) 2011-06-20

Family

ID=40340585

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080103819A KR101042788B1 (ko) 2007-10-22 2008-10-22 원격 플라즈마 cvd에 의한 디실란 전구체로부터의 고품질 실리콘 산화물 막의 형성

Country Status (7)

Country Link
US (2) US7867923B2 (ko)
EP (1) EP2053143A3 (ko)
JP (1) JP2009111382A (ko)
KR (1) KR101042788B1 (ko)
CN (1) CN101418438B (ko)
SG (1) SG152183A1 (ko)
TW (1) TWI506158B (ko)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130029056A (ko) * 2010-03-02 2013-03-21 어플라이드 머티어리얼스, 인코포레이티드 단일 단계 선택적 질화를 위한 방법 및 장치
KR101445344B1 (ko) * 2011-04-20 2014-09-29 어플라이드 머티어리얼스, 인코포레이티드 저온 실리콘 산화물 변환
WO2014179072A1 (en) * 2013-05-02 2014-11-06 Applied Materials, Inc. Low temperature flowable curing for stress accommodation
KR20180005630A (ko) * 2016-07-06 2018-01-16 에이에스엠 아이피 홀딩 비.브이. 쉼 또는 보이드 없이 트렌치 내에 충전된 막 형성 방법
KR20180021214A (ko) * 2015-07-13 2018-02-28 어플라이드 머티어리얼스, 인코포레이티드 다공성 필름들 내로의 uv-보조 재료 주입

Families Citing this family (475)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6789191B1 (en) * 1999-05-25 2004-09-07 Silverbrook Research Pty Ltd Interactive device network registration protocol
WO2003019645A1 (fr) * 2001-08-30 2003-03-06 Tokyo Electron Limited Procede et appareil de formation d'un film
US7902080B2 (en) * 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
KR100748559B1 (ko) * 2006-08-09 2007-08-10 삼성전자주식회사 플래시 메모리 장치 및 그 제조 방법
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20100081293A1 (en) * 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8329587B2 (en) * 2009-10-05 2012-12-11 Applied Materials, Inc. Post-planarization densification
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) * 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
KR20110057645A (ko) * 2009-11-24 2011-06-01 삼성전자주식회사 절연막 형성 방법 및 이를 포함하는 트랜지스터 형성 방법
US20110151677A1 (en) * 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
SG181670A1 (en) * 2009-12-30 2012-07-30 Applied Materials Inc Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
US8329262B2 (en) * 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
CN102754193A (zh) * 2010-01-06 2012-10-24 应用材料公司 使用氧化物衬垫的可流动电介质
KR101837648B1 (ko) 2010-01-07 2018-04-19 어플라이드 머티어리얼스, 인코포레이티드 라디칼-컴포넌트 cvd를 위한 인­시츄 오존 경화
KR20110096843A (ko) * 2010-02-23 2011-08-31 삼성전자주식회사 반도체 소자의 제조 방법
WO2011109148A2 (en) * 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
JP5524152B2 (ja) * 2010-12-06 2014-06-18 株式会社東芝 基板処理装置、及び成膜システム
US20120177846A1 (en) * 2011-01-07 2012-07-12 Applied Materials, Inc. Radical steam cvd
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
KR101893471B1 (ko) 2011-02-15 2018-08-30 어플라이드 머티어리얼스, 인코포레이티드 멀티존 플라즈마 생성을 위한 방법 및 장치
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8647993B2 (en) * 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9117877B2 (en) * 2012-01-16 2015-08-25 Globalfoundries Inc. Methods of forming a dielectric cap layer on a metal gate structure
US20130187236A1 (en) 2012-01-20 2013-07-25 Globalfoundries Inc. Methods of Forming Replacement Gate Structures for Semiconductor Devices
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8871656B2 (en) * 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
CN102832119B (zh) * 2012-07-03 2015-12-16 上海华力微电子有限公司 低温二氧化硅薄膜的形成方法
JP6001940B2 (ja) * 2012-07-11 2016-10-05 東京エレクトロン株式会社 パターン形成方法及び基板処理システム
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9417515B2 (en) 2013-03-14 2016-08-16 Applied Materials, Inc. Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9612521B2 (en) 2013-03-12 2017-04-04 Applied Materials, Inc. Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9632411B2 (en) 2013-03-14 2017-04-25 Applied Materials, Inc. Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor
US20140272684A1 (en) 2013-03-12 2014-09-18 Applied Materials, Inc. Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140273531A1 (en) * 2013-03-14 2014-09-18 Asm Ip Holding B.V. Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9831466B2 (en) 2013-06-29 2017-11-28 Aixtron Se Method for depositing a multi-layer moisture barrier on electronic devices and electronic devices protected by a multi-layer moisture barrier
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9190263B2 (en) 2013-08-22 2015-11-17 Asm Ip Holding B.V. Method for forming SiOCH film using organoaminosilane annealing
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9362109B2 (en) 2013-10-16 2016-06-07 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9362107B2 (en) 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9520301B2 (en) 2014-10-21 2016-12-13 Samsung Electronics Co., Ltd. Etching method using plasma, and method of fabricating semiconductor device including the etching method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
TWI670756B (zh) 2014-12-22 2019-09-01 美商應用材料股份有限公司 藉由沉積調整來解決fcvd的線條彎曲
US9777378B2 (en) * 2015-01-07 2017-10-03 Applied Materials, Inc. Advanced process flow for high quality FCVD films
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9508545B2 (en) 2015-02-09 2016-11-29 Applied Materials, Inc. Selectively lateral growth of silicon oxide thin film
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9777025B2 (en) * 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10062561B2 (en) * 2016-11-01 2018-08-28 Applied Materials, Inc. High-pressure annealing and reducing wet etch rates
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
JP7061432B2 (ja) * 2017-02-16 2022-04-28 レール・リキード-ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード Si含有膜の成膜方法
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
CN110612596B (zh) * 2017-04-13 2023-08-15 应用材料公司 用于沉积低介电常数膜的方法与设备
US10017856B1 (en) 2017-04-17 2018-07-10 Applied Materials, Inc. Flowable gapfill using solvents
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
JP7190450B2 (ja) 2017-06-02 2022-12-15 アプライド マテリアルズ インコーポレイテッド 炭化ホウ素ハードマスクのドライストリッピング
US20180363133A1 (en) * 2017-06-16 2018-12-20 Applied Materials, Inc. Method and Apparatus for Void Free SiN Gapfill
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10234630B2 (en) 2017-07-12 2019-03-19 Applied Materials, Inc. Method for creating a high refractive index wave guide
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
US11065654B2 (en) * 2017-07-17 2021-07-20 Lam Research Corporation In situ vapor deposition polymerization to form polymers as precursors to viscoelastic fluids for particle removal from substrates
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
WO2019032457A1 (en) * 2017-08-08 2019-02-14 Applied Materials, Inc. METHODS AND APPARATUSES FOR DEPOSITING LOW DIELECTRIC CONSTANT FILMS
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10096516B1 (en) 2017-08-18 2018-10-09 Applied Materials, Inc. Method of forming a barrier layer for through via applications
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11177128B2 (en) 2017-09-12 2021-11-16 Applied Materials, Inc. Apparatus and methods for manufacturing semiconductor structures using protective barrier layer
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
US10872762B2 (en) * 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
CN117936420A (zh) 2017-11-11 2024-04-26 微材料有限责任公司 用于高压处理腔室的气体输送系统
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102622303B1 (ko) 2017-11-16 2024-01-05 어플라이드 머티어리얼스, 인코포레이티드 고압 스팀 어닐링 프로세싱 장치
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
KR102649241B1 (ko) 2018-01-24 2024-03-18 어플라이드 머티어리얼스, 인코포레이티드 고압 어닐링을 사용한 심 힐링
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
EP3762962A4 (en) 2018-03-09 2021-12-08 Applied Materials, Inc. HIGH PRESSURE ANNEALING PROCESS FOR METAL-BASED MATERIALS
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US20190376178A1 (en) * 2018-06-11 2019-12-12 Versum Materials Us, Llc Compositions and Methods Using Same for Deposition of Silicon-Containing Film
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
KR102528076B1 (ko) 2018-10-30 2023-05-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 구조를 식각하기 위한 방법들
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
JP2022507390A (ja) 2018-11-16 2022-01-18 アプライド マテリアルズ インコーポレイテッド 強化拡散プロセスを使用する膜の堆積
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
US11658026B2 (en) 2020-10-23 2023-05-23 Applied Materials, Inc. Conformal silicon oxide film deposition
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
KR20220081905A (ko) 2020-12-09 2022-06-16 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 증착용 실리콘 전구체
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (259)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4147571A (en) * 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
FR2598520B1 (fr) * 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
US4818326A (en) * 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
US4816098A (en) * 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4931354A (en) * 1987-11-02 1990-06-05 Murata Manufacturing Co., Ltd. Multilayer printed circuit board
JPH03257182A (ja) * 1990-03-07 1991-11-15 Hitachi Ltd 表面加工装置
US5016332A (en) * 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5436172A (en) * 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5426076A (en) * 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization
JP3238744B2 (ja) * 1992-05-15 2001-12-17 正俊 右高 絶縁膜の製造方法及びこの絶縁膜を使用する半導体装置の製造方法
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
JP3216266B2 (ja) * 1992-09-22 2001-10-09 ソニー株式会社 平坦化絶縁膜の製造方法
US5393708A (en) 1992-10-08 1995-02-28 Industrial Technology Research Institute Inter-metal-dielectric planarization process
JPH07176528A (ja) * 1993-11-02 1995-07-14 Nippon Steel Corp 半導体装置における絶縁膜の製造方法
US5587014A (en) 1993-12-22 1996-12-24 Sumitomo Chemical Company, Limited Method for manufacturing group III-V compound semiconductor crystals
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
JPH07316823A (ja) * 1994-05-31 1995-12-05 Sony Corp プラズマcvd装置およびプラズマcvd方法
JPH0822986A (ja) * 1994-07-05 1996-01-23 Sony Corp 絶縁膜の成膜方法
US5468687A (en) 1994-07-27 1995-11-21 International Business Machines Corporation Method of making TA2 O5 thin film by low temperature ozone plasma annealing (oxidation)
US5576071A (en) 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
JPH08148559A (ja) 1994-11-15 1996-06-07 Fujitsu Ltd 絶縁膜を有する半導体装置の製造方法
US5530293A (en) 1994-11-28 1996-06-25 International Business Machines Corporation Carbon-free hydrogen silsesquioxane with dielectric constant less than 3.2 annealed in hydrogen for integrated circuits
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5786263A (en) * 1995-04-04 1998-07-28 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5827783A (en) 1996-08-23 1998-10-27 Mosel Vitelic, Inc. Stacked capacitor having improved charge storage capacity
US5935340A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Method and apparatus for gettering fluorine from chamber material surfaces
US5873781A (en) 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US6090723A (en) * 1997-02-10 2000-07-18 Micron Technology, Inc. Conditioning of dielectric materials
FR2759362B1 (fr) * 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
US5937308A (en) * 1997-03-26 1999-08-10 Advanced Micro Devices, Inc. Semiconductor trench isolation structure formed substantially within a single chamber
US6090442A (en) 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
US6551665B1 (en) 1997-04-17 2003-04-22 Micron Technology, Inc. Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers
US5937323A (en) * 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
AUPO748097A0 (en) * 1997-06-20 1997-07-17 Commonwealth Scientific And Industrial Research Organisation Alkene borates
US6207587B1 (en) * 1997-06-24 2001-03-27 Micron Technology, Inc. Method for forming a dielectric
TW416100B (en) 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
US6114219A (en) 1997-09-15 2000-09-05 Advanced Micro Devices, Inc. Method of manufacturing an isolation region in a semiconductor device using a flowable oxide-generating material
US6024044A (en) * 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6624064B1 (en) 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6087243A (en) * 1997-10-21 2000-07-11 Advanced Micro Devices, Inc. Method of forming trench isolation with high integrity, ultra thin gate oxide
US6009830A (en) * 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
KR100253079B1 (ko) 1997-12-01 2000-04-15 윤종용 반도체 장치의 트렌치 격리 형성 방법
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6156394A (en) 1998-04-17 2000-12-05 Optical Coating Laboratory, Inc. Polymeric optical substrate method of treatment
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6165834A (en) 1998-05-07 2000-12-26 Micron Technology, Inc. Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell
US6509283B1 (en) * 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
US6146970A (en) 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6667553B2 (en) 1998-05-29 2003-12-23 Dow Corning Corporation H:SiOC coated substrates
US6461970B1 (en) 1998-06-10 2002-10-08 Micron Technology, Inc. Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6014979A (en) 1998-06-22 2000-01-18 Applied Materials, Inc. Localizing cleaning plasma for semiconductor processing
US6406677B1 (en) * 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6197658B1 (en) * 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6121130A (en) 1998-11-16 2000-09-19 Chartered Semiconductor Manufacturing Ltd. Laser curing of spin-on dielectric thin films
US6583063B1 (en) 1998-12-03 2003-06-24 Applied Materials, Inc. Plasma etching of silicon using fluorinated gas mixtures
US6469283B1 (en) 1999-03-04 2002-10-22 Applied Materials, Inc. Method and apparatus for reducing thermal gradients within a substrate support
US6290774B1 (en) * 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US7091605B2 (en) 2001-09-21 2006-08-15 Eastman Kodak Company Highly moisture-sensitive electronic device element and method for fabrication
US6180490B1 (en) 1999-05-25 2001-01-30 Chartered Semiconductor Manufacturing Ltd. Method of filling shallow trenches
US6204201B1 (en) * 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6524931B1 (en) * 1999-07-20 2003-02-25 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US6383954B1 (en) * 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
US6602806B1 (en) 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
JP2001144325A (ja) * 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
FI118804B (fi) * 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6440860B1 (en) 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6461980B1 (en) * 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
NL1014274C2 (nl) * 2000-02-03 2001-08-16 Tele Atlas Bv Stelsel voor het beveiligen van op een datadrager aanwezige data.
EP1124252A2 (en) 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
EP1130633A1 (en) 2000-02-29 2001-09-05 STMicroelectronics S.r.l. A method of depositing silicon oxynitride polimer layers
US7419903B2 (en) * 2000-03-07 2008-09-02 Asm International N.V. Thin films
US6558755B2 (en) * 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
AU2001246832A1 (en) 2000-04-04 2001-10-15 Asahi Kasei Kabushiki Kaisha Coating composition for the production of insulating thin films
US6387207B1 (en) * 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6553932B2 (en) 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
US6559026B1 (en) * 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6835278B2 (en) 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
US7183177B2 (en) 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6614181B1 (en) * 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) * 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
JP4232330B2 (ja) * 2000-09-22 2009-03-04 東京エレクトロン株式会社 励起ガス形成装置、処理装置及び処理方法
JP3712356B2 (ja) * 2000-10-23 2005-11-02 アプライド マテリアルズ インコーポレイテッド 成膜方法および半導体装置の製造方法
US20020060322A1 (en) 2000-11-20 2002-05-23 Hiroshi Tanabe Thin film transistor having high mobility and high on-current and method for manufacturing the same
US6287962B1 (en) 2000-11-30 2001-09-11 Taiwan Semiconductor Manufacturing Company Method for making a novel graded silicon nitride/silicon oxide (SNO) hard mask for improved deep sub-micrometer semiconductor processing
US6531413B2 (en) 2000-12-05 2003-03-11 United Microelectronics Corp. Method for depositing an undoped silicate glass layer
US6930041B2 (en) 2000-12-07 2005-08-16 Micron Technology, Inc. Photo-assisted method for semiconductor fabrication
US6576564B2 (en) 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
DE10063688A1 (de) * 2000-12-20 2002-07-18 Infineon Technologies Ag Schaltungsanordnung zur Ansteuerung einer programmierbaren Verbindung
US6538274B2 (en) 2000-12-20 2003-03-25 Micron Technology, Inc. Reduction of damage in semiconductor container capacitors
US20020081817A1 (en) 2000-12-22 2002-06-27 Jayendra Bhakta Void reduction and increased throughput in trench fill processes
US6660662B2 (en) 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
US6599839B1 (en) 2001-02-02 2003-07-29 Advanced Micro Devices, Inc. Plasma etch process for nonhomogenous film
US6589868B2 (en) 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
EP1421607A2 (en) * 2001-02-12 2004-05-26 ASM America, Inc. Improved process for deposition of semiconductor films
KR100364026B1 (ko) 2001-02-22 2002-12-11 삼성전자 주식회사 층간 절연막 형성방법
US6447651B1 (en) * 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
US6593248B2 (en) 2001-03-23 2003-07-15 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6596576B2 (en) * 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
FR2824062B1 (fr) 2001-04-27 2004-10-15 Atofina Procede de fabrication de solutions aqueuses de sels insatures d'ammonium quaternaire
US6528332B2 (en) * 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6780499B2 (en) 2001-05-03 2004-08-24 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6716770B2 (en) * 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
KR100421046B1 (ko) * 2001-07-13 2004-03-04 삼성전자주식회사 반도체 장치 및 그 제조방법
US6548416B2 (en) * 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
US6596654B1 (en) * 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US20030064154A1 (en) * 2001-08-06 2003-04-03 Laxman Ravi K. Low-K dielectric thin films and chemical vapor deposition method of making same
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6872323B1 (en) 2001-11-01 2005-03-29 Novellus Systems, Inc. In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
US6770521B2 (en) * 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
JP3891267B2 (ja) * 2001-12-25 2007-03-14 キヤノンアネルバ株式会社 シリコン酸化膜作製方法
US20030124873A1 (en) * 2001-12-28 2003-07-03 Guangcai Xing Method of annealing an oxide film
AU2003238853A1 (en) * 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
TW536775B (en) 2002-04-18 2003-06-11 Nanya Technology Corp Manufacturing method of shallow trench isolation structure
AU2003235305A1 (en) 2002-04-19 2003-11-03 Tokyo Electron Limited Method of treating substrate and process for producing semiconductor device
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7307273B2 (en) * 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
WO2004006321A1 (ja) * 2002-07-08 2004-01-15 Kansai Technology Licensing Organization Co.,Ltd. シリコン窒化膜の形成方法および形成装置
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7294582B2 (en) * 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US6734082B2 (en) 2002-08-06 2004-05-11 Chartered Semiconductor Manufacturing Ltd. Method of forming a shallow trench isolation structure featuring a group of insulator liner layers located on the surfaces of a shallow trench shape
US6825097B2 (en) 2002-08-07 2004-11-30 International Business Machines Corporation Triple oxide fill for trench isolation
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
JP4358492B2 (ja) 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US6828211B2 (en) * 2002-10-01 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US6833322B2 (en) 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US6819886B2 (en) 2002-10-23 2004-11-16 Nex Press Solutions Llc Gloss/density measurement device with feedback to control gloss and density of images produced by an electrographic reproduction apparatus
US7080528B2 (en) * 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
US6900067B2 (en) * 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US6923189B2 (en) 2003-01-16 2005-08-02 Applied Materials, Inc. Cleaning of CVD chambers using remote source with cxfyoz based chemistry
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7723242B2 (en) 2004-03-15 2010-05-25 Sharp Laboratories Of America, Inc. Enhanced thin-film oxidation process
US7205248B2 (en) * 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6884685B2 (en) * 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7084076B2 (en) * 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7429540B2 (en) * 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US6867086B1 (en) * 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
JP2004283065A (ja) 2003-03-20 2004-10-14 Ushio Inc 化学走性機能制御膜の製造方法および人工材料並びに人工材料の製造方法
US7176144B1 (en) 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
JP3976703B2 (ja) 2003-04-30 2007-09-19 エルピーダメモリ株式会社 半導体装置の製造方法
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US20040231590A1 (en) 2003-05-19 2004-11-25 Ovshinsky Stanford R. Deposition apparatus for the formation of polycrystalline materials on mobile substrates
US6958112B2 (en) 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
JP2005033173A (ja) 2003-06-16 2005-02-03 Renesas Technology Corp 半導体集積回路装置の製造方法
US7399388B2 (en) * 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7192891B2 (en) 2003-08-01 2007-03-20 Samsung Electronics, Co., Ltd. Method for forming a silicon oxide layer using spin-on glass
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
US7361991B2 (en) * 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
JP4285184B2 (ja) * 2003-10-14 2009-06-24 東京エレクトロン株式会社 成膜方法及び成膜装置
DE10350752A1 (de) 2003-10-30 2005-06-09 Infineon Technologies Ag Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung
US20050227017A1 (en) * 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
AU2004313262B2 (en) * 2003-12-17 2009-06-04 Cedraeus Inc. Method for a random-based decision-making process
US7064004B2 (en) * 2003-12-29 2006-06-20 Intel Corporation Induction-based heating for chip attach
US7030468B2 (en) * 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US7488693B2 (en) 2004-02-17 2009-02-10 Toagosei Co., Ltd. Method for producing silicon oxide film
US7067438B2 (en) * 2004-02-19 2006-06-27 Micron Technology, Inc. Atomic layer deposition method of forming an oxide comprising layer on a substrate
US7524735B1 (en) * 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US7074690B1 (en) * 2004-03-25 2006-07-11 Novellus Systems, Inc. Selective gap-fill process
US20050221020A1 (en) 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US7115508B2 (en) 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
US7109114B2 (en) 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100762573B1 (ko) * 2004-06-04 2007-10-01 어플라이드 마이크로스트럭쳐스, 인코포레이티드 산화물층에 의해 부착된 다층 코팅의 제어되는 기상 증착
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US7129187B2 (en) * 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US7642171B2 (en) * 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7294574B2 (en) 2004-08-09 2007-11-13 Applied Materials, Inc. Sputter deposition and etching of metallization seed layer for overhang and sidewall improvement
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7629270B2 (en) * 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060046506A1 (en) 2004-09-01 2006-03-02 Tokyo Electron Limited Soft de-chucking sequence
KR100550351B1 (ko) * 2004-09-07 2006-02-08 삼성전자주식회사 반도체 장치의 막 형성방법 및 이를 수행하기 위한 반도체장치의 막 형성 장치
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
KR100782369B1 (ko) * 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US20060228903A1 (en) 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US7972441B2 (en) * 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
JP4509868B2 (ja) * 2005-06-07 2010-07-21 株式会社東芝 半導体装置の製造方法
JP5091428B2 (ja) 2005-06-14 2012-12-05 株式会社東芝 半導体装置の製造方法
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4860953B2 (ja) * 2005-07-08 2012-01-25 富士通株式会社 シリカ系被膜形成用材料、シリカ系被膜及びその製造方法、多層配線及びその製造方法、並びに、半導体装置及びその製造方法
US20070031598A1 (en) 2005-07-08 2007-02-08 Yoshikazu Okuyama Method for depositing silicon-containing films
US7427570B2 (en) * 2005-09-01 2008-09-23 Micron Technology, Inc. Porous organosilicate layers, and vapor deposition systems and methods for preparing same
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
US7498270B2 (en) * 2005-09-30 2009-03-03 Tokyo Electron Limited Method of forming a silicon oxynitride film with tensile stress
JP5154009B2 (ja) * 2005-10-21 2013-02-27 株式会社ジャパンディスプレイイースト 有機シロキサン系絶縁膜の製造方法、及び、この製造方法で製造した有機シロキサン系絶縁膜を層間絶縁として用いた液晶表示装置の製造方法
US20070119370A1 (en) * 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US7985330B2 (en) * 2005-12-30 2011-07-26 Medtronic Minimed, Inc. Method and system for detecting age, hydration, and functional states of sensors using electrochemical impedance spectroscopy
US7521377B2 (en) 2006-01-11 2009-04-21 International Business Machines Corporation SiCOH film preparation using precursors with built-in porogen functionality
JP5070702B2 (ja) 2006-01-19 2012-11-14 富士通セミコンダクター株式会社 半導体装置の製造方法及び製造装置
US7972954B2 (en) 2006-01-24 2011-07-05 Infineon Technologies Ag Porous silicon dielectric
US7435661B2 (en) 2006-01-27 2008-10-14 Atmel Corporation Polish stop and sealing layer for manufacture of semiconductor devices with deep trench isolation
JP4984558B2 (ja) * 2006-02-08 2012-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
WO2007112780A1 (en) 2006-04-03 2007-10-11 L'air Liquide Societe Anonyme A Directoire Et Conseil De Surveillance Pour L'etude Et L'exploitation Des Procedes Georges Claude Method for depositing silicon nitride films and/or silicon oxynitride films by chemical vapor deposition
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7629273B2 (en) * 2006-09-19 2009-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for modulating stresses of a contact etch stop layer
TWI462179B (zh) 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US7737050B2 (en) 2006-10-30 2010-06-15 International Business Machines Corporation Method of fabricating a nitrided silicon oxide gate dielectric layer
US20080102223A1 (en) * 2006-11-01 2008-05-01 Sigurd Wagner Hybrid layers for use in coatings on electronic devices or other articles
US7749574B2 (en) 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
JP5177617B2 (ja) * 2006-12-25 2013-04-03 独立行政法人産業技術総合研究所 酸化シリコン薄膜形成装置
US7572647B2 (en) 2007-02-02 2009-08-11 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
KR100800495B1 (ko) 2007-02-27 2008-02-04 삼성전자주식회사 반도체 장치의 제조방법
US7781352B2 (en) * 2007-06-06 2010-08-24 Asm Japan K.K. Method for forming inorganic silazane-based dielectric film
KR100866143B1 (ko) 2007-08-03 2008-10-31 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
KR20150036815A (ko) 2007-09-18 2015-04-07 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 규소 함유 막의 형성 방법
US20090095714A1 (en) 2007-10-12 2009-04-16 Tokyo Electron Limited Method and system for low pressure plasma processing
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7541297B2 (en) * 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
KR20090068179A (ko) 2007-12-21 2009-06-25 에이에스엠 인터내셔널 엔.브이. 실리콘 이산화물을 포함하는 박막의 제조 방법
US7737052B2 (en) 2008-03-05 2010-06-15 International Business Machines Corporation Advanced multilayer dielectric cap with improved mechanical and electrical properties
JP2009267366A (ja) 2008-04-02 2009-11-12 Nec Electronics Corp 半導体記憶装置及びその製造方法
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090277587A1 (en) 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US20090325391A1 (en) 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20110136347A1 (en) 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
SG181670A1 (en) 2009-12-30 2012-07-30 Applied Materials Inc Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US20110159213A1 (en) 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
CN102754193A (zh) 2010-01-06 2012-10-24 应用材料公司 使用氧化物衬垫的可流动电介质
WO2011109148A2 (en) 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20130029056A (ko) * 2010-03-02 2013-03-21 어플라이드 머티어리얼스, 인코포레이티드 단일 단계 선택적 질화를 위한 방법 및 장치
KR101445344B1 (ko) * 2011-04-20 2014-09-29 어플라이드 머티어리얼스, 인코포레이티드 저온 실리콘 산화물 변환
WO2014179072A1 (en) * 2013-05-02 2014-11-06 Applied Materials, Inc. Low temperature flowable curing for stress accommodation
KR20180021214A (ko) * 2015-07-13 2018-02-28 어플라이드 머티어리얼스, 인코포레이티드 다공성 필름들 내로의 uv-보조 재료 주입
KR20180005630A (ko) * 2016-07-06 2018-01-16 에이에스엠 아이피 홀딩 비.브이. 쉼 또는 보이드 없이 트렌치 내에 충전된 막 형성 방법

Also Published As

Publication number Publication date
EP2053143A2 (en) 2009-04-29
JP2009111382A (ja) 2009-05-21
US7867923B2 (en) 2011-01-11
US20090104755A1 (en) 2009-04-23
CN101418438B (zh) 2013-05-01
TWI506158B (zh) 2015-11-01
KR101042788B1 (ko) 2011-06-20
CN101418438A (zh) 2009-04-29
EP2053143A3 (en) 2009-09-02
SG152183A1 (en) 2009-05-29
US8242031B2 (en) 2012-08-14
US20110014798A1 (en) 2011-01-20
TW200927979A (en) 2009-07-01

Similar Documents

Publication Publication Date Title
KR101042788B1 (ko) 원격 플라즈마 cvd에 의한 디실란 전구체로부터의 고품질 실리콘 산화물 막의 형성
US8871656B2 (en) Flowable films using alternative silicon precursors
KR102447498B1 (ko) 리모트 플라즈마 처리를 사용한 실리콘 카바이드 막의 치밀화
KR101019768B1 (ko) 보이드없는 갭 필을 위한 유전막질 향상용 방법 및 시스템
TWI395269B (zh) 以harpii 處理固化烷氧矽烷前驅物沉積之二氧化矽薄膜的方法
US9299559B2 (en) Flowable oxide film with tunable wet etch rate
KR101215033B1 (ko) 실리콘 함유 전구체 및 원자 산소를 이용하는 고품질플로우-형 실리콘 이산화물의 화학적 기상 증착
KR101853802B1 (ko) 라디칼­성분 cvd에 의한 컨포멀 층들
KR20110082025A (ko) 질화규소계 필름 또는 규소 탄소계 필름을 형성시키는 방법
EP2053641A2 (en) Methods for forming a dielectric layer within trenches
KR20120094490A (ko) 비­탄소 유동성 cvd 필름의 경화
CN102569165A (zh) 高纵横比沟槽中的颠倒填充

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140529

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20160330

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170330

Year of fee payment: 7