JP2004529496A - 半導体膜の改良された堆積方法 - Google Patents

半導体膜の改良された堆積方法 Download PDF

Info

Publication number
JP2004529496A
JP2004529496A JP2002578556A JP2002578556A JP2004529496A JP 2004529496 A JP2004529496 A JP 2004529496A JP 2002578556 A JP2002578556 A JP 2002578556A JP 2002578556 A JP2002578556 A JP 2002578556A JP 2004529496 A JP2004529496 A JP 2004529496A
Authority
JP
Japan
Prior art keywords
film
trisilane
uniformity
temperature
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2002578556A
Other languages
English (en)
Other versions
JP4866534B2 (ja
Inventor
マイケル エー. トッド
マーク アール. ホーキンス
Original Assignee
エーエスエム アメリカ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエム アメリカ インコーポレイテッド filed Critical エーエスエム アメリカ インコーポレイテッド
Publication of JP2004529496A publication Critical patent/JP2004529496A/ja
Application granted granted Critical
Publication of JP4866534B2 publication Critical patent/JP4866534B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02422Non-crystalline insulating materials, e.g. glass, polymers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/02428Structure
    • H01L21/0243Surface structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/0251Graded layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02595Microstructure polycrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02598Microstructure monocrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • H01L21/2257Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides the applied layer being silicon or silicide or SIPOS, e.g. polysilicon, porous silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28525Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising semiconducting material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/84Electrodes with an enlarged surface, e.g. formed by texturisation being a rough surface, e.g. using hemispherical grains
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/122Single quantum well structures
    • H01L29/127Quantum box structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66083Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices
    • H01L29/66181Conductor-insulator-semiconductor capacitors, e.g. trench capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66234Bipolar junction transistors [BJT]
    • H01L29/66242Heterojunction transistors [HBT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic Table
    • H01L31/182Special manufacturing methods for polycrystalline Si, e.g. Si ribbon, poly Si ingots, thin films of polycrystalline Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/20Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof such devices or parts thereof comprising amorphous semiconductor materials
    • H01L31/202Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof such devices or parts thereof comprising amorphous semiconductor materials including only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/546Polycrystalline silicon PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/547Monocrystalline silicon PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/933Germanium or silicon or Ge-Si on III-V

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Nanotechnology (AREA)
  • Electromagnetism (AREA)
  • Composite Materials (AREA)
  • Theoretical Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Bipolar Transistors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Separation Using Semi-Permeable Membranes (AREA)
  • Led Devices (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

化学気相成長プロセスにおいて、輸送量制限領域又はその近傍で、薄膜の堆積を行うことを可能にする化学前駆体を利用する。このプロセスによれば、堆積速度が大きく、さらに組成的にも厚み的にも、通常の化学前駆体を用いて調整した膜より均一な膜を生成することができる。好ましい実施の形態では、トリシランを使用して、トランジスタゲート電極などの様々な用途で半導体産業において有用なSi含有薄膜を堆積する。

Description

【技術分野】
【0001】
本発明は、一般に、集積回路製造用のSi、Ge及び/又は炭素を含む膜などの半導体膜の堆積方法に関する。より詳細には、本発明は、化学気相成長システムにおける、、厚み及び組成のより高い均一性を有するこれらの材料の形成に関する。
【背景技術】
【0002】
マイクロ電子素子における寸法の縮小につれて、その製造に用いられる材料の物理的、化学的特性がより重要になってきている。これは、実証済みの製造装置を用いて現行の世代の素子に組み込まれ得る先端の材料において、特にそうである。例えば、エピタキシャルSi1-xGexやSi1-x-yGexy合金を、バイポーラ及びBiCMOS素子の製造工程に組み込むことは望ましい。これらの先端の合金材料は、ヘテロ接合バイポーラトランジスタ(HBT)のベース膜、BiCMOS素子の抵抗体、及びCMOS素子や別の様々な集積電子素子のゲート電極として使用されている。
【0003】
単結晶、アモルファス及び/又は多結晶シリコン、シリコンゲルマニウム(SiGe)合金及びシリコンゲルマニウムカーボン(SiGeC)合金を堆積する通常のプロセスは、一般に、(低圧(LP)又は超高真空(UHV)条件での)バッチ式の熱プロセス又は枚葉式のプロセスを用いて行われる。枚葉式のプロセスは、ますます重要になってきているが、幾つかの問題が残っている。例えば、ウェハ内部及びウェハ間での均一性、堆積速度、及びプロセス再現性においては、特にin situドーピングされる半導体膜で、従来の枚葉式のプロセスに対する懸念が残っている。ウェハサイズの拡大は続いているので(現在300mmウェハが製造プロセスに組み込まれている)、均一性を保持することはさらに困難になりつつある。
【0004】
日本国特許出願、特開昭60−43485号公報には、明らかに光電池の用途において、300℃でアモルファス薄膜を形成するためにトリシランを使用することが開示されている。日本国特許出願、特開平5−62911号公報には、500℃以下で薄膜を形成するためにトリシランとゲルマンとを使用することが開示されている。日本国特許出願、特開平3−91239号公報、特開平3−185817号公報、特開平3−187215号公報、及び特開平02−155225号公報には、それぞれジシランの使用が開示されており、幾つかではトリシランにも言及されている。
【発明の開示】
【課題を解決するための手段】
【0005】
上記の技術は、一般に、比較的低い堆積温度で、アモルファス水素化シリコンを形成するためのジシラン及びトリシランの使用に焦点を当てたものである。しかしながら、好ましくは速い堆積速度で均一性の良さを犠牲にすることなく、ドープトシリコン、低H含有率アモルファスシリコン及びSiGeなどの半導体材料を表面上に堆積する方法は依然必要である。
【0006】
本発明者等は、Si含有膜及びGe含有膜を形成するより優れた方法を見出した。該方法は、CVDプロセスにおいて、高次シラン及び/又は高次ゲルマンなどの化学前駆体を用いて示され、半導体産業に有用な、Si含有膜、特にシリコン、SiGe合金薄膜又はSiGeC合金薄膜の堆積が改良される。これらの化学前駆体は、シラン、ゲルマン及び通常の炭素源分子に比べて熱安定性に劣るものである。
【0007】
本発明の一態様によれば、特定の前駆体を使用することにより、同じ温度における通常の前駆体の場合と比べて、堆積プロセスを、輸送量制限成長領域の近傍で、又は該領域内で行うことができる。該領域内においては、望ましくない元素濃度勾配や膜堆積速度の変動など、温度に依存する不均一性、及び結果としての厚みの不均一性を避けることができる。好ましい化学前駆体には、トリシラン、及びジゲルマンが組み合わされたトリシランが含まれる。従来の化学前駆体に用いられる温度より低い温度で、且つより速い膜堆積速度での、均一な堆積が達成される。
【0008】
本発明の別の態様では、好ましい前駆体の流量を温度の関数として調整することによって、従来の前駆体(例えば、シラン)を用いた堆積と比べて、同等又はより高い均一性でより速い堆積速度を得る。シランを越えるトリシランの利点として、特に、集積トランジスタの活性層としてのSi含有膜の堆積に利用可能であることが挙げられる。
【0009】
本発明の別の態様では、このような望ましくない元素濃度勾配、厚みの不均一性及び膜堆積速度の変動を低減又は除去するように、温度、温度分布、圧力、反応体流量及び反応体分圧などのプロセスパラメータを、段階的又は動的に変化させる方法が示される。これらの方法は、高次シラン及び/又はゲルマンの使用と共に用いられる。
【0010】
本発明のこれらの態様及び別の態様は、本発明を例示するためであって、限定するためのものではないことが、以下の説明及び添付した図面から容易に明らかとなる。
【発明を実施するための最良の形態】
【0011】
CVDによる基板表面上への膜堆積における不均一性においては、加熱及び温度制御システムの限界に起因する動的な温度変動が大きく影響する。一般に、堆積した膜は、厚み及び元素組成の両面で可能な限り均一であることが望ましいが、既存の堆積方法では、程度の差はあっても不均一な膜が形成される傾向にある。このような不均一性は、基板の表面にわたる温度変化に起因することが多い。基板の表面温度は、堆積速度及び得られる膜の組成に影響を与えるからである。ガス流量及びトータル圧力を含む別のプロセスパラメータにわたる不完全な制御も、膜の物理特性における不均一性に寄与していると考えられている。
【0012】
所望の膜で全体的に均一な厚みが得られるように、堆積条件、例えばガス流量、基板の回転速度、加熱エレメントへの電力分布などを経験的に調整することによって、均一性が求められることが多い。これを行うためには、まず異なる基板上にそれぞれ予め選択された堆積条件の組み合わせの下で多くの膜を堆積する。次いで、それぞれの膜内の厚み変動を測定して結果を分析し、厚み変動を取り除くことのできる条件を確認する。しかしながら、本発明者等は、この実験によるプロセスにおいては、必ずしも該プロセスを通して均一な温度分布が実現されておらず、むしろ、該プロセスによって、特定の反応温度「設定値」に対する温度変動によって生じた厚み変動が効果的に時間平均されていることを見出した。
【0013】
したがって、この経験的手法では、必ずしも堆積プロセスを通して基板全体にわたる均一な温度が得られていない。組成の均質性(又は少なくとも制御)は、三次元、即ち膜表面にわたってのものと膜厚を通してのものとの両面で望まれているので、次に組成変動の問題が提起される。これは、多くの膜がドーパントを含有し、これらドーパントの濃度が膜の電子的特性に影響を及ぼすからである。不均一な温度は、膜へのドーパントの不均一な組み込みの一因となる虞がある。同様に、組成における別の不均一性を引き起こし得る。
【0014】
好ましい実施の形態によって、この問題を解決するプロセスが提供される。それぞれのプロセスは、独立に用いられ、又は好ましくは組み合わせて用いられる。一プロセスでは、同じ温度での通常の前駆体と比べて、膜の堆積が実質的に輸送量制限成長領域内で行われることを可能にする化学前駆体を使用することを含む。所与の化学前駆体において、輸送量制限領域とは、膜堆積速度が温度に依存しない独立な温度範囲を意味する。実質的に該温度範囲内にある堆積速度は、それらの変動が輸送量制限領域、又はその近傍に留まる温度下である限り、基板表面にわたる小さな温度変動では比較的影響を受けない。これによって、通常の化学前駆体を用いて同じ温度で堆積した膜より、遙かに均一な、例えば、より高い組成均一性及び/又は厚み均一性を示す膜の形成が可能となる。これは、通常の前駆体では、輸送量制限領域内で堆積を行うには、非常に高い温度を必要とするからである。
【0015】
当業者なら明らかなように、所与の前駆体及び反応条件の組み合わせについて輸送量制限領域の温度範囲が決定され、アレニウスプロットに示される。化学前駆体トリシランについては、温度依存する堆積速度から温度依存しない堆積速度への転移点は、図10のアレニウスプロットに示すように、シラン又はジシランの転移点より遙かに低い。グラフの低い領域から転移までは上向きのリニアの傾斜であり、この温度範囲内でのトリシランの堆積は、温度の影響を強く受ける関数であって、したがって輸送量制限領域内にはないことが示されている。例えば、図10には、用いられた条件(流量25sccm、圧力40Torr(5332.8Pa))において、約525℃より低い温度では、トリシランの堆積が輸送量で制限されない(即ち、動的領域内にある)ことが示されている。これに対して、転移点より上のグラフの領域は実質的にフラットであり、この温度範囲内でのトリシランの堆積は、温度から独立しており、したがって輸送量制限領域内にあることが示されている。例えば、図10には、約620℃以上の温度では、トリシランの堆積が明らかに輸送量制限されていることが示されている。このように、転移はある温度領域にわたって起こっており、そこでは、アレニウスプロットの傾斜が減衰していることによって、輸送量制限領域の近くでは、この温度範囲内でのトリシランの堆積が実質的に温度から独立していることが示されている。例えば、図10には、約525℃以上でトリシランの堆積が実質的に輸送量制限されることが示されている。この転移点は、流量が幾分か増加するとやや高くなり、流量が幾分か減少するとやや低くなるであろうことが分かる。例えば、温度依存堆積から実質的に輸送量に制限される堆積への転移点は、トリシランの流量が増加するとより高い温度へシフトすることが経験的に求められている。したがって、トリシランを使用することによって、現在の製造方法(例えば、結晶の特性を保持するための熱割当量の維持、ドーパントプロファイルの制御など)において別の理由から望ましい温度で、実質的に輸送量制限された堆積を行うことが可能となる。
【0016】
様々なSi含有化学前駆体及びGe含有化学前駆体が、本明細書に開示した膜堆積プロセスで適切に用いられ、Si含有膜、Ge含有膜及びSiとGeとの両方を含有する合金膜、例えばシリコンゲルマニウム(SiGe、化学量論組成を意味するものではない)膜が得られる。また、これらの化学前駆体は、炭素源と組み合わせて使用されてもよく、合金膜、例えばSiGeC(化学量論組成を意味するものではない)合金薄膜を得ることもできる。本発明での使用に好適な好ましいSi含有化学前駆体には、高次の、非ハロゲン化シリコン水素化物、特に式Sin2n+2(ここでn=2〜6)のシランが含まれる。特定の例では、ジシラン(H3SiSiH3)、トリシラン(H3SiSiH2SiH3)、及びテトラシラン(H3SiSiH2SiH2SiH3)が含まれる。トリシラン(Si38とも表される)は、揮発性及び反応性のバランスを実現するのに特に好ましい。SiGeの堆積には、比較的低温での実質的に又はほぼ輸送量制限された堆積が好ましい(しかし必要ではない)。本発明での使用に好適な好ましいGe含有化学前駆体には、式Gen2n+2(ここでn=2〜3)の高次ゲルマンが含まれる。別の構成では、ゲルマニウム源には、(H3Ge)(GeH2x(GeH3)(ここでx=0〜2)が含まれ得る。特定の例には、ジゲルマン(H3GeGeH3)、トリゲルマン(H3GeGeH2GeH3)及びテトラゲルマン(H3GeGeH2GeH2GeH3)が含まれる。
【0017】
好ましい実施の形態では、化学前駆体は炭素源と組み合わせて用いられる。好ましい炭素源には、シリルメタン[(H3Si)4-xCRx](ここでx=0〜3、及びR=H及び/又はD)が含まれる。好ましいシリルメタンは、ジシリルメタン、トリシリルメタン及びテトラシリルメタン(x=0〜2)であり、テトラシリルメタンが特に好ましい。その別の好ましい炭素源には、メタン、エタン、プロパン、ブタンなどの炭化水素、一酸化炭素、二酸化炭素及びHCNが含まれる。これらの化学前駆体及び炭素源は、商業的供給源から購入してもよいし、当技術分野で周知の方法で合成してもよい。SiC、SiNC及びSiOC(この短縮形はいずれも特定の化学量論組成を意味するものではない)などのSi含有膜は、半導体製造業において、例えば、エッチストップ膜、ハードマスク、及びパッシベーション膜など様々に使用される。
【0018】
上記膜は、実質的に、使用される特定の化学前駆体における輸送量制限領域内の温度で、堆積されることが好ましい。特定の化学前駆体及び反応条件の組み合わせについて、輸送量制限領域は、様々な温度での堆積データから実験的に得られたアレニウスプロットから求められる。特定の条件の組み合わせで最も好ましいシリコン前駆体であるトリシランのアレニウスプロットが、上記の図10として添付されている。
【0019】
本明細書記載のような好ましい化学前駆体(特にトリシラン)を使用し、その前駆体の輸送量制限領域内で堆積温度を選択することに加えて、第1のプロセスを用いた堆積は、好ましくは、別の堆積パラメータ、特にガス流量を適切に選択することを含む。実質的に輸送量制限領域内での堆積と組み合わせて、ガス流量を適切に選択すると、高度の均一性を保持しつつ、シランと比べて遙かに速い堆積速度で膜を形成することができることが判明した。動的領域における温度でシランを用いた堆積では、膜の均一性は主として温度コントローラの設定値に依存しており、ガス流量調節器の設定値に対しては遙かに小さい程度の依存である。これに対して、実質的に輸送量制限領域内の温度で高次シランを含む堆積では、温度コントローラの設定値に対する感度とガス流量調節器の設定値に対する感度とが逆転していることが判明した。例えば、実質的に輸送量制限領域内の温度でトリシランを用いた堆積では、温度コントローラ設定値の調整は、ガス流量調節器設定値の調整に比べて膜の均一性への影響が小さい。
【0020】
本明細書記載のように堆積を行った場合、得られる膜は、好ましいことに比較の膜より均一である。本明細書で用いられるように、「比較の」膜は、高次シランの代わりにシランを用い、及び/又は高次ゲルマンの代わりにゲルマンを用いること、及び、温度コントローラの設定値とガス流量調節器の設定値とに対する上記の感度の違いを考慮に入れるように、それぞれの膜の堆積プロセスを個々に調整することを除いては、議論されるべき本発明の膜に対して意味のあるあらゆる点で実質的に同一の方法で形成される。より詳細には、異なる膜の結果を比較する場合、厚みの均一性は、下記の基準で測定される。ランダムに選択したウェハの直径を用い、この直径に沿った49点の堆積膜の厚みを測定する。ウェハ外周の3mmの排除領域内では測定しない。次いで、これら49点についての厚み測定値の範囲(例えば、±6Å)を、その49点の最大厚み測定値と最小厚み測定値とを加算した合計で割る。本明細書ではこの均一性をパーセンテージで表す。有利にも、本明細書記載の前駆体を使用した方法によって、非常に速い堆積速度が得られ、しかも驚くべきことに、非常に高い均一性と滑らかさが得られることが判明した。
【0021】
例えば、好ましい多結晶シリコン膜はトリシランを用いて形成され、同じ温度で上記トリシランの代わりにシランを使用して別個に最適化されたプロセスで形成された比較の膜より、速い堆積速度でより高い均一性を有する。同様にして、本発明者等は、トリシランで形成されたアモルファスシリコン(α−Si)膜及びエピタキシャルシリコン(epi−Si)膜について別個に実験し、これらがシランを堆積した膜に比べて優れた均一性を示すことを見出した。図15〜18及び対応する本明細書の下記の説明を参照されたい。同様に、高次ゲルマンを用いて好ましいSiGe膜を形成したが、この膜は、前記の高次ゲルマンの代わりにゲルマンを用いて形成した比較の膜より高い均一性を有する。さらに、開示されたシリコン及びゲルマニウム供給源を用いて、より低い反応温度でより速い堆積速度を実現することもできる。
【0022】
図11は、堆積温度600℃及び圧力40Torr(5332.8Pa)で、堆積速度が、(幾つかの図では、「Silcore(商標)」と表されている)トリシラン流量の一次関数であることを示す。この直線性は、これらの条件ではトリシランの堆積が実質的に又はほとんど輸送量で制限されていることをさらに示唆しており、酸化中の核形成時間が非常に短いことをさらに示唆する。図12は、図示のように堆積時間を90秒〜15秒の範囲にわたって変化させたことを除いて、同一条件下(650℃、40Torr(5332.8Pa))でトリシランを用いて堆積した膜について、測定個所の関数としての膜厚をプロットしたグラフである。図12には、一定のトリシラン流量では、広範な堆積時間にわたって、優れた膜均一性が得られることが示されており、この結果は単なる時間平均ではなく、前駆体の性質及び選択された条件による結果であり、さらに、これらの膜は厚みによらず均一であるから、放射率(又は別の厚みに依存する温度制御)の影響により均一性は変化しないことが示されている。図13は、ジボラン流量の範囲(0〜180sccm)にわたって、堆積温度600℃及び圧力40Torr(5332.8Pa)でトリシランとジボラン(ドーパント前駆体)を用いて得られた堆積速度のグラフである。図13には、トリシランを用いた堆積速度が、ドーパント前駆体の流量に対して比較的影響され難いことが示されている。
【0023】
好ましい温度範囲は、特定の化学前駆体に依存する傾向があり、熱安定性が低下するにつれて、より低い温度がより適切なものとなる。高次シラン及び高次ゲルマンについては、鎖長が長くなるにつれてより低い温度が好ましくなる。したがって、ジシラン堆積のための好ましい温度範囲は、トリシランより高くなる傾向があり、同様にトリシランはテトラシランなどより高くなる傾向にある。ゲルマンの系列についても同様の傾向が成り立つ。得られる膜における水素含有率をできるだけ下げるために、トリシランを堆積する好ましい温度は約350℃より高く、好ましくは約450℃より高い。より好ましくは、輸送量制限領域の近く又は領域内での堆積を得るために、温度は約525℃より高く、より好ましくは約550℃より高く、さらに好ましくは約600℃より高く保持される。このプロセスを約700℃より高い温度で行うこともできるが、約700℃以下の温度が好ましい。したがって、好ましい温度は、約450℃〜約700℃の範囲であり、より好ましくは約525℃〜約650℃の範囲である。特定の化学前駆体又はその混合物についても、本明細書で提供するガイドラインに従って、所定の実験を通して、最も好ましい温度範囲を決定することができる。記載の温度は熱CVDに好ましいものであることを理解されたい。特定の用途に許容される水素組み込みの濃度に依存する、プラズマ堆積法においてはより低い温度が適切である。
【0024】
堆積温度の選択は、堆積されている膜において求められる結晶性にも一部依存する。例えば、大部分が結晶性のシリコンは、約620℃〜800℃の範囲で堆積することができ、上述のように、この温度範囲は明らかに輸送量制限領域内にある。より好ましくは、ポリシリコンの堆積は、650℃と750℃の間で行われる。アモルファスシリコンの堆積にはより低い温度が使用できるが、少なくとも実質的に輸送量で制限されために(即ち、好ましい条件としては好ましくは525℃より高く)温度を選択することが好ましい。エピタキシャルシリコンは、堆積を行う表面の清浄さに大きく依存する。即ち、当業者であれば理解されるが、予め堆積したエピタキシャル膜又は単結晶ウェハの上面などの極めて清浄な単結晶表面によって、流量、圧力などに依存する広い温度範囲でのエピタキシャル堆積が可能となる。通常、適当な表面上へのエピタキシャル堆積を、500℃と1160℃との間で行うことができる。熱割当量を考慮して、約500℃〜約750℃など、より低い温度範囲を使用することが好ましい。図15〜18及び下記の対応する本明細書の記述を参照されたい。
【0025】
好ましくは、シラン及び/又はゲルマンを用いた比較の膜と比べて、より速い堆積速度及び/又はより均一な膜を得るのに効果的な温度で、化学前駆体、例えば高次シラン及び/又は高次ゲルマンを用いて堆積を行う。
【0026】
これらの化学前駆体の堆積は、当業者に周知の様々な気相堆積方法に従って適当に行うことができるが、本明細書に示された改良された化学気相成長(CVD)法のプロセス技術に従って堆積を行う場合、最もメリットがある。この開示された方法は、プラズマCVD(PECVD)、又は熱CVDを含むCVDを使用し、Si含有化学前駆体及び/又はGe含有化学前駆体を含んで構成された原料ガスを利用して、CVDチャンバ内に収容された基板上にSi含有膜及び/又はGe含有膜を堆積することによって好適に行われる。好ましい実施の形態では、ガスはトリシランを含んで構成されており、Si含有膜を堆積する。別の好ましい実施の形態では、ガスは高次シランと高次ゲルマンを含んで構成されており、SiGe膜を堆積する。
【0027】
適当な多岐管を使用して1種の(又は複数種の)原料ガスをCVDチャンバに供給してもよい。本明細書記載の実験結果は、水平ガス流のCVDチャンバで行われた。このチャンバは、枚葉式であり水平ガス流反応装置であることが好ましく、好ましくは放射加熱される。このタイプの適当な反応装置は市販されており、好ましいモデルには、米国アリゾナ州フェニックスのエーエスエム・アメリカ・インコーポレイテッドが市販している枚葉式のエピタキシャル反応装置のEpsilon(商標)シリーズがある。本明細書記載の方法は、シャワーヘッド構造などの代替の反応装置でも使用することができるが、均一性を向上させ、堆積速度を速めるメリットを得るには、Epsilon(商標)チャンバの水平で、シングルパスで、層流のガス流の構造において特に効果的であることが判明している。
【0028】
堆積に適用される温度及び圧力で、化学前駆体を、原料ガス又は原料ガスの成分の形でCVDチャンバに供給することが好ましい。CVDチャンバ内のトータル圧力は、好ましくは約0.001Torr(0.13332Pa)〜約700Torr(93324Pa)の範囲であり、より好ましくは約0.1Torr(13.332Pa)〜約200Torr(26664Pa)の範囲であり、さらに好ましくは約1Torr(133.32Pa)〜約60Torr(7999.2Pa)の範囲である。Si含有化学前駆体及び/又はGe含有化学前駆体のそれぞれの分圧は、トータルの圧力の好ましくは約1×10-6%〜約100%であり、より好ましくは同じベースで約1×10-4%〜約100%である。炭素源を使用する場合、各炭素源の分圧は、好ましくはトータル圧力の0%〜約1%であり、より好ましくは同じベースで約1×10-6%〜約0.1%である。炭素源を使用する場合、炭素源の分圧は、得られるSi含有膜及び/又はGe含有膜に、好ましくは約20%以下(単結晶材料では10%以下)、さらには約10%以下(単結晶材料では5%以下)の炭素含有率を与えるのに効果があることが好ましい。ここで、上記パーセンテージは、膜の全重量に基づく重量パーセントによるものである。
【0029】
この原料ガスが、不活性キャリアガスなどの1種の(又は複数種の)化学前駆体及び炭素源以外のガスを含んでいてもよい。代表的なキャリアガスには、ヘリウム、アルゴン、クリプトン及びネオンが含まれる。水素は、特に単結晶材料において、本明細書記載のプロセスのためのキャリアガスとして最も好ましい。また、多結晶及びアモルファス膜の堆積に窒素を使用することもできる。必要に応じて別の成分を原料ガス中に入れても良い。好ましくは、このガスは、シラン、ジシラン、テトラシラン、ゲルマン、ジゲルマン、トリゲルマン、NF3、モノシリルメタン、ジシリルメタン、トリシリルメタン、テトラシリルメタン、及びドーパント前駆体を含んで構成される群の中から選択された1種又は複数種の化合物を含んで構成されていることが好ましい。
【0030】
ドーパント前駆体には、ジボラン、重水素化ジボラン、ホスフィン、及びアルシンが含まれる。シリルホスフィン[(H3Si)3-xPRx]及びシリルアルシン[(H3Si)3-xAsRx](ここで、x=0〜2、及びRx=H及び/又はD)は、リン及びヒ素の好ましいドーパント源である。SbH3及びトリメチルインジウムは、それぞれアンチモン及びインジウムの好ましい供給源である。このようなドーパント及びドーパント源は、本明細書記載の方法によって、ボロン、リン、アンチモン、インジウム、及びヒ素をドープしたシリコン膜、SiGe膜及びSiGeC膜など、好ましい膜を調整するのに有用である。ドーピングを行う場合、これらの材料中のドーパント濃度は、約1×1014〜約1×1022原子/cm3の範囲であることが好ましい。例えば、トータルを基準とした重量で、濃度が約1ppm〜約1%の範囲の水素中の混合物のように、非常に低い濃度のドーパント源を用いて、ドーパントを組み込むことができる。次いで、所望のドーパント濃度及びドーパントガス濃度に依存する、10〜200標準立方センチメートル毎分(sccm)の範囲の設定値としたマスフローコントローラを介してこれらの希釈混合物を反応装置へ送ることができる。また、シリコン/ゲルマニウム/炭素源と共に反応装置に送られるキャリアガス中で、ドーパント源をさらに希釈することが望ましい。典型的な流量は、約20標準リットル毎分(SLM)〜約180SLMの範囲であることが多いので、典型的なプロセスで用いられるドーパント濃度は一般に非常に低い。
【0031】
Si含有膜及び/又はGe含有膜を堆積する間、化学前駆体(及び使用する場合は炭素源)の相対的な分圧を比較的一定に保持することもでき、又は変化させて膜厚内で深さの関数としてSi及び/又はGe量が異なるグレーデッド膜を生成させることもできる。膜の厚みを約10Å〜約5,000Åの範囲とすることが好ましい。膜の元素組成を、段階的及び/又は連続的に変化させることができる。堆積時間及び/又はガス流量を変化させることによって、当技術分野で周知の対象用途に応じて膜厚を変えることができる。一定にするにせよ傾斜を持たせるにせよ、本明細書記載の方法で堆積した化合物及びドープした膜は、特定の所与の深さで、面にわたって比較的一定の組成を有する。この意味での「面」は、パターンのある基板上に膜を堆積する場合はうねっている。
【0032】
本明細書記載の膜の堆積を、毎分約50Å以上の速度、より好ましくは毎分約75Å以上、さらに好ましくは毎分約100Å以上で行うことが好ましい。得られるSi含有膜は、SiGe膜、SiGeC膜、窒化シリコン膜(SiN、化学量論組成を意味するものではない)、シリコン酸化膜(SiO、化学量論組成を意味するものではない)、シリコン酸窒化膜(SiON、化学量論組成を意味するものではない)、ボロンドープ膜、ヒ素ドープ膜、リンドープ膜、及び誘電率が約2.2以下の膜を含んで構成される群の中から選択されたものであることが好ましい。好適な低k膜を形成する方法が、2001年11月13日に出願された同時係属中の米国特許出願第09/993,024号に開示されており、その開示を参照により本明細書に組み込む。Si含有膜は、アモルファス、多結晶又はエピタキシャルとすることができる。トリシランは、エピタキシャルシリコン膜の堆積速度及び均一性を改善するのに特に有利であることが示されている。
【0033】
好ましい実施の形態によって、上述の均一性の問題を解決するためのもう1つのプロセスが提供される。このプロセスの例は図3及び実施例39に示されているが、ここでより一般的にこのプロセスを記載する。堆積膜の厚み方向の組成不均一性は、特に、基板表面温度の(静的とは対照的に)動的な変動に起因すると考えられている。CVDチャンバは、一般に、特定の膜の堆積中一定に保持される温度制御条件の設定がプログラム可能に構成された温度コントローラを備えている。この設定温度は、一般に、プロセスの初めに選択され、その膜が完成するまで保持される。上述のように、これまで、この厚みの問題は、堆積条件、例えばガス流量、基板の回転速度、加熱エレメントへの電力分布などを経験的に調整して、温度変動の厚みへの影響を効果的に時間平均することによって、取り組まれてきた。
【0034】
膜堆積の初めの5Åから1,000Åまでの組成と厚みが比較的均一な膜をもたらす温度設定値、又はより一般的に温度制御に影響を与える反応装置条件については経験的に見つけることができるが、堆積を続けていくと膜は一般に均一でなくなってくることが判明している。この理由はよく分かっておらず、本発明は、理論によって限定されるものではないが、堆積時間の関数として変化する基板の放射率及び別の特性によって、温度制御システムが影響を受けている可能性がある。換言すると、これによって、組成変動や厚み変動の一因となる温度変動が生じている可能性がある。
【0035】
不均一性な堆積へのシフトをもたらす理由がどうであれ、一層毎のアプローチを用いてより均一な膜を生成することができることが見出された。この実施の形態によれば、経験的に決めた温度設定値T1、T2、T3などのセットを一層毎に定めることができる。この経験的決定に際して、集積回路の特定の点で単一の機能を有する単独の膜を、幾つかの層に分解し、それぞれの層に最適な設定値を定める。したがって、個別に最適化した堆積プロセス中の設定値を用いることによって、成長する膜厚によって引き起こされる温度制御の変動を補償することができる。
【0036】
様々な温度設定値を用いて幾つかの個別のワークピースのそれぞれに、まず第1層を堆積し、次いで各ワークピース上の前記第1層の厚み及び組成変動を測定して、どの設定値で最も均一な層が得られるかを確認することによって、このような経験的決定を行うことができる。所望により、特定の用途に必要な均一性のレベルに応じて、例えば約50Åから1,000Åまで、好ましくは約100Åから約700Åまでと層の厚みの目標を変えることができる。
【0037】
第1層は、この確認された設定値T1において、さらに幾つかのワークピース上に用意され、第2設定値T2を経験的に求めるための基板としての役を果たす。T1の決定の場合と同様に、様々な温度設定値を用いてこれらのワークピースのそれぞれの前記第1層上に第2層を堆積し、次いで各層の厚み及び組成変動を測定して、どの第2設定値で最も均一な第2層が得られるかを確認する。上記のように、所望により、特定の用途に必要な均一性のレベルに応じて、例えば約50Åから1,000Åまで、好ましくは約100Åから約700Åまでと第2層の厚みの目標を変えることができる。最適化された第1層及び第2層によって所望の厚みと均一性を有する多層膜が形成される場合、プロセスをここで停止させることができる。より厚い膜を所望の場合は、例えば、確認された初めの2つの設定値T1とT2とで堆積された2層を備えたワークピース、1バッチ分を用意し、様々な温度設定値を用いて各ワークピースの第2層上に第3層を堆積し、各層の厚み及び組成変動を測定して、どの第3設定値T3で最も均一な第3層が得られるかを確認するなどによって、上記プロセスを継続することもできる。
【0038】
ここでは温度設定値を、温度制御変数の一例として、堆積プロセス中通常一定に保持されるものとして用いているが、上記の経験的プロセスによる堆積中に変化させるものとしてもよい。また、この経験的プロセスを、PIDコントローラの温度オフセット又はPID係数など、単一膜堆積プロセス中は通常一定に保持される別の温度制御変数に適用することもできる。
【0039】
ガス流量、ガス流分布、分圧及びガス組成などのプロセス変数においては、各層の所望の堆積条件を確認するために、温度設定値を確認する上記と同様のプロセスで、又は同じ実験中に変化させることが好ましい。好ましくは、実験計画法を用いて、均一性及び/又は堆積速度への様々なプロセス変数及びそれらの組み合わせの影響を求める。実験計画法それ自体は周知である。例えば、ダグラスC.モントゴメリ(Douglas C.Montgomery)の「実験計画及び分析(Design and Analysis of Experiments)」、第2版、ジョン・ウィリーと息子達(John Wiley and Sons)1984年を参照されたい。これらの実験計画法によって、様々なプロセス変数及びそれらの組み合わせが膜の均一性及び/又は堆積速度に及ぼす影響を求めた後、特定のプロセスにおいては、コンピュータ制御によりバッチ間又はウェハ間で一貫性を確認するよう、プロセスを自動化することが好ましい。上記のプロセス変数に対するin−situな、段階的な又は動的な調整によってプロセスを改良することが、さらに好ましい。プロセス変数を調整して膜の特性を個々に改良するこの経験的方法によれば、本明細書に記載の理論に関係なく、単一構造又は機能性膜(プロセスの観点から複数層を含む)にわたる特性を改良することが分かった。したがって、本実施の形態の機能は、理論の正しさ又は誤りにも左右されるものではない。
【0040】
所望の設定値T1、T2、T3、T4などを決めた後、単一のレシピに対して複数の温度設定値がプログラム可能に構成された温度コントローラを備えたCVDチャンバを用いて、好ましい実施の形態を実施する。温度設定値T1を温度コントローラに設定し、第1Si含有化学前駆体X1%を含んで構成された第1ガスをCVDチャンバに導入することによって、このプロセスを行うことが好ましい。ここで、X1は約0〜約100の範囲にある。次いで、チャンバ内に収容した基板上に第1Si含有膜を堆積する。好ましくは、温度設定値T2を温度コントローラに設定し、第2Si含有化学前駆体X2%を含んで構成された第2ガスをCVDチャンバに導入し、第1Si含有膜の上に第2Si含有膜を含有膜堆積して、多層Si含有膜を形成することにより、このプロセスを継続することが好ましい。第2Si含有化学前駆体は、以下に述べるように、また図3及び実施例39で示すように、第1Si含有化学前駆体と同じであってもよく、異なっていてもよい。
【0041】
例えば温度設定値T3を温度コントローラに設定し、第3Si含有化学前駆体X3%を含んで構成された第3ガスをCVDチャンバに導入し、第2Si含有膜上に第3Si含有膜を堆積するなど、所望により多くの層を形成することによって、このプロセスをさらに継続させることができる。
【0042】
好ましいSi含有化学前駆体には、本明細書の別の個所で述べたように高次シラン、及びシランなどの通常の化学前駆体が含まれる。第1Si含有化学前駆体及び第2Si含有化学前駆体の少なくとも1種は、シラン、ジシラン及びトリシランを含んで構成される群の中から選択されたものであることが好ましい。第1ガス、第2ガス及び第3ガスの少なくとも1種は、本明細書の別の個所で述べたように、ゲルマン、ジゲルマン、トリゲルマン、NF3、モノシリルメタン、ジシリルメタン、トリシリルメタン、テトラシリルメタン、及びドーパント前駆体を含んで構成される群の中から選択される化合物を含んで構成されていることが好ましい。好ましくは、ガス中の各Si含有化学前駆体Xnの量、X1%、X2%、X3%、X4%などは、堆積プロセスにおける特定の段階で、トータル容積を基準とした容積で、それぞれ個々に、約1×10-6%〜約100%、好ましくは約1×10-4%〜約100%の範囲である。
【0043】
基板の温度は、約350℃以上であることが好ましく、より好ましくは450℃〜約700℃の範囲内である。CVDチャンバは、枚葉式の水平ガス流反応装置であることが好ましい。得られる複数層Si含有膜は、マイクロドット、SiGe膜、SiGeC膜、SiN膜、シリコン酸素膜、シリコン酸窒化膜、ボロンドープ膜、ヒ素ドープ膜、リンドープ膜、及び誘電率が約2.2以下の膜を含んで構成される群の中から選択されたものであることが好ましい。好適な低k膜の形成方法は、2001年11月13日に出願された同時係属中の米国特許出願第09/993,024号に開示されている。その開示を参照により本明細書に組み込む。
【0044】
段階的又は連続的なやり方で膜の複数層を堆積することによって好ましい実施の形態に係るプロセスを実施することができる。有利にも、堆積を中断して温度設定値を調整する場合、流量、分圧及びガス組成などのプロセス変数も所望により調整して様々な組成の膜を形成することができる。例えば、堆積膜を、上記のように均質又は均一の組成としてもよく、又は、堆積膜を組成で段階的又は連続的に変化させてもよい。この中断中にSi含有化学前駆体を同一物から変更してもよく、及び/又はガスの量X1%、X2%、X3%、X4%、などを変えることもできる。好ましい実施の形態では、プロセスは、ゲルマニウム濃度における非連続的又は段階的な変化によってゲルマニウム濃度を傾斜させた膜を成長させることを含む。選択したゲルマニウム濃度の膜を互いの上に堆積させて非連続な周期性を有する超格子を用意することにより、これを実現することが好ましい。下記の実施例39及び実施例43に、この実施の形態を例示している。
【0045】
この実施の形態の「膜」が、集積回路におけるその機能の観点から総合的に単一の構造膜を構成しており、典型的には、その厚みにわたってほぼ同じ組成である。したがって、上記の段階的堆積プロセスで形成された単一膜を定義するために、同一成分には、膜の厚み方向の異なる点で同じ構成要素が異なる濃度を有するグレーデッド膜も含まれるものとする。
【0046】
膜の均一性及び堆積速度を決定する方法は周知である。堆積速度は、膜の平均厚みを時間の関数として測定することによって定められ、オングストローム毎分(Å/分)の単位で表される。好ましい堆積速度は、約20Å/分以上であり、より好ましくは約50Å/分以上、さらに好ましくは100Å/分以上である。膜厚を測定する適当な方法には、多点エリプソメトリ法が含まれる。膜厚測定用の計器は周知であって市販されており、好ましい計器には、米国カリフォルニア州サニーベールのナノメトリクス社(Nanometrics、Inc.)の計器、NanoSpec(登録商標)シリーズが含まれる。
【0047】
本明細書で用いられているように、堆積膜の均一性を指す用語「均一性」は、厚みの均一性と組成の均一性との両方を指すように用いられる。膜厚均一性においては、多点厚み測定を行い、平均厚みを求め、この平均が複数の測定点と異なる平均量を求めることによって定めることが好ましい。比較可能とするため、その結果を、不均一性のパーセンテージで表すとよい。不均一性のパーセンテージは、約10%以下であることが好ましく、より好ましくは約5%以下、さらに好ましくは約2%以下である。組成の均一性においては、電気的測定(即ち、4点プローブ)、SIMS(二次イオン質量分析法)、RBS(ラザフォード後方散乱分光分析)、分光エリプソメトリ及び/又は高分解能X線回折法(HR−XRD)を用いて求めることができる。
【0048】
図14は、堆積温度600℃において、堆積速度毎分1306Å及び圧力40Torr(5332.8Pa)でトリシランを用いて堆積したアモルファスシリコン膜のラザフォード後方散乱分光分析(弾性反跳粒子検出法、ERD)を示す図である。実線は膜の生データであり、破線は、データシミュレーションソフトウェアRUMP(商標)で、残存水素濃度0.5原子%を仮定して生成したモデルである。この生データは、おそらく吸収された炭化水素及び/又は水分に起因する僅かな表面汚染を示唆しているが、このスペクトルは、膜内の残存水素濃度が、水素濃度0.2原子%未満に相当する検出限界を下回るものであることを示唆している。
【0049】
図15は、堆積温度600℃、650℃、700℃及び750℃(それぞれ、図15の下から上へ)で、トリシランを用いて堆積した一連のシリコン膜についてのX線回折スペクトルを示す。このX線回折パターンは、600℃で堆積した膜はアモルファス膜であり、650℃で堆積した膜は部分的に結晶性のものであり、700℃と750℃で堆積した膜はさらに結晶性の高いものになっていることを示す。図16は、750℃で堆積した膜(中間層)の断面の透過型電子顕微鏡写真の写しを示しており、トリシランを用いて堆積した多結晶膜において、この膜が薄いにもかかわらず比較的高い程度の膜厚均一性を有することが示されている。この膜の制限視野回折(SAD)パターン(図17)は、膜内に優越方位が無いことを示し、これが多結晶膜であったことを示唆している。
【0050】
図18は、600℃、40Torr(5332.8Pa)でトリシランを用いて堆積したアモルファスシリコン膜の断面の走査型電子顕微鏡写真の写しを示す。この膜はカーブした基板上に堆積されており、深く狭い合わせ目にも優れた適応性があることを示している。
【0051】
別の実施の形態では、高次シランがCVD合成、好ましくは、ほぼ純粋なシリコンからSi34までの範囲の組成を有する窒化シリコン(SiN)材料の低温低圧CVDにも使用される。好ましい窒素源には、(H3Si)3N(トリシリルアミン)、アンモニア、原子状窒素、及びNF3などの化学前駆体が含まれる。原子状窒素は、遠隔マイクロ波ラジカル発生器を用いて発生させることが好ましい。CVDチャンバに導入する窒素源及び高次シランの相対的な量は、高次シランの代わりにシランを用いて形成した比較の膜より均一性の高いSiN膜が得られるように選択することが好ましい。好ましい実施の形態では、原子状窒素を連続的に導入し、トリシランを連続的又はパルス状に、好ましくは1回又は複数回のパルスで導入する。高次シランをパルスで導入することによってより高い膜の均一性が得られることが分かった。また、下記の実施例で実証されるように、断続したCVDによって、極めて薄く均一性の高いSiN膜が得られることが分かった。この実施の形態に従って調整されたSiN膜は、厚みが好ましくは約10Å〜300Åの範囲であり、より好ましくは約15Å〜約150Åの範囲である。
【0052】
これら窒素源を化学前駆体としてトリシランと特に低温で用いることによって、シランなどの伝統的なSi源を用いたプロセスがもたらす堆積速度よりずっと速い堆積速度で、薄膜中のN−H結合の数が最小のSiN材料の堆積が可能になる。別の高次シランでも同様の結果を得ることができる。450℃を超える堆積温度では、水素含有率は、好ましくは4原子%未満であり、より好ましくは約2原子%未満、さらに好ましくは約1原子%未満である。堆積は、上記のように輸送量制限領域で行われることが好ましい。
【0053】
別の実施の形態では、CVD合成、好ましくは酸化シリコン材料及び酸化窒化シリコン材料の低温低圧CVDにも高次シランを使用することができる。特に低圧CVD条件における、高次シランの低温/高成長速度の利益によって、シランをベースとしたプロセスにわたる製造上の利益が得られる。酸素源には、オゾン、酸素、水、酸化窒素、亜酸化窒素、過酸化水素などが含まれる。これらの材料に窒素を導入するための窒素源には、(上記のように)トリシリルアミン、原子状窒素、アンモニア、及びNF3が含まれる。このような酸素及び窒素源は、連続的又は不連続ステップで、或いはこれらプロセスの組み合わせを含む方法で使用される。上記のように、輸送量制限領域の少なくとも近傍で堆積を行うことが好ましい。トリシリルアミンとトリシランとを用いた堆積においては、好ましくは約350℃〜約750℃、より好ましくは約400℃〜約700℃、さらに好ましくは約450℃〜約650℃の範囲で行う。NF3とトリシランとを用いた堆積においては、好ましくは約300〜約750℃、より好ましくは約350℃〜約700℃、さらに好ましくは約400℃〜約650℃の範囲で行う。
【0054】
酸化物及び酸化窒化物の堆積には個別の実施例がないが、本明細書に開示され、窒化シリコン及びシリコンゲルマニウム化合物膜について上述された原理が、酸化シリコンの堆積にも同じように適用可能であることが、当業者には容易に分かる。同様に、輸送量制限堆積を実現するための温度が低く活性化エネルギーが低いというトリシランの利点は、気相堆積、特に様々なシリコン化合物材料の化学気相成長に価値がある。
【0055】
好ましい実施の形態によれば、超小型電子産業で様々な用途に有用な膜が提供される。好ましいSi含有膜は、厚みの不均一性が約2%未満であり、組成の不均一性が約2%未満である。本明細書に記載したような膜は、様々な用途、例えばトランジスタゲート電極として有用である。本明細書記載の膜は、集積トランジスタのゲート膜など、集積回路の重要な素子膜を形成するのに特に有用である。別の例には、ヘテロ接合バイポーラトランジスタ(HBT)の半導体膜が含まれる。このような膜からこのような集積回路を形成するプロセスは、当業者には周知である。これらの集積回路は、当業者に周知の方法でコンピュータシステムに組み込むことができるので、別の好ましい実施の形態によれば、1つ又は複数のこのような集積回路を含んで構成されたコンピュータシステムが提供される。
【0056】
図1は、本明細書記載の堆積プロセスを用いた好ましいプロセスフローを示すフローチャートである。半導体基板上にゲート誘電体を形成する(ステップ100)。必要ならゲート誘電体を清浄化し(ステップ110)、そして本明細書記載のように、好ましくはトリシランを流すことを含めて、Si含有膜を堆積する(ステップ120)。また、横方向への信号伝播の改良を所望する場合、Si含有の上に任意選択の更なる金属膜を堆積する(ステップ130)。次いで、これらの複数層を、フォトリソグラフィでパターニングし(ステップ140)、製造を継続する(ステップ150)。
【0057】
図2は、図1のプロセスによって形成されたゲートスタック200を示す。半導体基板220の上にゲート誘電体210を形成する。ゲート誘電体210の上に電気的にドープされたSi含有膜230を形成し、Si含有膜230の上に任意選択の金属膜240を配置してゲートスタック200を形成する。次いで、スタック200をパターニングしてゲート電極(図2には示さず)を形成し、集積回路の形成を継続する。
【0058】
ゲート誘電体210は、誘電率が5より大きく、より好ましくは10より大きい、少なくとも1種の高k材料を含むことが望ましい。代表的な材料には、酸化アルミニウム、酸化ハフニウム及び酸化ジルコニウムが含まれ、好ましくは、高品質でピンホールのない膜のための原子層堆積(ALD)で形成する。有利にも、輸送量制限領域又はその近傍で、特に高次ゲルマンと一緒にトリシランを用いると、このような高k材料上への伝統的なシリコン堆積における遅い核形成時間が補償される。
【0059】
別の実施例では、トリシランを流して単結晶基板上にエピタキシャルSi含有膜を堆積する。シリコン膜とヘテロエピタキシャルSiGe、SiC及びSiGeC膜とを、本明細書記載のプロセスによって堆積することができる。
【0060】
別の好ましい実施の形態によれば、表面上にSi含有材料の堆積装置を得ることができる。該装置は、CVDチャンバ、トリシランを収容する容器、該容器から前記CVDチャンバへトリシランを通過させ得るように、該容器を前記CVDチャンバに動作可能に接続する供給ライン、及び約10℃〜約70℃、好ましくは15℃〜約52℃の範囲の温度に保持され、トリシランの蒸発速度を制御するように、前記容器のまわりに動作可能に配置された温度コントローラを備えている。適当な温度コントローラの例には、熱電コントローラ及び/又は液充填ジャケットが含まれる。好ましくは、CVDチャンバは、枚葉式で、水平ガス流の反応装置である。また、該装置が、前記容器から前記化学気相成長チャンバへのトリシランの通過を制御する前記供給ラインと動作可能に接続された多岐管を備えていることが好ましい。前記ガスラインが、約35℃〜約70℃、より好ましくは約40℃〜52℃の間の温度に加熱されて、高ガス流量での凝縮を防止するように、前記供給ラインの周囲に熱源が動作可能に配置されていることが好ましい。好ましくは、トリシランは、トリシラン蒸気を同伴するキャリアガスと一緒に使用されるバブラー、より好ましくは温度制御バブラー、さらに好ましくはトリシランを送る加熱ガスラインと組み合わせた温度制御バブラーを用いて導入されることが好ましい。
【実施例】
【0061】
以下の実施例は、ベルヌーイワンド(Bernoulli wand)ウェハ輸送システム、パージオンリーロードロック(purge−only load locks)、非スライド凹面サセプタ、「方形(square)」予熱リング、調整可能スポットランプ、及びそれぞれ独立に調整可能なガス注入口注入器を含んで構成された、ASM Epsilon 2000(商標)水平流エピタキシャル反応装置システムを用いて行った。水素及びジボランドーパントをも含んだ供給ガスで、Si含有前駆体及びGe含有前駆体をチャンバに供給した。約120sccmのH2中1%のB26を2slmのH2で希釈し、120sccmの該混合物を反応装置に導入し、20slmのH2及び前駆体と混ぜ、実施例に示したような流量条件で回転基板上に堆積した。堆積速度は、SIMS測定及び光エリプソメータ測定(Nanometrics)を用いて、酸素及びボロンの深さプロファイルから評価した。
【0062】
実施例1〜4
表1に示したパラメータに従って、化学前駆体としてトリシランを用いてSi含有膜を堆積した。堆積温度は700℃であり、十分にトリシランの輸送量制限領域内にあった。しかし、均一な膜を形成するには(これら特定の堆積条件では)流量が不適切であったため、得られた膜は均一でなく、(中央が薄く端が厚い)凹面形の堆積プロファイルが得られた。
【0063】
【表1】
Figure 2004529496
実施例5〜15
表1に示したパラメータに従って、化学前駆体としてトリシラン及びシラン、ドーパントとしてジボランを用いて、Si含有アモルファス膜を堆積した。約120sccmのH2中1%のB26を2slmのH2で希釈し、120sccmの該混合物を反応装置に導入し、該反応装置でこれを20slmのH2、及び表2に示した流量のトリシラン又はシランと混合させた。その結果、トリシランの流量がシランの流量より低い場合でも、シランと比べて、トリシランを用いると、一般に所与の温度で遙かに速い堆積速度が得られることが分かった。
【0064】
【表2】
Figure 2004529496
実施例16〜19
表3に示したパラメータに従って、化学前駆体としてトリシラン及びシランを用いてSi含有膜を堆積した。膜の厚みがそれぞれ約500Åとなるように堆積時間を調整した。Nanometricsエリプソメータを用いて平均膜厚を測定し、次いでこの値を堆積時間で除して堆積速度を求めた。膜厚の49点厚みマップから膜の不均一性を求めた。その結果、シランの代わりに、示した温度でトリシランを用いることによって、遙かに速い堆積速度で遙かに均一な膜が得られた。これは550℃で間違いないものであるが、劇的なことには、600℃でより間違いのないものとなっている。
【0065】
【表3】
Figure 2004529496
実施例20〜38
トリシラン単独の代わりに、トリシラン80%とジゲルマン20%との混合物を用い、そして、シランの代わりにシラン80%とゲルマン20%の混合物を用いてSiGe膜を得たことを除いて、実施例1〜19を繰返した。トリシランの使用、又はシラン単独での使用の場合より速い堆積速度が観察された。
【0066】
実施例39
図3に示したフローチャートに従って、以下のように、非連続な周期性を有する超格子の成長によってSiGe膜を用意した。ex−situフッ化水素(HF)の最終清浄化を行って自然酸化膜を除去し、次いで高流量の超純度水素ガス下で基板を反応装置チャンバに導入して、Si<100>基板を用意した(ステップ300)。ウェハを60rpmで回転させ、(基板表面から汚染物質を除去するために)高流量の水素ガス下でウェハを約900℃に加熱した。ウェハを冷却し、700℃で安定化させ、輸送量制限条件でトリシラン及びトリシリルアルシンを用いて、厚み約300Åのヒ素ドープシリコンバッファ層を成長させた。
【0067】
水素流下で600℃に冷却してウェハ温度を調整した(ステップ310)。ジシラン98%とジゲルマン2%とを用いて、第1周期のSiGe超格子を成長させた(ステップ320)。トリシラン85%とジゲルマン15%とを用いて、第2周期のSiGe超格子を成長させた(ステップ330)。
【0068】
水素流下で設定温度を3℃下げて(ステップ340)、30秒間ウェハを安定化させた。トリシラン75%とジゲルマン25%を用いて、第3周期のSiGe超格子を成長させた(350)。
【0069】
水素流下で設定温度を3℃下げて(ステップ350)、30秒間ウェハを安定化させた。トリシラン65%とジゲルマン35%とを用いて、第4周期のSiGe超格子を成長させた(ステップ370)。トリシラン85%、ジゲルマン12%、ジボラン2%及びジシリルメタン1%を用いて、炭素及びボロンをドープした第5周期のSiGe超格子を成長させた(ステップ380)。水素流下で、前記反応装置を30秒間パージした(ステップ390)。トリシラン90%とジゲルマン10%とを用いて、第6周期のSiGe超格子を成長させた(ステップ400)。
【0070】
水素流下で、温度設定値を650℃に上昇させ(ステップ410)、ランプバンクの相対出力を僅かに調整して、成長させるシリコンキャップ層のウェハ内均一性を可能な限り高めた(ステップ420)。ウェハを30秒間安定化させた。トリシラン100%を用いてシリコンキャップ層を成長させた。反応装置からウェハを取り出し(ステップ430)、次のウェハを加工した。
【0071】
実施例40
堆積温度650℃、圧力40Torr(5332.8Pa)で化学前駆体としてトリシランとゲルマンとを用いて、平均膜厚1,038ÅのSi含有膜を堆積した。ガス流注入口の設定値は、これまでの一連の実施例における通常のやり方で経験的に調整した。端部6mmを除いて49点を1次元的にスキャンすることによって測定したところ、得られたSiGe膜は、非均一性のパーセンテージが0.37%(8Åの範囲)であった。図4は、この膜について、測定位置の関数として膜厚をプロットしたグラフである。
【0072】
実施例41(比較)
前駆体としてシランとゲルマンとを用いて、温度600℃で(核形成層を除く)SiO2基板上にSi含有膜を堆積した。得られたSiGe膜の表面の粗さ(原子間力顕微鏡で測定)は、10ミクロン×10ミクロンのスキャン面積で226Åであった。図5及び図6に示したSEM顕微鏡写真で実証されているように、前記SiGe膜の走査型電子顕微鏡(SEM)から、アイランド型の堆積を示唆するピラミッド形のファセット粒子が明らかになった。
【0073】
実施例42
実施例41記載のように、600℃でSi含有膜を堆積したが、前駆体としてシラン及びゲルマンの代わりにトリシランとゲルマンとを用いた。得られたSiGe膜の表面の粗さ(原子間力顕微鏡で測定)は、10ミクロン×10ミクロンのスキャン面積で18.4Åであった。図7及び図8に示したSEM顕微鏡写真(それぞれ、図5及び図6と同じ倍率及び傾斜角)で実証されているように、前記SiGe膜の走査型電子顕微鏡(SEM)から、表面が遙かに均一であることが明らかになった。
【0074】
実施例43〜63
トリシランとゲルマンとを用いて、圧力40Torr(5332.8Pa)でSiO2基板(核形成膜を除く)上に一連のSi含有膜を堆積した。トリシラン流量は、表4の実施例に対して、77sccmで一定(水素キャリア、バブラー)とした。ゲルマン流(ゲルマン10%、H290%)及び堆積温度は、表4に示すように変化させた。ゲルマニウム濃度(原子%)及び得られたSiGe膜の厚みをRBSで求め、表面の粗さを原子間力顕微鏡(AFM)で求めた。表4に示した結果から、流量条件及び温度の範囲にわたって、特にゲルマン濃度の範囲にわたって、極めて均一な膜を調整可能であることが実証された。
【0075】
【表4】
Figure 2004529496
実施例64〜78
トリシラン及びアンモニア(実施例64〜77)又はシラン及びアンモニア(比較例78)を用いて、表5に示した条件下で、Si<100>基板の自然酸化膜上に一連のSi含有膜を堆積した。キャリアガス流を30slm、アンモニア流量を7slmとした。表5は、得られたSiN膜について観察された堆積速度及び屈折率(「RI」)、及び選択した膜におけるシリコンと窒素との原子比(「Si/N」)及び水素含有率(「%H」、原子パーセント)を示す。
【0076】
【表5】
Figure 2004529496
Si/N及び%Hの値は、ラザフォード後方散乱(RBS)によって求めた。図19は、775℃、20Torr(2666.4Pa)でトリシランを用いて堆積したSiN膜サンプルの代表的なRBSスペクトル(2MeV He++)である。弾性反跳粒子検出法(ERD)を用いて得たERDスペクトルを図20に示す。これらの図には、生データと、シリコン、窒素、及び水素濃度の定量化が可能なRUMPモデリングプログラムに基づくシミュレーション結果との両方を示している。このシミュレーションから、この膜の化学量論がほぼSi45514であることが示唆される。また、図17に示したRBS ERDスペクトルから、水素が膜全体にわたって均一に分布していることが明らかとなった。
【0077】
実施例79〜82
トリシランと原子状窒素とを用いて、Si<100>基板の自然酸化膜上に一連のSi含有材料を堆積した。市販の800ワットマイクロ波ラジカル発生器(MRG)を用いて遠隔的に原子状窒素を発生させ、該原子状窒素をCVDチャンバに供給した。表6に示した堆積温度で、流量5slm(実施例82では10slm)の窒素キャリアガスを用いて、バブラーを経由して、前記原子状窒素と共にトリシランをCVDチャンバに供給した。トリシランは、連続的に(実施例79)又はパルスで(実施例80〜82)チャンバに導入した。パルス導入においては、原子状窒素を連続的に導入し、トリシランを約1分30秒間隔のパルスで導入することによって行った。トリシランパルスのそれぞれは、上記流条件下で約6秒続けた。得られたSiN膜のそれぞれの化学量論は、ほぼSi43545631の範囲であった。
【0078】
表6は、得られたSiN膜における厚み、屈折率及び水素濃度(原子%)を示す。実施例79のSiN膜は、中央が端部より著しく厚く、均一ではなかった。また、測定された屈折率は、膜の表面にわたって著しく変動した(中央が端部より高かった)。実施例80〜82のパルスプロセスを用いることによって、均一性は改善された。原子状窒素の流量を増加すること、及び/又はトリシランの流量を低下させることによる連続プロセスを用いてることによって、均一な膜を得ることができた。
【0079】
【表6】
Figure 2004529496
実施例83
実施例80〜82について一般的に上述したように、遠隔的に発生させた原子状窒素と単一6秒パルスのトリシランとを用いて、650℃、圧力3Torr(399.96Pa)で、厚みが約18Åの薄く均一で連続なSiN膜を堆積した。図9のTEM顕微鏡写真に示したように、この膜をエポキシで被覆し、切断し、透過型電子顕微鏡法(TEM)を用いて画像化した。膜/基板界面には、実質的に自然酸化がないことが分かった。
【0080】
実施例84〜87
堆積圧力40Torr(5332.8Pa)、及び様々な流量、及び表7に示した堆積温度及び堆積速度でトリシランを用いて、清浄にしたSi<100>基板上に一連のエピタキシャルシリコン膜を堆積した。表7に示したように、ラザフォード後方散乱のチャネリングスペクトルから得たχ−min値に示されるように、高品質のエピタキシャルシリコン膜が形成された。
【0081】
【表7】
Figure 2004529496
当業者には明らかであるが、本発明の技術的範囲を逸脱することなく上記のプロセスに様々な省略、追加及び変更を行うことができ、このような変更及び改変は、添付の特許請求の範囲で規定された本発明の技術的範囲内に属する。
【図面の簡単な説明】
【0082】
【図1】好ましい実施の形態に基づくゲートスタックの形成プロセスを概略的に示したフローチャートである。
【図2】好ましい実施の形態に基づくゲートスタックを示した図である。
【図3】好ましい実施の形態に基づく堆積プロセス中に温度設定点を変える方法を概略的に示したフローチャートである。
【図4】好ましいSiGe膜において、測定位置の関数として膜厚をプロットしたグラフである。
【図5】シランとゲルマンとを用いて堆積したSiGe膜を示した走査型電子顕微鏡写真の写しである。
【図6】図5に示したSiGe膜の断面を示した走査型電子顕微鏡写真の写しである。
【図7】トリシランとゲルマンとを用いて堆積したSiGe膜を示した走査型電子顕微鏡写真の写しである。
【図8】図7に示したSiGe膜の断面を示した走査型電子顕微鏡写真の写しである。
【図9】好ましいSiN膜の断面を示した透過型電子顕微鏡写真の写しである。
【図10】上記条件の基で得られたシラン、ジシラン、及びトリシランのアレニウスプロットである。
【図11】600℃、40Torr(5332.8Pa)での酸化物基板上へのトリシラン(Silcore(商標))流量の関数としての膜堆積速度をプロットしたグラフである。
【図12】650℃、40Torr(5332.8Pa)でトリシラン(Silcore(商標))を用い、様々な堆積時間において、位置の関数として膜厚をプロットしたグラフである。
【図13】トリシランを用いた堆積において、ジボラン流量の関数として堆積速度をプロットしたグラフである。
【図14】600℃、40Torr(5332.8Pa)でトリシランを用いて堆積したアモルファスシリコン膜のRBS ERDスペクトルである。
【図15】600℃、650℃、700℃及び750℃(それぞれ、下から上へ)でトリシランを用いて堆積した膜で得られた一連のX線回折スペクトルである。
【図16】多結晶シリコン膜断面の透過型電子顕微鏡写真の写しである。
【図17】多結晶シリコン膜の制限視野回折パターンである。
【図18】馴染み易いアモルファスシリコン膜断面の走査型電子顕微鏡写真の写しである。
【図19】窒化シリコン膜のRBSスペクトルである。
【図20】窒化シリコン膜のRBS ERDスペクトルである。

Claims (83)

  1. 均一性の高いSi含有材料を表面上に堆積する方法であって、
    内部に基板を配置したチャンバを用意するステップであって、トリシラン蒸気を用いた堆積に対して実質的に輸送量制限される条件を確立するように選択された温度に、前記基板を制御するステップと、
    トリシランの代わりにシランを用いた堆積と比べて、堆積の均一性を改良するように選択された流れで、トリシランを含むガスを前記チャンバに導入するステップと、
    Si含有膜を前記基板上に堆積するステップとを含む方法。
  2. 前記Si含有膜がエピタキシャル膜である請求項1記載の方法。
  3. 前記Si含有膜が多結晶膜である請求項1記載の方法。
  4. 前記温度が約450℃〜約750℃の範囲である請求項1記載の方法。
  5. 前記温度が約550℃〜約650℃の範囲である請求項4記載の方法。
  6. 前記Si含有膜を毎分約50Å以上で前記基板上に堆積する請求項1記載の方法。
  7. 前記Si含有膜を毎分約100Å以上で前記基板上に堆積する請求項1記載の方法。
  8. 前記アモルファスSi含有膜の前記基板にわたる厚み不均一性が約5%以下である請求項1記載の方法。
  9. 前記アモルファスSi含有膜の前記基板にわたる厚み不均一性が約1%以下である請求項1記載の方法。
  10. 前記ガスが、シラン、ゲルマン、ジゲルマン、トリゲルマン、NF3、モノシリルメタン、ジシリルメタン、トリシリルメタン、テトラシリルメタン、及びドーパント前駆体を含んで構成される群の中から選択される1種又は複数種の化合物をさらに含む請求項1記載の方法。
  11. 前記ガスがジゲルマンをさらに含む請求項1記載の方法。
  12. 前記チャンバが枚葉式で、水平ガス流の反応装置である請求項1記載の方法。
  13. 前記Si含有膜が、マイクロドット、SiGe膜、SiGeC膜、SiN膜、シリコン酸化膜、シリコン酸窒化膜、ボロンドープ膜、ヒ素ドープ膜、リンドープ膜、インジウムドープ膜、アンチモンドープ膜、及び誘電率が約2.2以下の膜を含んで構成される群の中から選択されたものである請求項1記載の方法。
  14. 前記Si含有膜がシリコンであり、前記基板が高誘電率を有する材料である請求項1記載の方法。
  15. 前記膜をパターニングしてトランジスタゲート電極を形成するステップをさらに含む請求項1記載の方法。
  16. Si含有材料を表面上に堆積する方法であって、
    内部に基板を配置した化学気相成長チャンバを用意するステップと、
    トリシランを含むガスを前記チャンバに導入するステップと、
    525℃より高い温度で、Si含有膜を前記基板上に堆積するステップとを含み、前記膜が、トリシランの代わりにシランを用いて形成した比較の膜より、実質的に速い堆積速度で、高い均一性を有するものである方法。
  17. 前記基板を約550℃以上に保持する請求項16記載の方法。
  18. 前記基板を約620℃以上に保持する請求項16記載の方法。
  19. 前記基板を約700℃以上に保持する請求項16記載の方法。
  20. 前記基板を450℃〜約700℃の範囲に保持する請求項16記載の方法。
  21. 前記基板を約525℃〜約650℃の範囲に保持する請求項16記載の方法。
  22. 前記堆積を毎分約50Å以上の速度で行う請求項16記載の方法。
  23. 前記堆積を毎分約100Å以上の速度で行う請求項16記載の方法。
  24. 前記ガスが、ゲルマン、ジゲルマン、トリゲルマン、NF3、モノシリルメタン、ジシリルメタン、トリシリルメタン、テトラシリルメタン、及びドーパント前駆体を含んで構成される群の中から選択される1種又は複数種の化合物をさらに含む請求項16記載の方法。
  25. 前記ガスがジゲルマンをさらに含む請求項16記載の方法。
  26. 前記化学気相成長チャンバが枚葉式で、水平ガス流の反応装置である請求項16記載の方法。
  27. 前記Si含有膜の厚み不均一性が約5%以下である請求項16記載の方法。
  28. 前記Si含有膜の厚み不均一性が約1%以下である請求項16記載の方法。
  29. 前記Si含有膜が、マイクロドット、SiGe膜、SiGeC膜、SiN膜、シリコン酸素膜、シリコン酸窒化膜、ボロンドープ膜、ヒ素ドープ膜、インジウムドープ膜、アンチモンドープ膜、リンドープ膜、及び誘電率が約2.2以下の膜を含んで構成される群の中から選択される請求項16記載の方法。
  30. 前記Si含有膜がシリコンであり、前記基板が高誘電率を有する材料である請求項16記載の方法。
  31. 前記Si含有膜がエピタキシャル膜である請求項16記載の方法。
  32. 前記Si含有膜が多結晶膜である請求項16記載の方法。
  33. 前記Si含有膜がアモルファス膜である請求項16記載の方法。
  34. パターニングしてトランジスタゲート電極を形成するステップをさらに含む請求項16記載の方法。
  35. 厚みの不均一性が約5%以下であり、前記膜にわたる所与の深さでの組成の不均一性が約2%以下である集積回路における複合Si含有膜。
  36. トランジスタゲート電極に含まれるものである請求項35記載のSi含有膜。
  37. 厚みの不均一性が約1%以下である請求項35記載のSi含有膜。
  38. SiGeを含む請求項35記載のSi含有膜。
  39. 多結晶材料を含む請求項35記載のSi含有膜。
  40. アモルファス材料を含む請求項35記載のSi含有膜。
  41. SiGe材料を表面上に堆積する方法であって、
    内部に基板を配置した化学気相成長チャンバを用意するステップと、
    高次シランと高次ゲルマンとを含んで構成されたガスをチャンバに導入するステップと、
    SiGe膜を基板上に堆積するステップとを含む方法。
  42. 前記高次シランが、ジシラン、トリシラン、及びテトラシランを含んで構成される群の中から選択される請求項41記載の方法。
  43. 前記高次ゲルマンが、ジゲルマン、トリゲルマン及びテトラゲルマンを含んで構成される群の中から選択される請求項41記載の方法。
  44. 前記高次シランがトリシランであり、前記高次ゲルマンがジゲルマンである請求項41記載の方法。
  45. 前記堆積を475℃〜約700℃の範囲の温度で行う請求項41記載の方法。
  46. 前記堆積を毎分約50Å以上の速度で行う請求項41記載の方法。
  47. 前記堆積を毎分約100Å以上の速度で行う請求項41記載の方法。
  48. 前記ガスが、モノシリルメタン、ジシリルメタン、トリシリルメタン、テトラシリルメタン、及びドーパント前駆体を含んで構成される群の中から選択される1種又は複数種の化合物をさらに含む請求項41記載の方法。
  49. 前記化学気相成長チャンバが枚葉式で、水平ガス流の反応装置である請求項41記載の方法。
  50. 前記SiGe膜の厚み不均一性が約5%以下である請求項41記載の方法。
  51. 前記SiGe膜の均一性が、高次シランの代わりにシランを用いて形成した比較の膜より高い請求項41記載の方法。
  52. 前記SiGe膜の均一性が、高次ゲルマンの代わりにゲルマンを用いて形成した比較の膜より高い請求項41記載の方法。
  53. パターニングしてトランジスタゲート電極を形成するステップをさらに含む請求項41記載の方法。
  54. 集積回路におけるSiGe膜であって、
    厚みの不均一性が約5%以下であり、組成の不均一性が約2%以下であるSiGe膜。
  55. 前記SiGe膜がトランジスタゲート電極に含まれるものである請求項54記載のSiGe膜。
  56. 前記SiGe膜の厚みの不均一性が約1%以下であり、組成の不均一性が約2%以下である請求項54記載のSiGe膜。
  57. Si含有材料を表面上に堆積する方法であって、
    単一のレシピに対して複数の温度制御変数の設定がプログラム可能に構成された温度コントローラを備え、内部に基板を配置した化学気相成長チャンバを用意するステップと、
    温度制御変数T1を前記温度コントローラに設定するステップと、
    1%の第1Si含有化学前駆体を含んで構成された第1ガスを前記チャンバに導入するステップであって、前記X1が約1×10-4〜約100の範囲であるステップと、
    第1Si含有膜を前記基板上に堆積するステップと、
    温度制御変数T2を前記温度コントローラに設定するステップと、
    2%の第2Si含有化学前駆体を含んで構成された第2ガスを前記チャンバに導入するステップであって、前記X2が約1×10-4〜約100の範囲であり、前記第2シリコン源が前記第1シリコン源と同一であるか、又は異なるステップと、
    第2Si含有膜を前記第1Si含有膜上に堆積するステップとを含む方法であって、
    これにより、厚みの不均一性が約5%以下であり、組成の不均一性が約2%以下である多層Si含有膜を形成する方法。
  58. 前記温度制御変数T1及びT2が温度制御設定値である請求項57記載の方法。
  59. 温度制御変数T3を前記温度コントローラに設定するステップと、
    3%の第3Si含有化学前駆体を含んで構成された第3ガスを前記チャンバに導入するステップと、
    第3Si含有膜を前記第2Si含有膜上に堆積するステップとをさらに含む請求項57記載の方法。
  60. 前記第1Si含有化学前駆体及び前記第2Si含有化学前駆体の少なくとも1種が、シラン、ジシラン及びトリシランを含んで構成される群の中から選択される請求項57記載の方法。
  61. 前記第1ガス及び前記第2ガスの少なくとも1種が、ゲルマン、ジゲルマン、トリゲルマン、NF3、モノシリルメタン、ジシリルメタン、トリシリルメタン、テトラシリルメタン、及びシリルホスフィン及びシリルアルシンを含むドーパント前駆体を含んで構成される群の中から選択される化合物を含む請求項57記載の方法。
  62. 前記基板の温度が約350℃以上である請求項57記載の方法。
  63. 前記基板の温度が475℃〜約700℃の範囲である請求項57記載の方法。
  64. 前記化学気相成長チャンバが枚葉式で、水平ガス流の反応装置である請求項57記載の方法。
  65. 前記多層Si含有膜が、マイクロドット、SiGe膜、SiGeC膜、SiN膜、シリコン酸素膜、シリコン酸窒化膜、ボロンドープ膜、ヒ素ドープ膜、インジウムドープ膜、アンチモンドープ膜、リンドープ膜、アモルファス膜、多結晶膜、エピタキシャル膜、及び誘電率が約2.2以下の膜を含んで構成される群の中から選択される請求項57記載の方法。
  66. Si含有材料を表面上に堆積させる装置であって、
    化学気相成長チャンバと、
    トリシランを収容する容器と、
    該容器から前記CVDチャンバへトリシランを通過させ得るように、該容器を前記化学気相成長チャンバに動作可能に接続する供給ラインと、
    約10℃と70℃の間の温度に保持されて、トリシランの蒸発速度を制御するように、前記容器のまわりに動作可能に配置された温度コントローラとを備えた装置。
  67. 前記容器から前記化学気相成長チャンバへのトリシランの通過を制御する前記供給ラインと動作可能に接続された多岐管をさらに備えた請求項66記載の装置。
  68. 前記温度コントローラが、加熱ブランケット、加熱バス又は加熱ランプである請求項66記載の装置。
  69. 前記化学気相成長チャンバが、枚葉式で、水平ガス流の反応装置である請求項66記載の装置。
  70. 前記容器がキャリアガス源を備えたバブラーであり、前記キャリアガスが水素、ヘリウム、ネオン、アルゴン、クリプトン及び窒素を含んで構成される群の中から選択される請求項66記載の装置。
  71. 前記キャリアガスが水素である請求項70記載の装置。
  72. 前記供給ライン内でのトリシランの凝縮を低減させるように、前記供給ラインの周囲に動作可能に配置され、約35℃と70℃との間の温度に保持される熱源をさらに備えた請求項70記載の装置。
  73. 前記温度コントローラが、約15℃と52℃との間の温度に保持するものである請求項66記載の装置。
  74. 前記誘電率が約5より高いゲート誘電体材料上に膜を堆積する請求項15記載の方法。
  75. 前記ゲート誘電体が、酸化アルミニウム、酸化ジルコニウム又は酸化ハフニウムを含む請求項74記載の方法。
  76. 前記Si含有膜が酸化シリコンを含む請求項1記載の方法。
  77. 前記Si含有膜が酸化窒化シリコンを含む請求項1記載の方法。
  78. 前記Si含有膜が窒化シリコンを含む請求項1記載の方法。
  79. 前記ガスが窒素源をさらに含む請求項1記載の方法。
  80. 窒素源が、NF3、トリシリルアミン、原子状窒素、及びアンモニアを含んで構成される群の中から選択される請求項79記載の方法。
  81. 前記窒素源が原子状窒素である請求項80記載の方法。
  82. 前記トリシランがパルスで導入される請求項80記載の方法。
  83. 前記Si含有膜が、約10Å〜約300Åの範囲の厚みを有するSiN膜である請求項80記載の方法。
JP2002578556A 2001-02-12 2002-02-01 半導体膜の改良された堆積方法 Expired - Lifetime JP4866534B2 (ja)

Applications Claiming Priority (15)

Application Number Priority Date Filing Date Title
US26833701P 2001-02-12 2001-02-12
US60/268,337 2001-02-12
US27925601P 2001-03-27 2001-03-27
US60/279,256 2001-03-27
US31160901P 2001-08-09 2001-08-09
US60/311,609 2001-08-09
US32364901P 2001-09-19 2001-09-19
US60/323,649 2001-09-19
US33269601P 2001-11-13 2001-11-13
US60/332,696 2001-11-13
US33372401P 2001-11-28 2001-11-28
US60/333,724 2001-11-28
US34045401P 2001-12-07 2001-12-07
US60/340,454 2001-12-07
PCT/US2002/002921 WO2002080244A2 (en) 2001-02-12 2002-02-01 Improved process for deposition of semiconductor films

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2011127316A Division JP2011228724A (ja) 2001-02-12 2011-06-07 半導体膜の改良された堆積方法

Publications (2)

Publication Number Publication Date
JP2004529496A true JP2004529496A (ja) 2004-09-24
JP4866534B2 JP4866534B2 (ja) 2012-02-01

Family

ID=27569531

Family Applications (8)

Application Number Title Priority Date Filing Date
JP2002578556A Expired - Lifetime JP4866534B2 (ja) 2001-02-12 2002-02-01 半導体膜の改良された堆積方法
JP2002564165A Pending JP2004523903A (ja) 2001-02-12 2002-02-12 薄膜及びトリシランを用いる薄膜の形成方法
JP2002565340A Pending JP2004525509A (ja) 2001-02-12 2002-02-12 ドーパント前駆体を用いた製造方法
JP2002565348A Expired - Lifetime JP4224847B2 (ja) 2001-02-12 2002-02-12 半導体膜の改良された堆積方法
JP2002565349A Expired - Lifetime JP4417625B2 (ja) 2001-02-12 2002-02-12 トリシランを用いる混合基板への成膜方法、および、ベース構造の製造方法
JP2007328687A Expired - Lifetime JP5134358B2 (ja) 2001-02-12 2007-12-20 半導体膜の改良された堆積方法
JP2008112166A Withdrawn JP2008252104A (ja) 2001-02-12 2008-04-23 ドーパント前駆体を用いた製造方法
JP2011127316A Withdrawn JP2011228724A (ja) 2001-02-12 2011-06-07 半導体膜の改良された堆積方法

Family Applications After (7)

Application Number Title Priority Date Filing Date
JP2002564165A Pending JP2004523903A (ja) 2001-02-12 2002-02-12 薄膜及びトリシランを用いる薄膜の形成方法
JP2002565340A Pending JP2004525509A (ja) 2001-02-12 2002-02-12 ドーパント前駆体を用いた製造方法
JP2002565348A Expired - Lifetime JP4224847B2 (ja) 2001-02-12 2002-02-12 半導体膜の改良された堆積方法
JP2002565349A Expired - Lifetime JP4417625B2 (ja) 2001-02-12 2002-02-12 トリシランを用いる混合基板への成膜方法、および、ベース構造の製造方法
JP2007328687A Expired - Lifetime JP5134358B2 (ja) 2001-02-12 2007-12-20 半導体膜の改良された堆積方法
JP2008112166A Withdrawn JP2008252104A (ja) 2001-02-12 2008-04-23 ドーパント前駆体を用いた製造方法
JP2011127316A Withdrawn JP2011228724A (ja) 2001-02-12 2011-06-07 半導体膜の改良された堆積方法

Country Status (8)

Country Link
US (15) US6716751B2 (ja)
EP (3) EP1421607A2 (ja)
JP (8) JP4866534B2 (ja)
KR (5) KR101027485B1 (ja)
AT (1) ATE400060T1 (ja)
AU (2) AU2002306436A1 (ja)
DE (2) DE60223662T2 (ja)
WO (5) WO2002080244A2 (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006216955A (ja) * 2005-02-04 2006-08-17 Asm America Inc 電気的に活性なドープト結晶性Si含有膜の堆積方法
JP2007138295A (ja) * 2005-11-18 2007-06-07 Tokyo Electron Ltd シングルチャンバ内で異なる堆積プロセスを実行する方法およびシステム
JP2007526399A (ja) * 2004-03-05 2007-09-13 レール・リキード−ソシエテ・アノニム・ア・ディレクトワール・エ・コンセイユ・ドゥ・スールベイランス・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 絶縁膜または金属膜を形成する方法
JP2008218661A (ja) * 2007-03-02 2008-09-18 Fujitsu Ltd 電界効果型半導体装置及びその製造方法
JP2009031612A (ja) * 2007-07-27 2009-02-12 Ulvac Japan Ltd 樹脂基板
JP2009111382A (ja) * 2007-10-22 2009-05-21 Applied Materials Inc 遠隔プラズマcvdによりジシラン前駆体から高品質シリコン酸化膜を形成する方法
JP2013082986A (ja) * 2011-09-30 2013-05-09 Tokyo Electron Ltd 薄膜の形成方法及び成膜装置
JP2017212259A (ja) * 2016-05-23 2017-11-30 株式会社デンソー 半導体装置の製造方法

Families Citing this family (760)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6143631A (en) * 1998-05-04 2000-11-07 Micron Technology, Inc. Method for controlling the morphology of deposited silicon on a silicon dioxide substrate and semiconductor devices incorporating such deposited silicon
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
JP4029420B2 (ja) * 1999-07-15 2008-01-09 独立行政法人科学技術振興機構 ミリ波・遠赤外光検出器
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
FR2812763B1 (fr) * 2000-08-04 2002-11-01 St Microelectronics Sa Formation de boites quantiques
WO2002019363A2 (en) * 2000-08-28 2002-03-07 Applied Materials, Inc. Pre-polycoating of glass substrates
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
US7026219B2 (en) 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
EP1421607A2 (en) * 2001-02-12 2004-05-26 ASM America, Inc. Improved process for deposition of semiconductor films
US6830976B2 (en) * 2001-03-02 2004-12-14 Amberwave Systems Corproation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6750119B2 (en) * 2001-04-20 2004-06-15 International Business Machines Corporation Epitaxial and polycrystalline growth of Si1-x-yGexCy and Si1-yCy alloy layers on Si by UHV-CVD
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
EP1403929A4 (en) * 2001-06-05 2008-06-04 Sony Corp SEMICONDUCTOR SURFACE AND TRAINING METHOD THEREFOR AND SEMICONDUCTOR COMPONENT AND METHOD OF MANUFACTURING THEREOF
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
JP2003077845A (ja) * 2001-09-05 2003-03-14 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US20030124818A1 (en) * 2001-12-28 2003-07-03 Applied Materials, Inc. Method and apparatus for forming silicon containing films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
JP2003224204A (ja) * 2002-01-29 2003-08-08 Mitsubishi Electric Corp キャパシタを有する半導体装置
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
JP3719998B2 (ja) * 2002-04-01 2005-11-24 松下電器産業株式会社 半導体装置の製造方法
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6720027B2 (en) * 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
KR100448714B1 (ko) * 2002-04-24 2004-09-13 삼성전자주식회사 다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막및 그의 형성방법
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7601225B2 (en) * 2002-06-17 2009-10-13 Asm International N.V. System for controlling the sublimation of reactants
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
JP5005170B2 (ja) * 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
US7294582B2 (en) * 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US6740568B2 (en) * 2002-07-29 2004-05-25 Infineon Technologies Ag Method to enhance epitaxial regrowth in amorphous silicon contacts
US7399500B2 (en) * 2002-08-07 2008-07-15 Schott Ag Rapid process for the production of multilayer barrier layers
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
JP4358492B2 (ja) * 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US6833322B2 (en) * 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
JP4065516B2 (ja) * 2002-10-21 2008-03-26 キヤノン株式会社 情報処理装置及び情報処理方法
US7092287B2 (en) * 2002-12-18 2006-08-15 Asm International N.V. Method of fabricating silicon nitride nanodots
KR20050084387A (ko) * 2002-12-20 2005-08-26 코닌클리즈케 필립스 일렉트로닉스 엔.브이. 반도체 장치 제조 방법
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US7244683B2 (en) * 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US7422961B2 (en) * 2003-03-14 2008-09-09 Advanced Micro Devices, Inc. Method of forming isolation regions for integrated circuits
US6998305B2 (en) * 2003-01-24 2006-02-14 Asm America, Inc. Enhanced selectivity for epitaxial deposition
JP5288707B2 (ja) * 2003-03-12 2013-09-11 エーエスエム アメリカ インコーポレイテッド シリコンゲルマニウムの、平坦化及び欠陥密度を減少させる方法
US7682947B2 (en) * 2003-03-13 2010-03-23 Asm America, Inc. Epitaxial semiconductor deposition methods and structures
JP4782670B2 (ja) * 2003-03-13 2011-09-28 エーエスエム アメリカ インコーポレイテッド エピタキシャルGe含有膜の成長方法及びエピタキシャル半導体成膜システム
US7238595B2 (en) * 2003-03-13 2007-07-03 Asm America, Inc. Epitaxial semiconductor deposition methods and structures
US7517768B2 (en) * 2003-03-31 2009-04-14 Intel Corporation Method for fabricating a heterojunction bipolar transistor
JP4714422B2 (ja) 2003-04-05 2011-06-29 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. ゲルマニウムを含有するフィルムを堆積させる方法、及び蒸気送達装置
JP4689969B2 (ja) * 2003-04-05 2011-06-01 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. Iva族およびvia族化合物の調製
JP4954448B2 (ja) * 2003-04-05 2012-06-13 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 有機金属化合物
US7005160B2 (en) * 2003-04-24 2006-02-28 Asm America, Inc. Methods for depositing polycrystalline films with engineered grain structures
EP1482069A1 (en) * 2003-05-28 2004-12-01 Interuniversitair Microelektronica Centrum Vzw Method for producing polycrystalline silicon germanium suitable for micromachining
US6909186B2 (en) * 2003-05-01 2005-06-21 International Business Machines Corporation High performance FET devices and methods therefor
US7074630B2 (en) * 2003-05-20 2006-07-11 United Microelectronics Corp. Method of forming light emitter layer
US20040241948A1 (en) * 2003-05-29 2004-12-02 Chun-Feng Nieh Method of fabricating stacked gate dielectric layer
JP4158607B2 (ja) * 2003-06-09 2008-10-01 株式会社Sumco 半導体基板の製造方法
US7153772B2 (en) * 2003-06-12 2006-12-26 Asm International N.V. Methods of forming silicide films in semiconductor devices
US7122408B2 (en) 2003-06-16 2006-10-17 Micron Technology, Inc. Photodiode with ultra-shallow junction for high quantum efficiency CMOS image sensor and method of formation
JP2007523994A (ja) * 2003-06-18 2007-08-23 アプライド マテリアルズ インコーポレイテッド バリヤ物質の原子層堆積
US7282738B2 (en) * 2003-07-18 2007-10-16 Corning Incorporated Fabrication of crystalline materials over substrates
EP1647046A2 (en) * 2003-07-23 2006-04-19 ASM America, Inc. DEPOSITION OF SiGE ON SILICON-ON-INSULATOR STRUCTURES AND BULK SUBSTRATES
KR20060039915A (ko) * 2003-07-30 2006-05-09 에이에스엠 아메리카, 인코포레이티드 완화된 실리콘 게르마늄 층의 에피택셜 성장
US7202166B2 (en) * 2003-08-04 2007-04-10 Asm America, Inc. Surface preparation prior to deposition on germanium
US9532994B2 (en) 2003-08-29 2017-01-03 The Regents Of The University Of California Agents and methods for enhancing bone formation by oxysterols in combination with bone morphogenic proteins
DE10341806B4 (de) * 2003-09-10 2008-11-06 Texas Instruments Deutschland Gmbh Verfahren zur Herstellung einer epitaktischen Silizium-Germanium Basisschicht eines heterobipolaren pnp Transistors
US7175966B2 (en) * 2003-09-19 2007-02-13 International Business Machines Corporation Water and aqueous base soluble antireflective coating/hardmask materials
US20050064629A1 (en) * 2003-09-22 2005-03-24 Chen-Hua Yu Tungsten-copper interconnect and method for fabricating the same
US8501594B2 (en) 2003-10-10 2013-08-06 Applied Materials, Inc. Methods for forming silicon germanium layers
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7132338B2 (en) 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
JP4655578B2 (ja) * 2003-10-20 2011-03-23 東京エレクトロン株式会社 成膜装置及び成膜方法
US6987055B2 (en) * 2004-01-09 2006-01-17 Micron Technology, Inc. Methods for deposition of semiconductor material
US7078302B2 (en) 2004-02-23 2006-07-18 Applied Materials, Inc. Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal
US7329593B2 (en) 2004-02-27 2008-02-12 Asm America, Inc. Germanium deposition
FR2868203B1 (fr) * 2004-03-29 2006-06-09 St Microelectronics Sa Procede de fabrication d'un transistor bipolaire a base extrinseque monocristalline
JP4874527B2 (ja) * 2004-04-01 2012-02-15 トヨタ自動車株式会社 炭化珪素半導体基板及びその製造方法
KR20070006852A (ko) * 2004-04-23 2007-01-11 에이에스엠 아메리카, 인코포레이티드 인-시츄 도핑된 에피택셜 막
US7084040B2 (en) * 2004-04-23 2006-08-01 Northrop Grumman Corp. Method for growth of group III-V semiconductor material on a dielectric
US7202142B2 (en) * 2004-05-03 2007-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method for producing low defect density strained -Si channel MOSFETS
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8170404B2 (en) * 2004-05-20 2012-05-01 Akzo Nobel N.V. Bubbler for constant vapor delivery of a solid chemical
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US7396743B2 (en) * 2004-06-10 2008-07-08 Singh Kaushal K Low temperature epitaxial growth of silicon-containing films using UV radiation
KR101176668B1 (ko) * 2004-06-10 2012-08-23 어플라이드 머티어리얼스, 인코포레이티드 Uv 방사를 이용한 실리콘-함유 막들의 저온 에피택셜 성장
US7285503B2 (en) * 2004-06-21 2007-10-23 Applied Materials, Inc. Hermetic cap layers formed on low-k films by plasma enhanced chemical vapor deposition
JP3945519B2 (ja) * 2004-06-21 2007-07-18 東京エレクトロン株式会社 被処理体の熱処理装置、熱処理方法及び記憶媒体
AP2007003890A0 (en) 2004-07-30 2007-02-28 Rinat Neuroscience Corp Antibodies directed against amy-loid-beta peptide and methods using same
US8673410B2 (en) * 2004-08-04 2014-03-18 Tel Solar Ag Adhesion layer for thin film transistors
DE102004056170A1 (de) * 2004-08-06 2006-03-16 Aixtron Ag Vorrichtung und Verfahren zur chemischen Gasphasenabscheidung mit hohem Durchsatz
US7629270B2 (en) * 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US7253084B2 (en) * 2004-09-03 2007-08-07 Asm America, Inc. Deposition from liquid sources
US20060051975A1 (en) * 2004-09-07 2006-03-09 Ashutosh Misra Novel deposition of SiON dielectric films
JP4428175B2 (ja) * 2004-09-14 2010-03-10 株式会社Sumco 気相エピタキシャル成長装置および半導体ウェーハの製造方法
US7309660B2 (en) * 2004-09-16 2007-12-18 International Business Machines Corporation Buffer layer for selective SiGe growth for uniform nucleation
US7071125B2 (en) * 2004-09-22 2006-07-04 Intel Corporation Precursors for film formation
US7966969B2 (en) 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
US7314513B1 (en) 2004-09-24 2008-01-01 Kovio, Inc. Methods of forming a doped semiconductor thin film, doped semiconductor thin film structures, doped silane compositions, and methods of making such compositions
TW200619416A (en) * 2004-09-30 2006-06-16 Aviza Tech Inc Method and apparatus for low temperature dielectric deposition using monomolecular precursors
US20060086950A1 (en) * 2004-10-13 2006-04-27 Matty Caymax Method for making a passivated semiconductor substrate
WO2006044268A1 (en) * 2004-10-13 2006-04-27 Dow Global Technologies Inc. Catalysed diesel soot filter and process for its use
US7427571B2 (en) * 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
US7674726B2 (en) * 2004-10-15 2010-03-09 Asm International N.V. Parts for deposition reactors
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
JP4945072B2 (ja) * 2004-11-09 2012-06-06 株式会社東芝 半導体装置及びその製造方法
US7560352B2 (en) 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
JP2006176811A (ja) * 2004-12-21 2006-07-06 Rikogaku Shinkokai 結晶性SiC膜の製造方法
KR100579860B1 (ko) * 2004-12-23 2006-05-12 동부일렉트로닉스 주식회사 원자층 증착법(ald) 및 ⅲ족 중금속을 이용한 반도체소자의 p형 폴리실리콘막 형성 방법
US9640649B2 (en) * 2004-12-30 2017-05-02 Infineon Technologies Americas Corp. III-nitride power semiconductor with a field relaxation feature
US7704896B2 (en) * 2005-01-21 2010-04-27 Asm International, N.V. Atomic layer deposition of thin films on germanium
US7235492B2 (en) * 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US8241996B2 (en) 2005-02-28 2012-08-14 Silicon Genesis Corporation Substrate stiffness method and resulting devices for layer transfer process
US7629267B2 (en) * 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
PE20061323A1 (es) 2005-04-29 2007-02-09 Rinat Neuroscience Corp Anticuerpos dirigidos contra el peptido amiloide beta y metodos que utilizan los mismos
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7473655B2 (en) * 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
KR101283835B1 (ko) * 2005-06-29 2013-07-08 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 3원 막의 증착 방법
US20070031598A1 (en) * 2005-07-08 2007-02-08 Yoshikazu Okuyama Method for depositing silicon-containing films
US20070010072A1 (en) * 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
US7195934B2 (en) * 2005-07-11 2007-03-27 Applied Materials, Inc. Method and system for deposition tuning in an epitaxial film growth apparatus
US7674687B2 (en) * 2005-07-27 2010-03-09 Silicon Genesis Corporation Method and structure for fabricating multiple tiled regions onto a plate using a controlled cleaving process
US20070029043A1 (en) * 2005-08-08 2007-02-08 Silicon Genesis Corporation Pre-made cleavable substrate method and structure of fabricating devices using one or more films provided by a layer transfer process
US7166520B1 (en) * 2005-08-08 2007-01-23 Silicon Genesis Corporation Thin handle substrate method and structure for fabricating devices using one or more films provided by a layer transfer process
US7427554B2 (en) * 2005-08-12 2008-09-23 Silicon Genesis Corporation Manufacturing strained silicon substrates using a backing material
US20070054048A1 (en) * 2005-09-07 2007-03-08 Suvi Haukka Extended deposition range by hot spots
WO2007035660A1 (en) * 2005-09-20 2007-03-29 Applied Materials, Inc. Method to form a device on a soi substrate
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
DE102005047221B4 (de) * 2005-10-01 2015-08-06 APSOL GmbH Halbleiterschichtstruktur, Bauelement mit einer solchen Halbleiterschichtstruktur, Halbleiterschichtstruktur-Scheiben und Verfahren zu deren Herstellung
JP5888831B2 (ja) * 2005-10-05 2016-03-22 シン フィルム エレクトロニクス エーエスエー 架橋済みポリマー及びその製造方法
US7294581B2 (en) * 2005-10-17 2007-11-13 Applied Materials, Inc. Method for fabricating silicon nitride spacer structures
US20070096091A1 (en) * 2005-11-03 2007-05-03 Chih-Chun Wang Layer structure and removing method thereof and mehod of testing semiconductor machine
US7300849B2 (en) * 2005-11-04 2007-11-27 Atmel Corporation Bandgap engineered mono-crystalline silicon cap layers for SiGe HBT performance enhancement
US7651919B2 (en) * 2005-11-04 2010-01-26 Atmel Corporation Bandgap and recombination engineered emitter layers for SiGe HBT performance optimization
US7439558B2 (en) 2005-11-04 2008-10-21 Atmel Corporation Method and system for controlled oxygen incorporation in compound semiconductor films for device performance enhancement
TWI331770B (en) 2005-11-04 2010-10-11 Applied Materials Inc Apparatus for plasma-enhanced atomic layer deposition
US8530934B2 (en) 2005-11-07 2013-09-10 Atmel Corporation Integrated circuit structures containing a strain-compensated compound semiconductor layer and methods and systems related thereto
US7465669B2 (en) * 2005-11-12 2008-12-16 Applied Materials, Inc. Method of fabricating a silicon nitride stack
US7416995B2 (en) * 2005-11-12 2008-08-26 Applied Materials, Inc. Method for fabricating controlled stress silicon nitride films
JP4792956B2 (ja) * 2005-12-13 2011-10-12 セイコーエプソン株式会社 半導体基板の製造方法及び半導体装置の製造方法
JP4792957B2 (ja) * 2005-12-14 2011-10-12 セイコーエプソン株式会社 半導体基板の製造方法及び半導体装置の製造方法
US7553516B2 (en) * 2005-12-16 2009-06-30 Asm International N.V. System and method of reducing particle contamination of semiconductor substrates
US7718518B2 (en) * 2005-12-16 2010-05-18 Asm International N.V. Low temperature doped silicon layer formation
US20070154637A1 (en) * 2005-12-19 2007-07-05 Rohm And Haas Electronic Materials Llc Organometallic composition
US7312154B2 (en) * 2005-12-20 2007-12-25 Corning Incorporated Method of polishing a semiconductor-on-insulator structure
JP2009521801A (ja) * 2005-12-22 2009-06-04 エーエスエム アメリカ インコーポレイテッド ドープされた半導体物質のエピタキシャル堆積
US20070148890A1 (en) * 2005-12-27 2007-06-28 Enicks Darwin G Oxygen enhanced metastable silicon germanium film layer
KR100984668B1 (ko) * 2005-12-28 2010-10-01 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법 및 기판 처리 장치
DE112007000092B4 (de) * 2006-01-09 2014-07-24 International Rectifier Corp. Gruppe-III-Nitrid-Leistungshalbleiter mit einem Feld-Relaxations-Merkmal
US20070178678A1 (en) * 2006-01-28 2007-08-02 Varian Semiconductor Equipment Associates, Inc. Methods of implanting ions and ion sources used for same
KR100745372B1 (ko) * 2006-02-06 2007-08-02 삼성전자주식회사 반도체 제조설비의 개스플로우량 감시장치 및 그 방법
WO2007098281A2 (en) 2006-02-27 2007-08-30 Regents Of The University Of California Oxysterol compounds and the hedgehog pathway
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US7863157B2 (en) * 2006-03-17 2011-01-04 Silicon Genesis Corporation Method and structure for fabricating solar cells using a layer transfer process
US7901968B2 (en) * 2006-03-23 2011-03-08 Asm America, Inc. Heteroepitaxial deposition over an oxidized surface
US7598153B2 (en) * 2006-03-31 2009-10-06 Silicon Genesis Corporation Method and structure for fabricating bonded substrate structures using thermal processing to remove oxygen species
WO2007118121A2 (en) 2006-04-05 2007-10-18 Silicon Genesis Corporation Method and structure for fabricating solar cells using a layer transfer process
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
WO2007130916A2 (en) * 2006-05-01 2007-11-15 Applied Materials, Inc. A method of ultra-shallow junction formation using si film alloyed with carbon
DE102006020825A1 (de) * 2006-05-04 2007-11-08 Siltronic Ag Verfahren zur Herstellung einer Schichtenstruktur
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
CN101473382A (zh) * 2006-05-12 2009-07-01 高级技术材料公司 相变化记忆体材料的低温沉积
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
US7648853B2 (en) 2006-07-11 2010-01-19 Asm America, Inc. Dual channel heterostructure
US8153513B2 (en) * 2006-07-25 2012-04-10 Silicon Genesis Corporation Method and system for continuous large-area scanning implantation process
US7547621B2 (en) * 2006-07-25 2009-06-16 Applied Materials, Inc. LPCVD gate hard mask
DE112007001813T5 (de) * 2006-07-31 2009-07-09 Applied Materials, Inc., Santa Clara Verfahren zum Steuern der Morphologie während der Bildung einer epitaktischen Schicht
KR101160930B1 (ko) 2006-07-31 2012-06-29 어플라이드 머티어리얼스, 인코포레이티드 카본-함유 실리콘 에피택셜 층을 형성하는 방법
KR100753546B1 (ko) * 2006-08-22 2007-08-30 삼성전자주식회사 트랜지스터의 게이트 및 그 형성 방법.
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
JP5103480B2 (ja) 2006-10-24 2012-12-19 ダウ・コーニング・コーポレイション ネオペンタシランを含む組成物及びその製造方法
US7550758B2 (en) 2006-10-31 2009-06-23 Atmel Corporation Method for providing a nanoscale, high electron mobility transistor (HEMT) on insulator
CN101495672B (zh) 2006-11-02 2011-12-07 高级技术材料公司 对于金属薄膜的cvd/ald有用的锑及锗复合物
US7642150B2 (en) * 2006-11-08 2010-01-05 Varian Semiconductor Equipment Associates, Inc. Techniques for forming shallow junctions
US20080132039A1 (en) * 2006-12-01 2008-06-05 Yonah Cho Formation and treatment of epitaxial layer containing silicon and carbon
US7837790B2 (en) * 2006-12-01 2010-11-23 Applied Materials, Inc. Formation and treatment of epitaxial layer containing silicon and carbon
US7741200B2 (en) * 2006-12-01 2010-06-22 Applied Materials, Inc. Formation and treatment of epitaxial layer containing silicon and carbon
US20080138955A1 (en) * 2006-12-12 2008-06-12 Zhiyuan Ye Formation of epitaxial layer containing silicon
US7960236B2 (en) * 2006-12-12 2011-06-14 Applied Materials, Inc. Phosphorus containing Si epitaxial layers in N-type source/drain junctions
US7897495B2 (en) * 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
US8394196B2 (en) * 2006-12-12 2013-03-12 Applied Materials, Inc. Formation of in-situ phosphorus doped epitaxial layer containing silicon and carbon
US8110412B2 (en) * 2006-12-22 2012-02-07 Spansion Llc Integrated circuit wafer system with control strategy
US7901508B2 (en) * 2007-01-24 2011-03-08 Widetronix, Inc. Method, system, and apparatus for the growth of SiC and related or similar material, by chemical vapor deposition, using precursors in modified cold-wall reactor
US20080173239A1 (en) * 2007-01-24 2008-07-24 Yuri Makarov Method, system, and apparatus for the growth of SiC and related or similar material, by chemical vapor deposition, using precursors in modified cold-wall reactor
US9064960B2 (en) * 2007-01-31 2015-06-23 Applied Materials, Inc. Selective epitaxy process control
ITMI20070271A1 (it) * 2007-02-14 2008-08-15 St Microelectronics Srl Processo peer fabbricare un dispositivo tft con regioni di source e dain aventi un profilo di drogante graduale
US8367548B2 (en) * 2007-03-16 2013-02-05 Asm America, Inc. Stable silicide films and methods for making the same
EP1973150A1 (en) * 2007-03-20 2008-09-24 S.O.I. Tec Silicon on Insulator Technologies S.A. A (110) oriented silicon substrate and a bonded pair of substrates comprising said (110) oriented silicon substrate and corresponding methods of fabricating same
US7456061B2 (en) * 2007-03-30 2008-11-25 Agere Systems Inc. Method to reduce boron penetration in a SiGe bipolar device
US20080246101A1 (en) * 2007-04-05 2008-10-09 Applied Materials Inc. Method of poly-silicon grain structure formation
US7629256B2 (en) * 2007-05-14 2009-12-08 Asm International N.V. In situ silicon and titanium nitride deposition
JP4854591B2 (ja) * 2007-05-14 2012-01-18 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
ES2331824B1 (es) * 2007-06-18 2010-10-22 Consejo Superior De Investigaciones Cientificas (Csic) Microcabidades opticas y esponjas fotonicas, procedimiento de producc ion y sus aplicaciones en la fabricacion de dispositivos fotonicos.
US8017182B2 (en) * 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US8102694B2 (en) * 2007-06-25 2012-01-24 Sandisk 3D Llc Nonvolatile memory device containing carbon or nitrogen doped diode
EP2168161B1 (en) * 2007-06-25 2015-09-23 Sandisk 3D LLC Nonvolatile memory device containing carbon or nitrogen doped diode and method of making thereof
US8072791B2 (en) * 2007-06-25 2011-12-06 Sandisk 3D Llc Method of making nonvolatile memory device containing carbon or nitrogen doped diode
KR100812089B1 (ko) * 2007-06-26 2008-03-07 주식회사 동부하이텍 플래시 메모리 소자의 제조 방법
US7799376B2 (en) * 2007-07-27 2010-09-21 Dalsa Semiconductor Inc. Method of controlling film stress in MEMS devices
US7851307B2 (en) 2007-08-17 2010-12-14 Micron Technology, Inc. Method of forming complex oxide nanodots for a charge trap
US7759199B2 (en) 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US7972898B2 (en) * 2007-09-26 2011-07-05 Eastman Kodak Company Process for making doped zinc oxide
US20090206275A1 (en) * 2007-10-03 2009-08-20 Silcon Genesis Corporation Accelerator particle beam apparatus and method for low contaminate processing
US7776698B2 (en) 2007-10-05 2010-08-17 Applied Materials, Inc. Selective formation of silicon carbon epitaxial layer
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US7772097B2 (en) * 2007-11-05 2010-08-10 Asm America, Inc. Methods of selectively depositing silicon-containing films
KR101376336B1 (ko) * 2007-11-27 2014-03-18 한국에이에스엠지니텍 주식회사 원자층 증착 장치
US9526737B2 (en) 2007-12-03 2016-12-27 The Regents Of The University Of California Oxysterols for activation of hedgehog signaling, osteoinduction, antiadipogenesis, and Wnt signaling
US7655543B2 (en) 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
US7989360B2 (en) 2008-01-07 2011-08-02 Micron Technology, Inc. Semiconductor processing methods, and methods for forming silicon dioxide
US8347814B2 (en) * 2008-01-22 2013-01-08 Raytheon Canada Limited Method and apparatus for coating a curved surface
US8318252B2 (en) * 2008-01-28 2012-11-27 Air Products And Chemicals, Inc. Antimony precursors for GST films in ALD/CVD processes
US20090203197A1 (en) * 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8003957B2 (en) * 2008-02-11 2011-08-23 Varian Semiconductor Equipment Associates, Inc. Ethane implantation with a dilution gas
US20090200494A1 (en) * 2008-02-11 2009-08-13 Varian Semiconductor Equipment Associates, Inc. Techniques for cold implantation of carbon-containing species
US20090258151A1 (en) * 2008-04-10 2009-10-15 Raytheon Company Method and Apparatus for Coating Curved Surfaces
US7720342B2 (en) * 2008-04-15 2010-05-18 Hewlett-Packard Development Company, L.P. Optical device with a graded bandgap structure and methods of making and using the same
US7947552B2 (en) * 2008-04-21 2011-05-24 Infineon Technologies Ag Process for the simultaneous deposition of crystalline and amorphous layers with doping
EP2279285B1 (en) 2008-04-25 2015-02-11 ASM International N.V. Synthesis and use of precursors for ald of tellurium and selenium thin films
US20090267118A1 (en) * 2008-04-29 2009-10-29 International Business Machines Corporation Method for forming carbon silicon alloy (csa) and structures thereof
US8398776B2 (en) * 2008-05-12 2013-03-19 Raytheon Canada Limited Method and apparatus for supporting workpieces in a coating apparatus
JP5519649B2 (ja) * 2008-05-29 2014-06-11 エヌディーエスユー リサーチ ファウンデーション 官能化されたシランの形成法
US7943527B2 (en) * 2008-05-30 2011-05-17 The Board Of Trustees Of The University Of Illinois Surface preparation for thin film growth by enhanced nucleation
US8246748B2 (en) * 2008-07-09 2012-08-21 Raytheon Canada Limited Method and apparatus for coating surfaces
US8343583B2 (en) 2008-07-10 2013-01-01 Asm International N.V. Method for vaporizing non-gaseous precursor in a fluidized bed
JP5336956B2 (ja) * 2008-07-31 2013-11-06 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8252112B2 (en) * 2008-09-12 2012-08-28 Ovshinsky Innovation, Llc High speed thin film deposition via pre-selected intermediate
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8012876B2 (en) * 2008-12-02 2011-09-06 Asm International N.V. Delivery of vapor precursor from solid source
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
DE102008063402B4 (de) * 2008-12-31 2013-10-17 Advanced Micro Devices, Inc. Verringerung der Schwellwertspannungsfluktuation in Transistoren mit einer Kanalhalbleiterlegierung durch Verringern der Abscheideungleichmäßigkeiten
US7749917B1 (en) * 2008-12-31 2010-07-06 Applied Materials, Inc. Dry cleaning of silicon surface for solar cell applications
US20100178758A1 (en) * 2009-01-15 2010-07-15 Macronix International Co., Ltd. Methods for fabricating dielectric layer and non-volatile memory
WO2010088046A1 (en) * 2009-01-30 2010-08-05 Bp Corporation North America Inc. Seed layers and process of manufacturing seed layers
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8486191B2 (en) 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
DE102009002758A1 (de) * 2009-04-30 2010-11-11 Evonik Degussa Gmbh Bandgap Tailoring von Solarzellen aus Flüssigsilan mittels Germanium-Zugabe
US20100279479A1 (en) * 2009-05-01 2010-11-04 Varian Semiconductor Equipment Associates, Inc. Formation Of Raised Source/Drain On A Strained Thin Film Implanted With Cold And/Or Molecular Carbon
DE102009032854B4 (de) * 2009-07-13 2015-07-23 Texas Instruments Deutschland Gmbh Verfahren zur Herstellung von Bipolartransistorstrukturen in einem Halbleiterprozess
JP2011023718A (ja) * 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US20110020623A1 (en) * 2009-07-22 2011-01-27 Raytheon Company Method and Apparatus for Repairing an Optical Component Substrate Through Coating
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8421162B2 (en) 2009-09-30 2013-04-16 Suvolta, Inc. Advanced transistors with punch through suppression
US8273617B2 (en) 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
WO2011056519A2 (en) 2009-10-26 2011-05-12 Asm International N.V. Synthesis and use of precursors for ald of group va element containing thin films
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
EP2528864B1 (en) 2010-01-28 2017-03-29 Ndsu Research Foundation Method of producing cyclohexasilane compounds
US8741394B2 (en) * 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US20120142172A1 (en) * 2010-03-25 2012-06-07 Keith Fox Pecvd deposition of smooth polysilicon films
US20130157466A1 (en) * 2010-03-25 2013-06-20 Keith Fox Silicon nitride films for semiconductor device applications
US9028924B2 (en) 2010-03-25 2015-05-12 Novellus Systems, Inc. In-situ deposition of film stacks
US8709551B2 (en) * 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US8530286B2 (en) 2010-04-12 2013-09-10 Suvolta, Inc. Low power semiconductor transistor structure and method of fabrication thereof
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
JP5692763B2 (ja) * 2010-05-20 2015-04-01 東京エレクトロン株式会社 シリコン膜の形成方法およびその形成装置
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
US8569128B2 (en) 2010-06-21 2013-10-29 Suvolta, Inc. Semiconductor structure and method of fabrication thereof with mixed metal types
US8759872B2 (en) 2010-06-22 2014-06-24 Suvolta, Inc. Transistor with threshold voltage set notch and method of fabrication thereof
US8466045B2 (en) * 2010-07-02 2013-06-18 Tokyo Electron Limited Method of forming strained epitaxial carbon-doped silicon films
EP2588650A4 (en) * 2010-07-02 2014-03-19 Matheson Tri Gas Inc SELECTIVE EPITAXY OF SI-CONTAINING MATERIALS AND SUBSTITUTIONALLY DOPED SI-CONTAINING CRYSTAL MATERIALS
US8263988B2 (en) 2010-07-16 2012-09-11 Micron Technology, Inc. Solid state lighting devices with reduced crystal lattice dislocations and associated methods of manufacturing
US9017486B2 (en) * 2010-09-09 2015-04-28 International Business Machines Corporation Deposition chamber cleaning method including stressed cleaning layer
KR20180104171A (ko) * 2010-09-15 2018-09-19 프랙스에어 테크놀로지, 인코포레이티드 이온 소스의 수명 연장 방법
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8377783B2 (en) 2010-09-30 2013-02-19 Suvolta, Inc. Method for reducing punch-through in a transistor device
JP5544343B2 (ja) * 2010-10-29 2014-07-09 東京エレクトロン株式会社 成膜装置
WO2012074889A2 (en) * 2010-11-30 2012-06-07 Advanced Technology Materials, Inc. Ion implanter system including remote dopant source, and method comprising same
US8404551B2 (en) 2010-12-03 2013-03-26 Suvolta, Inc. Source/drain extension control for advanced transistors
US9484432B2 (en) 2010-12-21 2016-11-01 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US8901537B2 (en) 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
EP2474643B1 (en) 2011-01-11 2016-01-06 Imec Method for direct deposition of a germanium layer
DE102011009963A1 (de) * 2011-02-01 2012-08-02 Linde Aktiengesellschaft Verfahren zum Lichtbogenfügen und Schutzgasmischung
DE102011009964A1 (de) * 2011-02-01 2012-08-02 Linde Aktiengesellschaft Verfahren zum Weich-, Hart- und Hochtemperaturlöten
US8461875B1 (en) 2011-02-18 2013-06-11 Suvolta, Inc. Digital circuits having improved transistors, and methods therefor
US8525271B2 (en) 2011-03-03 2013-09-03 Suvolta, Inc. Semiconductor structure with improved channel stack and method for fabrication thereof
US8400219B2 (en) 2011-03-24 2013-03-19 Suvolta, Inc. Analog circuits having improved transistors, and methods therefor
US8748270B1 (en) 2011-03-30 2014-06-10 Suvolta, Inc. Process for manufacturing an improved analog transistor
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8999861B1 (en) 2011-05-11 2015-04-07 Suvolta, Inc. Semiconductor structure with substitutional boron and method for fabrication thereof
US8796048B1 (en) 2011-05-11 2014-08-05 Suvolta, Inc. Monitoring and measurement of thin film layers
US8811068B1 (en) 2011-05-13 2014-08-19 Suvolta, Inc. Integrated circuit devices and methods
US8569156B1 (en) 2011-05-16 2013-10-29 Suvolta, Inc. Reducing or eliminating pre-amorphization in transistor manufacture
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8735987B1 (en) 2011-06-06 2014-05-27 Suvolta, Inc. CMOS gate stack structures and processes
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10043934B2 (en) * 2011-06-08 2018-08-07 International Business Machines Corporation Silicon-containing heterojunction photovoltaic element and device
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US8995204B2 (en) 2011-06-23 2015-03-31 Suvolta, Inc. Circuit devices and methods having adjustable transistor body bias
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8629016B1 (en) 2011-07-26 2014-01-14 Suvolta, Inc. Multiple transistor types formed in a common epitaxial layer by differential out-diffusion from a doped underlayer
KR101891373B1 (ko) 2011-08-05 2018-08-24 엠아이이 후지쯔 세미컨덕터 리미티드 핀 구조물을 갖는 반도체 디바이스 및 그 제조 방법
US8748986B1 (en) 2011-08-05 2014-06-10 Suvolta, Inc. Electronic device with controlled threshold voltage
US8778811B2 (en) * 2011-08-18 2014-07-15 Intermolecular, Inc. Low temperature migration enhanced Si-Ge epitaxy with plasma assisted surface activation
US8645878B1 (en) 2011-08-23 2014-02-04 Suvolta, Inc. Porting a circuit design from a first semiconductor process to a second semiconductor process
US8614128B1 (en) 2011-08-23 2013-12-24 Suvolta, Inc. CMOS structures and processes based on selective thinning
US8713511B1 (en) 2011-09-16 2014-04-29 Suvolta, Inc. Tools and methods for yield-aware semiconductor manufacturing process target generation
US8841742B2 (en) 2011-09-27 2014-09-23 Soitec Low temperature layer transfer process using donor structure with material in recesses in transfer layer, semiconductor structures fabricated using such methods
US9236466B1 (en) 2011-10-07 2016-01-12 Mie Fujitsu Semiconductor Limited Analog circuits having improved insulated gate transistors, and methods therefor
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8895327B1 (en) 2011-12-09 2014-11-25 Suvolta, Inc. Tipless transistors, short-tip transistors, and methods and circuits therefor
US8819603B1 (en) 2011-12-15 2014-08-26 Suvolta, Inc. Memory circuits and methods of making and designing the same
US8883600B1 (en) 2011-12-22 2014-11-11 Suvolta, Inc. Transistor having reduced junction leakage and methods of forming thereof
US8599623B1 (en) 2011-12-23 2013-12-03 Suvolta, Inc. Circuits and methods for measuring circuit elements in an integrated circuit device
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8877619B1 (en) 2012-01-23 2014-11-04 Suvolta, Inc. Process for manufacture of integrated circuits with different channel doping transistor architectures and devices therefrom
US8970289B1 (en) 2012-01-23 2015-03-03 Suvolta, Inc. Circuits and devices for generating bi-directional body bias voltages, and methods therefor
US9093550B1 (en) 2012-01-31 2015-07-28 Mie Fujitsu Semiconductor Limited Integrated circuits having a plurality of high-K metal gate FETs with various combinations of channel foundation structure and gate stack structure and methods of making same
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US9406567B1 (en) 2012-02-28 2016-08-02 Mie Fujitsu Semiconductor Limited Method for fabricating multiple transistor devices on a substrate with varying threshold voltages
US9127345B2 (en) 2012-03-06 2015-09-08 Asm America, Inc. Methods for depositing an epitaxial silicon germanium layer having a germanium to silicon ratio greater than 1:1 using silylgermane and a diluent
US8863064B1 (en) 2012-03-23 2014-10-14 Suvolta, Inc. SRAM cell layout structure and devices therefrom
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9165788B2 (en) 2012-04-06 2015-10-20 Novellus Systems, Inc. Post-deposition soft annealing
JP6262723B2 (ja) 2012-05-07 2018-01-17 ザ リージェンツ オブ ザ ユニバーシティ オブ カリフォルニアThe Regents Of The University Of California オキシステロールアナログoxy133は、骨発生及びヘッジホッグシグナル伝達を誘導し、脂肪生成を阻害する
US9117668B2 (en) 2012-05-23 2015-08-25 Novellus Systems, Inc. PECVD deposition of smooth silicon films
US8889529B2 (en) * 2012-05-24 2014-11-18 International Business Machines Corporation Heterojunction bipolar transistors with thin epitaxial contacts
US9064924B2 (en) * 2012-05-24 2015-06-23 International Business Machines Corporation Heterojunction bipolar transistors with intrinsic interlayers
US9299698B2 (en) 2012-06-27 2016-03-29 Mie Fujitsu Semiconductor Limited Semiconductor structure with multiple transistors having various threshold voltages
US9064694B2 (en) * 2012-07-12 2015-06-23 Tokyo Electron Limited Nitridation of atomic layer deposited high-k dielectrics using trisilylamine
US9388491B2 (en) 2012-07-23 2016-07-12 Novellus Systems, Inc. Method for deposition of conformal films with catalysis assisted low temperature CVD
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8637955B1 (en) 2012-08-31 2014-01-28 Suvolta, Inc. Semiconductor structure with reduced junction leakage and method of fabrication thereof
US9171715B2 (en) 2012-09-05 2015-10-27 Asm Ip Holding B.V. Atomic layer deposition of GeO2
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9112057B1 (en) 2012-09-18 2015-08-18 Mie Fujitsu Semiconductor Limited Semiconductor devices with dopant migration suppression and method of fabrication thereof
US9041126B2 (en) 2012-09-21 2015-05-26 Mie Fujitsu Semiconductor Limited Deeply depleted MOS transistors having a screening layer and methods thereof
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US8946035B2 (en) * 2012-09-27 2015-02-03 Taiwan Semiconductor Manufacturing Co., Ltd. Replacement channels for semiconductor devices and methods for forming the same using dopant concentration boost
CN108281378B (zh) * 2012-10-12 2022-06-24 住友电气工业株式会社 Iii族氮化物复合衬底、半导体器件及它们的制造方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
CN104854698A (zh) 2012-10-31 2015-08-19 三重富士通半导体有限责任公司 具有低变化晶体管外围电路的dram型器件以及相关方法
JP2014093345A (ja) * 2012-11-01 2014-05-19 Japan Advanced Institute Of Science & Technology Hokuriku 複数の基板上へシリコン膜を一括して形成する方法
US8816754B1 (en) 2012-11-02 2014-08-26 Suvolta, Inc. Body bias circuits and methods
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9093997B1 (en) 2012-11-15 2015-07-28 Mie Fujitsu Semiconductor Limited Slew based process and bias monitors and related methods
US9512519B2 (en) 2012-12-03 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition apparatus and method
US9070477B1 (en) 2012-12-12 2015-06-30 Mie Fujitsu Semiconductor Limited Bit interleaved low voltage static random access memory (SRAM) and related methods
US9112484B1 (en) 2012-12-20 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit process and bias monitors and related methods
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
CN103107095A (zh) * 2013-01-25 2013-05-15 京东方科技集团股份有限公司 薄膜晶体管及其制作方法、阵列基板、显示装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9268885B1 (en) 2013-02-28 2016-02-23 Mie Fujitsu Semiconductor Limited Integrated circuit device methods and models with predicted device metric variations
US8994415B1 (en) 2013-03-01 2015-03-31 Suvolta, Inc. Multiple VDD clock buffer
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8988153B1 (en) 2013-03-09 2015-03-24 Suvolta, Inc. Ring oscillator with NMOS or PMOS variation insensitivity
US9299801B1 (en) 2013-03-14 2016-03-29 Mie Fujitsu Semiconductor Limited Method for fabricating a transistor device with a tuned dopant profile
US9449967B1 (en) 2013-03-15 2016-09-20 Fujitsu Semiconductor Limited Transistor array structure
US9112495B1 (en) 2013-03-15 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit device body bias circuits and methods
US9214630B2 (en) 2013-04-11 2015-12-15 Air Products And Chemicals, Inc. Method of making a multicomponent film
AU2014259672A1 (en) 2013-05-02 2015-12-03 The Regents Of The University Of California Bone-selective osteogenic oxysterol-bone targeting agents
US9478571B1 (en) 2013-05-24 2016-10-25 Mie Fujitsu Semiconductor Limited Buried channel deeply depleted channel transistor
US8895415B1 (en) 2013-05-31 2014-11-25 Novellus Systems, Inc. Tensile stressed doped amorphous silicon
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
EP2978868A4 (en) * 2013-07-12 2017-01-04 Hewlett-Packard Development Company L.P. Amorphous thin metal film
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US8976575B1 (en) 2013-08-29 2015-03-10 Suvolta, Inc. SRAM performance monitor
CN105849221B (zh) 2013-09-27 2019-06-18 乔治洛德方法研究和开发液化空气有限公司 胺取代的三甲硅烷基胺和三-二甲硅烷基胺化合物
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US20150171321A1 (en) 2013-12-13 2015-06-18 Micron Technology, Inc. Methods of forming metal on inhomogeneous surfaces and structures incorporating metal on inhomogeneous surfaces
US9218963B2 (en) 2013-12-19 2015-12-22 Asm Ip Holding B.V. Cyclical deposition of germanium
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
KR102195139B1 (ko) 2014-02-20 2020-12-24 삼성전자주식회사 반도체 장치의 제조 방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP2014166957A (ja) * 2014-04-24 2014-09-11 Sumitomo Electric Ind Ltd 炭化珪素半導体およびその製造方法と製造装置
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9710006B2 (en) 2014-07-25 2017-07-18 Mie Fujitsu Semiconductor Limited Power up body bias circuits and methods
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10177310B2 (en) 2014-07-30 2019-01-08 Hewlett Packard Enterprise Development Lp Amorphous metal alloy electrodes in non-volatile device applications
US20170226640A1 (en) * 2014-08-01 2017-08-10 3M Innovative Properties Company Substrate with amorphous, covalently-bonded layer and method of making the same
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9319013B2 (en) 2014-08-19 2016-04-19 Mie Fujitsu Semiconductor Limited Operational amplifier input offset correction with transistor threshold voltage adjustment
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN105609406B (zh) * 2014-11-19 2018-09-28 株式会社日立国际电气 半导体器件的制造方法、衬底处理装置、气体供给系统
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9390925B1 (en) 2014-12-17 2016-07-12 GlobalFoundries, Inc. Silicon—germanium (SiGe) fin formation
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10403744B2 (en) * 2015-06-29 2019-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices comprising 2D-materials and methods of manufacture thereof
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10876206B2 (en) 2015-09-01 2020-12-29 Silcotek Corp. Thermal chemical vapor deposition coating
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170211180A1 (en) * 2016-01-22 2017-07-27 Silcotek Corp. Diffusion-rate-limited thermal chemical vapor deposition coating
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
WO2018067191A1 (en) 2016-10-03 2018-04-12 Applied Materials, Inc. Multi-channel flow ratio controller and processing chamber
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
WO2018125141A1 (en) * 2016-12-29 2018-07-05 Intel Corporation Methods for incorporating stabilized carbon into silicon nitride films
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10460932B2 (en) * 2017-03-31 2019-10-29 Asm Ip Holding B.V. Semiconductor device with amorphous silicon filled gaps and methods for forming
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
RU2661320C1 (ru) * 2017-04-26 2018-07-13 Закрытое акционерное общество Научно-инженерный центр "ИНКОМСИСТЕМ" Способ гидрофобизации субстрата
JP2018199863A (ja) * 2017-05-02 2018-12-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated タングステン柱を形成する方法
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
FR3073665B1 (fr) * 2017-11-15 2019-11-29 Centre National De La Recherche Scientifique Procede de fabrication de couche mince transferable
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
EP3830860A4 (en) 2018-07-30 2022-04-20 Applied Materials, Inc. PROCESS FOR SELECTIVE SILICON-GERMANIUM EPITAXY AT LOW TEMPERATURES
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
US11791159B2 (en) 2019-01-17 2023-10-17 Ramesh kumar Harjivan Kakkad Method of fabricating thin, crystalline silicon film and thin film transistors
US11562903B2 (en) * 2019-01-17 2023-01-24 Ramesh kumar Harjivan Kakkad Method of fabricating thin, crystalline silicon film and thin film transistors
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11589572B2 (en) 2019-05-23 2023-02-28 Scott A. Butz Moving decoy support system
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
WO2020252306A1 (en) 2019-06-14 2020-12-17 Silcotek Corp. Nano-wire growth
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11649560B2 (en) 2019-06-20 2023-05-16 Applied Materials, Inc. Method for forming silicon-phosphorous materials
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210035449A (ko) 2019-09-24 2021-04-01 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
CN111074217A (zh) * 2019-12-24 2020-04-28 江苏杰太光电技术有限公司 一种掺杂非晶硅的靶材及太阳能电池制备方法
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11551926B2 (en) 2021-01-22 2023-01-10 Micron Technology, Inc. Methods of forming a microelectronic device, and related systems and additional methods
WO2022226174A1 (en) * 2021-04-21 2022-10-27 Entegris, Inc. Silicon precursor compounds and method for forming silicon-containing films
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
TWI838011B (zh) * 2021-12-23 2024-04-01 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 V族元素的新型無機矽基和聚矽基衍生物及其合成方法和使用其沈積之方法
FR3131332A1 (fr) * 2021-12-23 2023-06-30 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Nouveaux dérivés de silyle et polysilyle inorganiques d’éléments du groupe v et procédés de synthèse de ceux-ci et procédés d’utilisation de ceux-ci pour un dépôt
EP4215649A1 (en) 2022-01-24 2023-07-26 Ivan Timokhin Preparation of shaped crystalline layers by use of the inner shape/surface of the ampule as a shape forming surface
WO2024004998A1 (ja) * 2022-06-29 2024-01-04 株式会社日本触媒 シリコン膜の製造方法及びシリコン膜

Family Cites Families (351)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2002A (en) * 1841-03-12 Tor and planter for plowing
US117956A (en) * 1871-08-08 Improvement in barrel-pitching machines
US495218A (en) * 1893-04-11 Elastic tire
US1217956A (en) * 1916-11-18 1917-03-06 Pittsburgh Plate Glass Co Pot for the manufacture of plate-glass, and the method of making the same.
US1268064A (en) * 1917-06-19 1918-05-28 Johnson & Johnson First-aid packet.
US2155225A (en) * 1936-04-11 1939-04-18 Westinghouse Air Brake Co Empty and load apparatus
US3185817A (en) * 1954-09-30 1965-05-25 North American Aviation Inc Gyroscope filtering and computing system
US3091239A (en) * 1958-08-25 1963-05-28 Moeller Wilhelm Apparatus for intravasal injection of gaseous and liquid media
US3187215A (en) * 1961-10-02 1965-06-01 Bendix Corp Spark gap device
US3292741A (en) * 1964-10-27 1966-12-20 Bendix Corp Parking mechanism for dual brake
DE2023992A1 (de) 1970-05-15 1971-12-02 Siemens Ag Verfahren zum Dotieren von Silicium- oder Germaniumkristallen mit Antimon und/ oder Wismut im Einzonenofen
US3900597A (en) * 1973-12-19 1975-08-19 Motorola Inc System and process for deposition of polycrystalline silicon with silane in vacuum
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
JPS6047202B2 (ja) 1976-01-13 1985-10-21 東北大学金属材料研究所長 超硬高純度の配向多結晶質窒化珪素
GB1573154A (en) * 1977-03-01 1980-08-13 Pilkington Brothers Ltd Coating glass
US4217374A (en) 1978-03-08 1980-08-12 Energy Conversion Devices, Inc. Amorphous semiconductors equivalent to crystalline semiconductors
US4200666A (en) 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
US4223048A (en) 1978-08-07 1980-09-16 Pacific Western Systems Plasma enhanced chemical vapor processing of semiconductive wafers
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4237150A (en) 1979-04-18 1980-12-02 The United States Of America As Represented By The United States Department Of Energy Method of producing hydrogenated amorphous silicon film
FR2464478A1 (fr) * 1979-09-04 1981-03-06 Suisse Horlogerie Detecteur d'avance d'un moteur pas a pas
US4411729A (en) * 1979-09-29 1983-10-25 Fujitsu Limited Method for a vapor phase growth of a compound semiconductor
US4363828A (en) 1979-12-12 1982-12-14 International Business Machines Corp. Method for depositing silicon films and related materials by a glow discharge in a disiland or higher order silane gas
US4379020A (en) 1980-06-16 1983-04-05 Massachusetts Institute Of Technology Polycrystalline semiconductor processing
US4444812A (en) 1980-07-28 1984-04-24 Monsanto Company Combination gas curtains for continuous chemical vapor deposition production of silicon bodies
JPS57209810A (en) 1981-06-17 1982-12-23 Asahi Chem Ind Co Ltd Preparation of silicon nitride
US4452875A (en) 1982-02-15 1984-06-05 Canon Kabushiki Kaisha Amorphous photoconductive member with α-Si interlayers
JPH0635323B2 (ja) 1982-06-25 1994-05-11 株式会社日立製作所 表面処理方法
US4737379A (en) 1982-09-24 1988-04-12 Energy Conversion Devices, Inc. Plasma deposited coatings, and low temperature plasma method of making same
JPS5958819A (ja) 1982-09-29 1984-04-04 Hitachi Ltd 薄膜形成方法
JPS5978919A (ja) 1982-10-26 1984-05-08 Mitsui Toatsu Chem Inc アモルフアスシリコン膜の形成方法
JPS5978918A (ja) 1982-10-26 1984-05-08 Mitsui Toatsu Chem Inc 広バンドギャップアモルファスシリコン膜の形成方法
JPS5989407A (ja) 1982-11-15 1984-05-23 Mitsui Toatsu Chem Inc アモルフアスシリコン膜の形成方法
JPS6043485B2 (ja) 1982-12-08 1985-09-28 豊田株式会社 高速道路の安全対策装置車
JPS6043485A (ja) 1983-08-19 1985-03-08 Mitsui Toatsu Chem Inc アモルフアスシリコン膜の形成方法
US4557794A (en) 1984-05-07 1985-12-10 Rca Corporation Method for forming a void-free monocrystalline epitaxial layer on a mask
US4578142A (en) 1984-05-10 1986-03-25 Rca Corporation Method for growing monocrystalline silicon through mask layer
US4634605A (en) 1984-05-23 1987-01-06 Wiesmann Harold J Method for the indirect deposition of amorphous silicon and polycrystalline silicone and alloys thereof
US4592933A (en) 1984-06-29 1986-06-03 International Business Machines Corporation High efficiency homogeneous chemical vapor deposition
US4707197A (en) 1984-08-02 1987-11-17 American Telephone And Telegraph Company, At&T Bell Laboratories Method of producing a silicide/Si heteroepitaxial structure, and articles produced by the method
US4631804A (en) 1984-12-10 1986-12-30 At&T Bell Laboratories Technique for reducing substrate warpage springback using a polysilicon subsurface strained layer
JPS61153277A (ja) 1984-12-27 1986-07-11 Agency Of Ind Science & Technol 微結晶シリコン薄膜の製造方法
JPS61191015A (ja) 1985-02-20 1986-08-25 Hitachi Ltd 半導体の気相成長方法及びその装置
US4615762A (en) 1985-04-30 1986-10-07 Rca Corporation Method for thinning silicon
US4695331A (en) 1985-05-06 1987-09-22 Chronar Corporation Hetero-augmentation of semiconductor materials
JPS61291410A (ja) * 1985-06-17 1986-12-22 Mitsubishi Chem Ind Ltd ケイ素の製造方法
US5769950A (en) * 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
JPS6276812A (ja) 1985-09-30 1987-04-08 Toshiba Corp ヒステリシス回路
JPH0650730B2 (ja) 1985-09-30 1994-06-29 三井東圧化学株式会社 半導体薄膜の製造方法
JPS6277612A (ja) 1985-10-01 1987-04-09 Nippon Atom Ind Group Co Ltd プラント異常診断方法
US4891092A (en) 1986-01-13 1990-01-02 General Electric Company Method for making a silicon-on-insulator substrate
EG18056A (en) 1986-02-18 1991-11-30 Solarex Corp Dispositif feedstock materials useful in the fabrication of hydrogenated amorphous silicon alloys for photo-voltaic devices and other semiconductor devices
JPS62253771A (ja) 1986-04-28 1987-11-05 Hitachi Ltd 薄膜形成方法
US4755481A (en) 1986-05-15 1988-07-05 General Electric Company Method of making a silicon-on-insulator transistor
US4747367A (en) * 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4761269A (en) * 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
JP2592238B2 (ja) * 1986-06-24 1997-03-19 セイコー電子工業株式会社 薄膜トランジスタの製造方法
JPS633414A (ja) 1986-06-24 1988-01-08 Agency Of Ind Science & Technol シリコン膜の製造方法
DE3772659D1 (de) 1986-06-28 1991-10-10 Ulvac Corp Verfahren und vorrichtung zum beschichten unter anwendung einer cvd-beschichtungstechnik.
US4684542A (en) 1986-08-11 1987-08-04 International Business Machines Corporation Low pressure chemical vapor deposition of tungsten silicide
US4720395A (en) 1986-08-25 1988-01-19 Anicon, Inc. Low temperature silicon nitride CVD process
US5082696A (en) 1986-10-03 1992-01-21 Dow Corning Corporation Method of forming semiconducting amorphous silicon films from the thermal decomposition of dihalosilanes
KR900007686B1 (ko) 1986-10-08 1990-10-18 후지쓰 가부시끼가이샤 선택적으로 산화된 실리콘 기판상에 에피택셜 실리콘층과 다결정 실리콘층을 동시에 성장시키는 기상 증착방법
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US4902645A (en) 1987-08-24 1990-02-20 Fujitsu Limited Method of selectively forming a silicon-containing metal layer
JPH01134932A (ja) 1987-11-19 1989-05-26 Oki Electric Ind Co Ltd 基板清浄化方法及び基板清浄化装置
JP2534525B2 (ja) * 1987-12-19 1996-09-18 富士通株式会社 β−炭化シリコン層の製造方法
DE3803895C1 (ja) * 1988-02-09 1989-04-13 Degussa Ag, 6000 Frankfurt, De
JP2835723B2 (ja) 1988-02-26 1998-12-14 富士通株式会社 キャパシタ及びキャパシタの製造方法
JPH01217958A (ja) 1988-02-26 1989-08-31 Toshiba Corp 寄生電流誤動作防止回路
EP0332101B1 (en) * 1988-03-11 1997-06-04 Fujitsu Limited Semiconductor device having a region doped to a level exceeding the solubility limit
EP0337445A3 (en) * 1988-04-13 1991-01-16 Hitachi, Ltd. Laminar structure comprising organic material and inorganic material, methods for producing it and its use
JPH01268064A (ja) 1988-04-20 1989-10-25 Hitachi Ltd 多結晶シリコン薄膜の形成方法
US4933206A (en) 1988-08-17 1990-06-12 Intel Corporation UV-vis characteristic writing in silicon nitride and oxynitride films
US5091761A (en) * 1988-08-22 1992-02-25 Hitachi, Ltd. Semiconductor device having an arrangement of IGFETs and capacitors stacked thereover
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
JPH02225399A (ja) 1988-11-11 1990-09-07 Fujitsu Ltd エピタキシャル成長方法および成長装置
JPH02155225A (ja) 1988-12-08 1990-06-14 Mitsui Toatsu Chem Inc 非晶質半導体薄膜の形成方法
JPH02235327A (ja) * 1989-03-08 1990-09-18 Fujitsu Ltd 半導体成長装置および半導体成長方法
US4963506A (en) 1989-04-24 1990-10-16 Motorola Inc. Selective deposition of amorphous and polycrystalline silicon
US5194398A (en) 1989-06-28 1993-03-16 Mitsui Toatsu Chemicals, Inc. Semiconductor film and process for its production
JPH03193880A (ja) 1989-08-03 1991-08-23 Mikakutou Seimitsu Kogaku Kenkyusho:Kk 高圧力下でのマイクロ波プラズマcvdによる高速成膜方法及びその装置
JP2947828B2 (ja) 1989-09-04 1999-09-13 株式会社日立製作所 半導体装置の製造方法
US5214002A (en) * 1989-10-25 1993-05-25 Agency Of Industrial Science And Technology Process for depositing a thermal CVD film of Si or Ge using a hydrogen post-treatment step and an optional hydrogen pre-treatment step
US5068124A (en) 1989-11-17 1991-11-26 International Business Machines Corporation Method for depositing high quality silicon dioxide by pecvd
US5198387A (en) 1989-12-01 1993-03-30 Texas Instruments Incorporated Method and apparatus for in-situ doping of deposited silicon
JPH03185817A (ja) 1989-12-15 1991-08-13 Seiko Epson Corp 半導体膜の形成方法
JPH03187215A (ja) 1989-12-15 1991-08-15 Sharp Corp シリコン薄膜の製造方法
US4992299A (en) 1990-02-01 1991-02-12 Air Products And Chemicals, Inc. Deposition of silicon nitride films from azidosilane sources
JP3469251B2 (ja) 1990-02-14 2003-11-25 株式会社東芝 半導体装置の製造方法
JP2917392B2 (ja) 1990-04-10 1999-07-12 セイコーエプソン株式会社 半導体装置の製造方法
US5316844A (en) * 1990-04-16 1994-05-31 Hoya Electronics Corporation Magnetic recording medium comprising an aluminum alloy substrate, now magnetic underlayers, magnetic layer, protective layer, particulate containing protective layer and lubricant layer
US5250452A (en) 1990-04-27 1993-10-05 North Carolina State University Deposition of germanium thin films on silicon dioxide employing interposed polysilicon layer
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
ATE174450T1 (de) * 1990-07-06 1998-12-15 Tsubochi Kazuo Verfahren zur herstellung einer metallschicht
JPH0485818A (ja) 1990-07-26 1992-03-18 Fujitsu Ltd 半導体装置の製造方法
KR100209856B1 (ko) * 1990-08-31 1999-07-15 가나이 쓰도무 반도체장치의 제조방법
JP3193402B2 (ja) 1990-08-31 2001-07-30 株式会社日立製作所 半導体装置の製造方法
US5080933A (en) 1990-09-04 1992-01-14 Motorola, Inc. Selective deposition of polycrystalline silicon
US5504704A (en) 1990-10-29 1996-04-02 Nec Corporation Semiconductor memory device
BR9106205A (pt) * 1990-10-31 1993-03-30 Baxter Int Dispositivo para implantacao em hospedeiro,processo de implantacao,dispositivo implantado,e recipiente de imunoisolamento
US6893906B2 (en) * 1990-11-26 2005-05-17 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and driving method for the same
US5372958A (en) 1990-11-16 1994-12-13 Seiko Epson Corporation Process for fabricating a thin film semiconductor device
JPH10223911A (ja) 1990-11-16 1998-08-21 Seiko Epson Corp 薄膜半導体装置
US5849601A (en) 1990-12-25 1998-12-15 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and method for manufacturing the same
US5110757A (en) 1990-12-19 1992-05-05 North American Philips Corp. Formation of composite monosilicon/polysilicon layer using reduced-temperature two-step silicon deposition
JPH0691249B2 (ja) * 1991-01-10 1994-11-14 インターナショナル・ビジネス・マシーンズ・コーポレイション 変調ドープ形misfet及びその製造方法
JP3091239B2 (ja) 1991-01-28 2000-09-25 三菱レイヨン株式会社 プラスチック光ファイバコード
US5112773A (en) 1991-04-10 1992-05-12 Micron Technology, Inc. Methods for texturizing polysilicon utilizing gas phase nucleation
JP2907403B2 (ja) * 1991-03-22 1999-06-21 キヤノン株式会社 堆積膜形成装置
JP2794499B2 (ja) * 1991-03-26 1998-09-03 株式会社半導体エネルギー研究所 半導体装置の作製方法
JPH04299515A (ja) 1991-03-27 1992-10-22 Shin Etsu Chem Co Ltd X線リソグラフィ−マスク用x線透過膜およびその製造方法
JP3200863B2 (ja) * 1991-04-23 2001-08-20 セイコーエプソン株式会社 半導体装置の製造方法
JPH04332115A (ja) 1991-05-02 1992-11-19 Shin Etsu Chem Co Ltd X線リソグラフィ−マスク用x線透過膜
WO1992020833A1 (en) 1991-05-17 1992-11-26 Lam Research Corporation A PROCESS FOR DEPOSITING A SIOx FILM HAVING REDUCED INTRINSIC STRESS AND/OR REDUCED HYDROGEN CONTENT
JP2508948B2 (ja) 1991-06-21 1996-06-19 日本電気株式会社 半導体装置の製造方法
JPH07187892A (ja) * 1991-06-28 1995-07-25 Internatl Business Mach Corp <Ibm> シリコン及びその形成方法
JPH0521385A (ja) * 1991-07-10 1993-01-29 Nippon Steel Corp アルミニウム合金薄膜の製造方法
JP3507072B2 (ja) * 1991-07-16 2004-03-15 セイコーエプソン株式会社 化学気相推積装置及び半導体膜形成方法と薄膜半導体装置の製造方法
US5225032A (en) * 1991-08-09 1993-07-06 Allied-Signal Inc. Method of producing stoichiometric, epitaxial, monocrystalline films of silicon carbide at temperatures below 900 degrees centigrade
JP3121131B2 (ja) 1991-08-09 2000-12-25 アプライド マテリアルズ インコーポレイテッド 低温高圧のシリコン蒸着方法
US5614257A (en) 1991-08-09 1997-03-25 Applied Materials, Inc Low temperature, high pressure silicon deposition method
US5695819A (en) 1991-08-09 1997-12-09 Applied Materials, Inc. Method of enhancing step coverage of polysilicon deposits
JP3181357B2 (ja) * 1991-08-19 2001-07-03 株式会社東芝 半導体薄膜の形成方法および半導体装置の製造方法
JP2845303B2 (ja) * 1991-08-23 1999-01-13 株式会社 半導体エネルギー研究所 半導体装置とその作製方法
JPH0562811A (ja) 1991-09-03 1993-03-12 Matsushita Electric Ind Co Ltd 機能トリミング方法
JPH0562911A (ja) * 1991-09-04 1993-03-12 Fujitsu Ltd 半導体超格子の製造方法
JP3118037B2 (ja) * 1991-10-28 2000-12-18 キヤノン株式会社 堆積膜形成方法および堆積膜形成装置
US5231056A (en) 1992-01-15 1993-07-27 Micron Technology, Inc. Tungsten silicide (WSix) deposition process for semiconductor manufacture
US5352636A (en) 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
US5485019A (en) 1992-02-05 1996-01-16 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for forming the same
US5324684A (en) 1992-02-25 1994-06-28 Ag Processing Technologies, Inc. Gas phase doping of semiconductor material in a cold-wall radiantly heated reactor under reduced pressure
JPH05315269A (ja) * 1992-03-11 1993-11-26 Central Glass Co Ltd 薄膜の製膜方法
JP2951146B2 (ja) * 1992-04-15 1999-09-20 キヤノン株式会社 光起電力デバイス
JP3156878B2 (ja) 1992-04-30 2001-04-16 株式会社東芝 半導体装置およびその製造方法
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5242847A (en) 1992-07-27 1993-09-07 North Carolina State University At Raleigh Selective deposition of doped silion-germanium alloy on semiconductor substrate
US5461250A (en) 1992-08-10 1995-10-24 International Business Machines Corporation SiGe thin film or SOI MOSFET and method for making the same
JP2740087B2 (ja) 1992-08-15 1998-04-15 株式会社東芝 半導体集積回路装置の製造方法
JP3200197B2 (ja) 1992-09-24 2001-08-20 コマツ電子金属株式会社 気相成長装置及びその排気管
US6004683A (en) * 1992-11-04 1999-12-21 C. A. Patents, L.L.C. Plural layered metal repair tape
EP0673538A1 (en) * 1992-12-10 1995-09-27 Westinghouse Electric Corporation Increased brightness drive system for an electroluminescent display panel
US5563093A (en) 1993-01-28 1996-10-08 Kawasaki Steel Corporation Method of manufacturing fet semiconductor devices with polysilicon gate having large grain sizes
JPH06302526A (ja) 1993-04-16 1994-10-28 Kokusai Electric Co Ltd アモルファスシリコン膜の形成方法
JPH06310493A (ja) 1993-04-23 1994-11-04 Kawasaki Steel Corp 半導体装置の製造装置
JP2508581B2 (ja) 1993-05-28 1996-06-19 日本電気株式会社 化学気相成長法
DE4419074C2 (de) 1993-06-03 1998-07-02 Micron Semiconductor Inc Verfahren zum gleichmäßigen Dotieren von polykristallinem Silizium mit halbkugelförmiger Körnung
US5648293A (en) 1993-07-22 1997-07-15 Nec Corporation Method of growing an amorphous silicon film
US5385869A (en) * 1993-07-22 1995-01-31 Motorola, Inc. Semiconductor chip bonded to a substrate and method of making
US5471330A (en) 1993-07-29 1995-11-28 Honeywell Inc. Polysilicon pixel electrode
JP2641385B2 (ja) 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド 膜形成方法
US5360986A (en) 1993-10-05 1994-11-01 Motorola, Inc. Carbon doped silicon semiconductor device having a narrowed bandgap characteristic and method
US6083810A (en) 1993-11-15 2000-07-04 Lucent Technologies Integrated circuit fabrication process
US5413813A (en) 1993-11-23 1995-05-09 Enichem S.P.A. CVD of silicon-based ceramic materials on internal surface of a reactor
US5656531A (en) 1993-12-10 1997-08-12 Micron Technology, Inc. Method to form hemi-spherical grain (HSG) silicon from amorphous silicon
JPH07249618A (ja) * 1994-03-14 1995-09-26 Fujitsu Ltd 半導体装置の製造方法
US6162667A (en) 1994-03-28 2000-12-19 Sharp Kabushiki Kaisha Method for fabricating thin film transistors
JP3494467B2 (ja) * 1994-04-28 2004-02-09 沖電気工業株式会社 半導体薄膜の形成方法
JP2630257B2 (ja) 1994-06-03 1997-07-16 日本電気株式会社 半導体装置の製造方法
CN1052116C (zh) 1994-06-15 2000-05-03 精工爱普生株式会社 薄膜半导体器件的制造方法
US20020009827A1 (en) * 1997-08-26 2002-01-24 Masud Beroz Microelectronic unit forming methods and materials
US6121081A (en) 1994-11-15 2000-09-19 Micron Technology, Inc. Method to form hemi-spherical grain (HSG) silicon
US5656819A (en) * 1994-11-16 1997-08-12 Sandia Corporation Pulsed ion beam source
FI97731C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
JPH08213343A (ja) 1995-01-31 1996-08-20 Sony Corp 半導体装置およびその製造方法
US5677236A (en) 1995-02-24 1997-10-14 Mitsui Toatsu Chemicals, Inc. Process for forming a thin microcrystalline silicon semiconductor film
KR0180779B1 (ko) 1995-02-27 1999-03-20 김주용 반도체소자의 캐패시터 제조방법
US5698771A (en) 1995-03-30 1997-12-16 The United States Of America As Represented By The United States National Aeronautics And Space Administration Varying potential silicon carbide gas sensor
JPH08306688A (ja) 1995-04-28 1996-11-22 Sanyo Electric Co Ltd 半導体装置の製造方法
JP3169337B2 (ja) 1995-05-30 2001-05-21 キヤノン株式会社 光起電力素子及びその製造方法
US5654589A (en) 1995-06-06 1997-08-05 Advanced Micro Devices, Incorporated Landing pad technology doubled up as local interconnect and borderless contact for deep sub-half micrometer IC application
EP0786819B1 (en) 1995-08-04 2003-05-07 Seiko Epson Corporation Process for preparing thin-film transistor, process for preparing active matrix substrate, and liquid crystal display
US6161498A (en) * 1995-09-14 2000-12-19 Tokyo Electron Limited Plasma processing device and a method of plasma process
JP3305929B2 (ja) 1995-09-14 2002-07-24 株式会社東芝 半導体装置及びその製造方法
JP3432059B2 (ja) 1995-09-25 2003-07-28 キヤノン株式会社 光起電力素子の形成方法
US5893949A (en) 1995-12-26 1999-04-13 Xerox Corporation Solid phase epitaxial crystallization of amorphous silicon films on insulating substrates
JPH09191117A (ja) * 1996-01-09 1997-07-22 Mitsui Toatsu Chem Inc 半導体薄膜
US5869389A (en) 1996-01-18 1999-02-09 Micron Technology, Inc. Semiconductor processing method of providing a doped polysilicon layer
JP3109570B2 (ja) 1996-01-27 2000-11-20 株式会社半導体エネルギー研究所 半導体装置作製方法
US5786027A (en) 1996-02-14 1998-07-28 Micron Technology, Inc. Method for depositing polysilicon with discontinuous grain boundaries
JP3841910B2 (ja) 1996-02-15 2006-11-08 株式会社半導体エネルギー研究所 半導体装置の作製方法
US5789030A (en) 1996-03-18 1998-08-04 Micron Technology, Inc. Method for depositing doped amorphous or polycrystalline silicon on a substrate
JP4093604B2 (ja) * 1996-03-25 2008-06-04 純一 半那 導電性パターンの形成方法
JPH09270421A (ja) 1996-04-01 1997-10-14 Mitsubishi Electric Corp 表面処理装置および表面処理方法
US5863598A (en) 1996-04-12 1999-01-26 Applied Materials, Inc. Method of forming doped silicon in high aspect ratio openings
JP2795313B2 (ja) 1996-05-08 1998-09-10 日本電気株式会社 容量素子及びその製造方法
US5951923A (en) * 1996-05-23 1999-09-14 Ebara Corporation Vaporizer apparatus and film deposition apparatus therewith
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US5930106A (en) 1996-07-11 1999-07-27 Micron Technology, Inc. DRAM capacitors made from silicon-germanium and electrode-limited conduction dielectric films
US5913921A (en) * 1996-07-12 1999-06-22 Glenayre Electronics, Inc. System for communicating information about nodes configuration by generating advertisements having era values for identifying time reference for which the configuration is operative
JPH1041321A (ja) 1996-07-26 1998-02-13 Sony Corp バイポーラトランジスタの製造方法
US5731238A (en) 1996-08-05 1998-03-24 Motorola Inc. Integrated circuit having a jet vapor deposition silicon nitride film and method of making the same
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JP2954039B2 (ja) 1996-09-05 1999-09-27 日本電気株式会社 SiGe薄膜の成膜方法
US5763021A (en) 1996-12-13 1998-06-09 Cypress Semiconductor Corporation Method of forming a dielectric film
KR100236069B1 (ko) * 1996-12-26 1999-12-15 김영환 캐패시터 및 그 제조방법
TW471031B (en) * 1997-01-08 2002-01-01 Ebara Corp Vapor feed supply system
JPH10203895A (ja) * 1997-01-20 1998-08-04 Sony Corp シリコンゲルマニウム混晶の成膜方法
JP3050152B2 (ja) * 1997-01-23 2000-06-12 日本電気株式会社 半導体装置の製造方法
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
JP3084395B2 (ja) * 1997-05-15 2000-09-04 工業技術院長 半導体薄膜の堆積方法
US6351039B1 (en) * 1997-05-28 2002-02-26 Texas Instruments Incorporated Integrated circuit dielectric and method
US6069068A (en) * 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
JP3408401B2 (ja) 1997-05-30 2003-05-19 シャープ株式会社 半導体記憶素子およびその製造方法
FR2765394B1 (fr) * 1997-06-25 1999-09-24 France Telecom Procede d'obtention d'un transistor a grille en silicium-germanium
JPH1174485A (ja) 1997-06-30 1999-03-16 Toshiba Corp 半導体装置およびその製造方法
US5904565A (en) * 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
JPH1197692A (ja) * 1997-09-18 1999-04-09 Toshiba Corp 多結晶および液晶表示装置
JPH1197667A (ja) 1997-09-24 1999-04-09 Sharp Corp 超微粒子あるいは超細線の形成方法およびこの形成方法による超微粒子あるいは超細線を用いた半導体素子
JP3727449B2 (ja) * 1997-09-30 2005-12-14 シャープ株式会社 半導体ナノ結晶の製造方法
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US6228181B1 (en) 1997-10-02 2001-05-08 Shigeo Yamamoto Making epitaxial semiconductor device
US6027760A (en) * 1997-12-08 2000-02-22 Gurer; Emir Photoresist coating process control with solvent vapor sensor
KR100268936B1 (ko) 1997-12-16 2000-10-16 김영환 반도체 소자의 양자점 형성 방법
EP0928015A3 (en) 1997-12-31 2003-07-02 Texas Instruments Incorporated Method of preventing boron penetration
TW439151B (en) 1997-12-31 2001-06-07 Samsung Electronics Co Ltd Method for forming conductive layer using atomic layer deposition process
US6027705A (en) 1998-01-08 2000-02-22 Showa Denko K.K. Method for producing a higher silane
US6042654A (en) * 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
US5933761A (en) * 1998-02-09 1999-08-03 Lee; Ellis Dual damascene structure and its manufacturing method
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
JP4208281B2 (ja) * 1998-02-26 2009-01-14 キヤノン株式会社 積層型光起電力素子
JP3854731B2 (ja) * 1998-03-30 2006-12-06 シャープ株式会社 微細構造の製造方法
US6181012B1 (en) * 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
JPH11330463A (ja) * 1998-05-15 1999-11-30 Sony Corp 半導体装置および半導体装置の製造方法
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6048790A (en) * 1998-07-10 2000-04-11 Advanced Micro Devices, Inc. Metalorganic decomposition deposition of thin conductive films on integrated circuits using reducing ambient
JP4364438B2 (ja) 1998-07-10 2009-11-18 アプライド マテリアルズ インコーポレイテッド 高膜品質で水素含有量の低い窒化ケイ素を堆積するプラズマプロセス
JP2000038679A (ja) * 1998-07-21 2000-02-08 Canon Inc 堆積膜形成方法および堆積膜形成装置
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6188134B1 (en) * 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6144050A (en) * 1998-08-20 2000-11-07 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with strontium barrier film and process for making same
US6077775A (en) * 1998-08-20 2000-06-20 The United States Of America As Represented By The Secretary Of The Navy Process for making a semiconductor device with barrier film formation using a metal halide and products thereof
US6291876B1 (en) 1998-08-20 2001-09-18 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with composite atomic barrier film and process for making same
JP3259690B2 (ja) 1998-08-26 2002-02-25 日本電気株式会社 電界効果型トランジスタ及びその製造方法
US6027975A (en) 1998-08-28 2000-02-22 Lucent Technologies Inc. Process for fabricating vertical transistors
JP2000077658A (ja) 1998-08-28 2000-03-14 Toshiba Corp 半導体装置の製造方法
US6319782B1 (en) 1998-09-10 2001-11-20 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method of fabricating the same
KR100287180B1 (ko) * 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
TW382787B (en) * 1998-10-02 2000-02-21 United Microelectronics Corp Method of fabricating dual damascene
US6268068B1 (en) 1998-10-06 2001-07-31 Case Western Reserve University Low stress polysilicon film and method for producing same
KR100327328B1 (ko) * 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
JP2000150647A (ja) * 1998-11-11 2000-05-30 Sony Corp 配線構造およびその製造方法
US6107147A (en) 1998-12-18 2000-08-22 Texas Instruments Incorporated Stacked poly/amorphous silicon gate giving low sheet resistance silicide film at submicron linewidths
EP1173893A4 (en) * 1999-01-15 2007-08-01 Univ California POLYCRYSTALLINE SILICON GERMANIUM FILMS FOR THE MANUFACTURE OF MICROELECTROCHEMICAL SYSTEMS
KR100363083B1 (ko) * 1999-01-20 2002-11-30 삼성전자 주식회사 반구형 그레인 커패시터 및 그 형성방법
US6235568B1 (en) 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
JP3754568B2 (ja) * 1999-01-29 2006-03-15 シャープ株式会社 量子細線の製造方法
JP3869572B2 (ja) 1999-02-10 2007-01-17 シャープ株式会社 量子細線の製造方法
JP4731655B2 (ja) 1999-02-12 2011-07-27 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP2000243831A (ja) * 1999-02-18 2000-09-08 Sony Corp 半導体装置とその製造方法
JPH11317530A (ja) * 1999-02-22 1999-11-16 Semiconductor Energy Lab Co Ltd 半導体装置
US6153541A (en) 1999-02-23 2000-11-28 Vanguard International Semiconductor Corporation Method for fabricating an oxynitride layer having anti-reflective properties and low leakage current
US6281559B1 (en) 1999-03-03 2001-08-28 Advanced Micro Devices, Inc. Gate stack structure for variable threshold voltage
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
EP1036807B1 (en) 1999-03-18 2007-12-12 Kaneka Corporation Curable composition
US6365465B1 (en) 1999-03-19 2002-04-02 International Business Machines Corporation Self-aligned double-gate MOSFET by selective epitaxy and silicon wafer bonding techniques
JP3443379B2 (ja) 1999-03-23 2003-09-02 松下電器産業株式会社 半導体膜の成長方法及び半導体装置の製造方法
US6207567B1 (en) 1999-04-12 2001-03-27 United Microelectronics Corp. Fabricating method of glue layer and barrier layer
US6197669B1 (en) 1999-04-15 2001-03-06 Taiwan Semicondcutor Manufacturing Company Reduction of surface defects on amorphous silicon grown by a low-temperature, high pressure LPCVD process
US6037258A (en) * 1999-05-07 2000-03-14 Taiwan Semiconductor Manufacturing Company Method of forming a smooth copper seed layer for a copper damascene structure
US6346732B1 (en) 1999-05-14 2002-02-12 Kabushiki Kaisha Toshiba Semiconductor device with oxide mediated epitaxial layer
JP2000323420A (ja) 1999-05-14 2000-11-24 Sony Corp 半導体装置の製造方法
US6146517A (en) 1999-05-19 2000-11-14 Infineon Technologies North America Corp. Integrated circuits with copper metallization for interconnections
JP2000340684A (ja) 1999-05-31 2000-12-08 Sony Corp 半導体装置の製造方法
KR20010001543A (ko) 1999-06-05 2001-01-05 김기범 구리 배선 구조를 가지는 반도체 소자 제조 방법
JP2001007301A (ja) 1999-06-17 2001-01-12 Sony Corp 半導体装置およびその製造方法
JP4726369B2 (ja) 1999-06-19 2011-07-20 エー・エス・エムジニテックコリア株式会社 化学蒸着反応炉及びこれを利用した薄膜形成方法
EP1965431A2 (en) 1999-06-22 2008-09-03 Matsushita Electric Industrial Co., Ltd. Heterojunction bipolar transistor and method for fabricating the same
KR100306812B1 (ko) * 1999-06-29 2001-11-01 박종섭 반도체 소자의 게이트 형성방법
JP2001015736A (ja) 1999-06-29 2001-01-19 Sony Corp 半導体装置の製造方法
JP3324573B2 (ja) * 1999-07-19 2002-09-17 日本電気株式会社 半導体装置の製造方法および製造装置
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
JP4192353B2 (ja) * 1999-09-21 2008-12-10 株式会社デンソー 炭化珪素半導体装置及びその製造方法
US6727169B1 (en) * 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR20010047128A (ko) 1999-11-18 2001-06-15 이경수 액체원료 기화방법 및 그에 사용되는 장치
US6373112B1 (en) * 1999-12-02 2002-04-16 Intel Corporation Polysilicon-germanium MOSFET gate electrodes
US6252284B1 (en) 1999-12-09 2001-06-26 International Business Machines Corporation Planarized silicon fin device
JP3925780B2 (ja) 1999-12-15 2007-06-06 エー・エス・エムジニテックコリア株式会社 触媒及び化学気相蒸着法を用いて銅配線及び薄膜を形成する方法
US6184128B1 (en) * 2000-01-31 2001-02-06 Advanced Micro Devices, Inc. Method using a thin resist mask for dual damascene stop layer etch
TW408653U (en) * 2000-02-03 2000-10-11 Hu Hou Fei Ratcheting tool
TW516100B (en) * 2000-03-23 2003-01-01 Matsushita Electric Ind Co Ltd Method for producing semiconductor crystal
US6348373B1 (en) * 2000-03-29 2002-02-19 Sharp Laboratories Of America, Inc. Method for improving electrical properties of high dielectric constant films
JP2001284340A (ja) * 2000-03-30 2001-10-12 Hitachi Kokusai Electric Inc 半導体製造装置および半導体装置の製造方法
WO2001078123A1 (en) 2000-04-11 2001-10-18 Genitech Co., Ltd. Method of forming metal interconnects
KR100363088B1 (ko) * 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6969875B2 (en) 2000-05-26 2005-11-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
US6342448B1 (en) * 2000-05-31 2002-01-29 Taiwan Semiconductor Manufacturing Company Method of fabricating barrier adhesion to low-k dielectric layers in a copper damascene process
EP2293322A1 (en) 2000-06-08 2011-03-09 Genitech, Inc. Method for forming a metal nitride layer
US6444512B1 (en) 2000-06-12 2002-09-03 Motorola, Inc. Dual metal gate transistors for CMOS process
US6252295B1 (en) * 2000-06-19 2001-06-26 International Business Machines Corporation Adhesion of silicon carbide films
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US6368954B1 (en) * 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
US6274463B1 (en) 2000-07-31 2001-08-14 Hewlett-Packard Company Fabrication of a photoconductive or a cathoconductive device using lateral solid overgrowth method
US20020011612A1 (en) 2000-07-31 2002-01-31 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US6403981B1 (en) * 2000-08-07 2002-06-11 Advanced Micro Devices, Inc. Double gate transistor having a silicon/germanium channel region
US6583015B2 (en) * 2000-08-07 2003-06-24 Amberwave Systems Corporation Gate technology for strained surface channel and strained buried channel MOSFET devices
JP4710187B2 (ja) 2000-08-30 2011-06-29 ソニー株式会社 多結晶シリコン層の成長方法および単結晶シリコン層のエピタキシャル成長方法
US6365479B1 (en) 2000-09-22 2002-04-02 Conexant Systems, Inc. Method for independent control of polycrystalline silicon-germanium in a silicon-germanium HBT and related structure
JP4044276B2 (ja) 2000-09-28 2008-02-06 株式会社東芝 半導体装置及びその製造方法
US6372559B1 (en) 2000-11-09 2002-04-16 International Business Machines Corporation Method for self-aligned vertical double-gate MOSFET
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US6444495B1 (en) 2001-01-11 2002-09-03 Honeywell International, Inc. Dielectric films for narrow gap-fill applications
US6583048B2 (en) * 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
EP1421607A2 (en) 2001-02-12 2004-05-26 ASM America, Inc. Improved process for deposition of semiconductor films
US7026219B2 (en) 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
US6482705B1 (en) * 2001-04-03 2002-11-19 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having a MOSFET with an amorphous SiGe gate electrode and an elevated crystalline SiGe source/drain structure and a device thereby formed
US6770134B2 (en) * 2001-05-24 2004-08-03 Applied Materials, Inc. Method for fabricating waveguides
US6905542B2 (en) * 2001-05-24 2005-06-14 Arkadii V. Samoilov Waveguides such as SiGeC waveguides and method of fabricating the same
KR20040008193A (ko) 2001-05-30 2004-01-28 에이에스엠 아메리카, 인코포레이티드 저온 로딩 및 소성
US6858196B2 (en) * 2001-07-19 2005-02-22 Asm America, Inc. Method and apparatus for chemical synthesis
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
JP2003068654A (ja) * 2001-08-27 2003-03-07 Hoya Corp 化合物単結晶の製造方法
DE10211312A1 (de) 2002-03-14 2003-10-02 Wacker Siltronic Halbleitermat Verfahren und Vorrichtung zur epitaktischen Beschichtung einer Halbleiterscheibe sowie epitaktisch beschichtete Halbleiterscheibe
US7307273B2 (en) * 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
US7335545B2 (en) * 2002-06-07 2008-02-26 Amberwave Systems Corporation Control of strain in device layers by prevention of relaxation
US7077388B2 (en) * 2002-07-19 2006-07-18 Asm America, Inc. Bubbler for substrate processing
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US7238595B2 (en) * 2003-03-13 2007-07-03 Asm America, Inc. Epitaxial semiconductor deposition methods and structures
US7005160B2 (en) 2003-04-24 2006-02-28 Asm America, Inc. Methods for depositing polycrystalline films with engineered grain structures
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US7601223B2 (en) 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7208362B2 (en) * 2003-06-25 2007-04-24 Texas Instruments Incorporated Transistor device containing carbon doped silicon in a recess next to MDD to create strain in channel
TWI270986B (en) * 2003-07-29 2007-01-11 Ind Tech Res Inst Strained SiC MOSFET
US7208427B2 (en) * 2003-08-18 2007-04-24 Advanced Technology Materials, Inc. Precursor compositions and processes for MOCVD of barrier materials in semiconductor manufacturing
US7156380B2 (en) * 2003-09-29 2007-01-02 Asm International, N.V. Safe liquid source containers
US7132338B2 (en) * 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
KR20070006852A (ko) 2004-04-23 2007-01-11 에이에스엠 아메리카, 인코포레이티드 인-시츄 도핑된 에피택셜 막
US7253084B2 (en) * 2004-09-03 2007-08-07 Asm America, Inc. Deposition from liquid sources
US7332439B2 (en) * 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7195985B2 (en) * 2005-01-04 2007-03-27 Intel Corporation CMOS transistor junction regions formed by a CVD etching and deposition sequence
US7235492B2 (en) * 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7438760B2 (en) 2005-02-04 2008-10-21 Asm America, Inc. Methods of making substitutionally carbon-doped crystalline Si-containing materials by chemical vapor deposition
US7396415B2 (en) 2005-06-02 2008-07-08 Asm America, Inc. Apparatus and methods for isolating chemical vapor reactions at a substrate surface
US8105908B2 (en) 2005-06-23 2012-01-31 Applied Materials, Inc. Methods for forming a transistor and modulating channel stress
JP2007188976A (ja) * 2006-01-11 2007-07-26 Shinko Electric Ind Co Ltd 発光装置の製造方法
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
JP2007319735A (ja) 2006-05-30 2007-12-13 Fuji Xerox Co Ltd マイクロリアクター装置及び微小流路の洗浄方法
US20080026149A1 (en) * 2006-05-31 2008-01-31 Asm America, Inc. Methods and systems for selectively depositing si-containing films using chloropolysilanes
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007526399A (ja) * 2004-03-05 2007-09-13 レール・リキード−ソシエテ・アノニム・ア・ディレクトワール・エ・コンセイユ・ドゥ・スールベイランス・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 絶縁膜または金属膜を形成する方法
JP2006216955A (ja) * 2005-02-04 2006-08-17 Asm America Inc 電気的に活性なドープト結晶性Si含有膜の堆積方法
JP2007138295A (ja) * 2005-11-18 2007-06-07 Tokyo Electron Ltd シングルチャンバ内で異なる堆積プロセスを実行する方法およびシステム
JP2008218661A (ja) * 2007-03-02 2008-09-18 Fujitsu Ltd 電界効果型半導体装置及びその製造方法
JP2009031612A (ja) * 2007-07-27 2009-02-12 Ulvac Japan Ltd 樹脂基板
JP2009111382A (ja) * 2007-10-22 2009-05-21 Applied Materials Inc 遠隔プラズマcvdによりジシラン前駆体から高品質シリコン酸化膜を形成する方法
JP2013082986A (ja) * 2011-09-30 2013-05-09 Tokyo Electron Ltd 薄膜の形成方法及び成膜装置
JP2017212259A (ja) * 2016-05-23 2017-11-30 株式会社デンソー 半導体装置の製造方法

Also Published As

Publication number Publication date
JP2008098668A (ja) 2008-04-24
JP4417625B2 (ja) 2010-02-17
JP2011228724A (ja) 2011-11-10
EP1374290B1 (en) 2008-07-02
WO2002080244A3 (en) 2004-03-18
US20100012030A1 (en) 2010-01-21
EP1421607A2 (en) 2004-05-26
US8067297B2 (en) 2011-11-29
US7585752B2 (en) 2009-09-08
KR20030076677A (ko) 2003-09-26
KR20030076676A (ko) 2003-09-26
WO2002065516A3 (en) 2003-11-13
WO2002080244A9 (en) 2004-04-22
US7285500B2 (en) 2007-10-23
AU2002306436A1 (en) 2002-10-15
KR101050377B1 (ko) 2011-07-20
US20020168868A1 (en) 2002-11-14
EP1374291A2 (en) 2004-01-02
DE60227350D1 (de) 2008-08-14
WO2002065516A2 (en) 2002-08-22
US7547615B2 (en) 2009-06-16
DE60223662D1 (de) 2008-01-03
US20030068851A1 (en) 2003-04-10
US8360001B2 (en) 2013-01-29
US20050208740A1 (en) 2005-09-22
US6821825B2 (en) 2004-11-23
KR20080104391A (ko) 2008-12-02
WO2002065508A2 (en) 2002-08-22
DE60223662T2 (de) 2008-10-30
US6743738B2 (en) 2004-06-01
JP2004532511A (ja) 2004-10-21
US6958253B2 (en) 2005-10-25
US20020197831A1 (en) 2002-12-26
US20050064684A1 (en) 2005-03-24
WO2002064853A3 (en) 2003-11-20
US20020173113A1 (en) 2002-11-21
JP2008252104A (ja) 2008-10-16
KR100934169B1 (ko) 2009-12-29
US20080014725A1 (en) 2008-01-17
EP1374291B1 (en) 2007-11-21
US20030068869A1 (en) 2003-04-10
US20030022528A1 (en) 2003-01-30
US20070102790A1 (en) 2007-05-10
KR101027485B1 (ko) 2011-04-06
US20080073645A1 (en) 2008-03-27
KR20090052907A (ko) 2009-05-26
US7186582B2 (en) 2007-03-06
WO2002065517A2 (en) 2002-08-22
US20050250302A1 (en) 2005-11-10
KR20030076675A (ko) 2003-09-26
JP5134358B2 (ja) 2013-01-30
WO2002065508A3 (en) 2003-09-25
US7273799B2 (en) 2007-09-25
WO2002080244A2 (en) 2002-10-10
US7893433B2 (en) 2011-02-22
JP2004525509A (ja) 2004-08-19
EP1374290A2 (en) 2004-01-02
US6962859B2 (en) 2005-11-08
WO2002065516A8 (en) 2004-07-08
WO2002065517A3 (en) 2003-10-30
US6716751B2 (en) 2004-04-06
JP2004523903A (ja) 2004-08-05
JP4224847B2 (ja) 2009-02-18
KR100870507B1 (ko) 2008-11-25
US6716713B2 (en) 2004-04-06
US20030082300A1 (en) 2003-05-01
ATE400060T1 (de) 2008-07-15
WO2002064853A2 (en) 2002-08-22
US6900115B2 (en) 2005-05-31
AU2002240403A1 (en) 2002-08-28
JP2005503000A (ja) 2005-01-27
US20050048745A1 (en) 2005-03-03
JP4866534B2 (ja) 2012-02-01

Similar Documents

Publication Publication Date Title
JP4866534B2 (ja) 半導体膜の改良された堆積方法
JP4728953B2 (ja) 多結晶Si含有膜の堆積方法
JP2005536054A (ja) アモルファスケイ素含有膜の堆積
TWI277139B (en) Improved process for deposition of semiconductor filme
EP1887617A2 (en) Deposition method over mixed substrates using trisilane

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20041224

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070905

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20071128

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20071205

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080131

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080319

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080716

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20080902

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20081121

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20090807

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090807

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20091209

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20091209

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100303

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100309

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100915

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100927

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20101015

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20101021

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101115

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110307

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110310

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110406

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110411

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110509

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110516

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110607

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110901

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111114

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141118

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4866534

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S802 Written request for registration of partial abandonment of right

Free format text: JAPANESE INTERMEDIATE CODE: R311802

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term