JP2006216955A - 電気的に活性なドープト結晶性Si含有膜の堆積方法 - Google Patents

電気的に活性なドープト結晶性Si含有膜の堆積方法 Download PDF

Info

Publication number
JP2006216955A
JP2006216955A JP2006025710A JP2006025710A JP2006216955A JP 2006216955 A JP2006216955 A JP 2006216955A JP 2006025710 A JP2006025710 A JP 2006025710A JP 2006025710 A JP2006025710 A JP 2006025710A JP 2006216955 A JP2006216955 A JP 2006216955A
Authority
JP
Japan
Prior art keywords
dopant
doped
containing film
crystalline
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006025710A
Other languages
English (en)
Other versions
JP5173140B2 (ja
JP2006216955A5 (ja
Inventor
Matthias Bauer
バウアー マティアス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM America Inc
Original Assignee
ASM America Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM America Inc filed Critical ASM America Inc
Publication of JP2006216955A publication Critical patent/JP2006216955A/ja
Publication of JP2006216955A5 publication Critical patent/JP2006216955A5/ja
Application granted granted Critical
Publication of JP5173140B2 publication Critical patent/JP5173140B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02598Microstructure monocrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32056Deposition of conductive or semi-conductive organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3215Doping the layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Ceramic Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

【課題】
【解決手段】比較的高いレベルの第III族/第V族ドーパントを含有するSi含有膜の製造方法は、トリシランおよびドーパント前駆体を使用しての化学蒸着を包含する。少なくとも約3×1020原子cm−3の電気的に活性なドーパントを含有する結晶性ケイ素膜を含む、非常に高いレベルの置換型組み込みが、得られ得る。置換的にドープされたSi含有膜は、堆積の間にエッチャントガスを導入することによって、混合基板の結晶性表面上へ選択的に堆積され得る。
【選択図】なし

Description

関連出願に対する相互参照
本願は、2005年2月4日に出願した米国仮出願第60/649,990号;2005年3月18に出願した米国仮出願第60/663,434号;および2005年4月4日に出願した米国仮出願第60/668,420号の優先権を主張する。
発明の背景
発明の分野
本願は、一般的に、半導体プロセッシングにおけるケイ素含有材料の堆積に関する。より詳細には、トリシランを使用しそして電気的に活性なドーパントを組み込む、ドープト結晶性ケイ素含有膜の堆積に関する。
関連技術の説明
ケイ素(Si)、ゲルマニウム(Ge)およびその合金(SiGe)のような結晶性半導体の電気的性質は、これらの材料がホウ素(B)、インジウム(In)、リン(P)、砒素(As)およびアンチモン(Sb)のような第III族および第V族ドーパントでドープされる程度によって影響を受ける。一般的に、より高いレベルのドーピングは、より低い抵抗率に一般的に相関する。しかし、実際には、ドーピングは、格子構造におけるケイ素原子を置換することによってよりもむしろ、非置換的に(例えば、ケイ素内のドメインまたはクラスターにおいて格子間的に(interstitially))ドーパントが組み込まれる傾向によって複雑化される。第III族および第V族ドーパントは、一般的に、置換的に(substitutionally)組み込まれると電気的に活性であるが、非置換的に(non-substitutionally)組み込まれると電気的に不活性である。従って、ドーピングは、典型的に、制御が難しい様式で拡散を生じさせそして接合(junctions)を移動させる広範囲の活性化アニールを伴い、貴重なサーマルバジェット(thermal budget)を消費する。
電気的に活性なドーパントでの半導体のドーピングは、種々の産業用途において、例えば、半導体製造、マイクロエレクトロメカニカルシステム(MEMS)デバイス製造、およびフラットパネルディスプレイにおいて、商業的にかなり重要である。従って、半導体に電気的に活性なドーパントを組み込むための改善された方法についての必要性が存在している。
発明の要旨
ある実施形態は、チャンバ内に配置された基板を提供すること;トリシランおよびドーパント前駆体を混合して供給ガスを形成すること(該ドーパント前駆体は、電気的ドーパントを含む);化学蒸着条件下で、該基板を該供給ガスと接触させること;および少なくとも約10nm/分の堆積速度で、該基板上にドープト結晶性Si含有膜を堆積させること(該ドープト結晶性Si含有膜は、約1.0mΩ・cm以下の抵抗率を有する)を包含する、ドープト結晶性Si含有膜の堆積方法を提供する。好ましくは、該ドープト結晶性Si含有膜は、少なくとも約3×1020原子cm−3の電気的ドーパントを含む。ある実施形態において、約1.0mΩ・cm以下の抵抗率は、堆積されたままの(as-deposited)抵抗率である。
別の実施形態は、少なくとも約3×1020原子cm−3のn−ドーパントを含みそして0.7mΩ・cm以下の抵抗率を有する、ドープト結晶性Si含有膜を提供する。別の実施形態は、このようなドープト結晶性Si含有膜を備える集積回路を提供する。
これらおよび他の実施形態は、下記においてより詳細に説明される。
好ましい実施形態の詳細な説明
種々の電気的にドープされた結晶性Si含有材料を製造するために有用である堆積方法が、今回開発された。例えば、結晶性SiおよびSiGeは、ケイ素源としてトリシラン(HSiSiHSiH)そして砒素源としてアルシン(AsH)を使用して比較的速い堆積速度で堆積を行うことによって、比較的高いレベルの電気的に活性な砒素を含有するようにドープされ得る。好ましい実施形態において、堆積されたままの(as-deposited)得られるドープトSi含有材料におけるドーパントは、顕著な程度(significant degree)まで電気的に活性である。例えば、Si含有材料への電気的に活性なドーパントの組み込みの程度は、Si含有材料中のドーパント(電気的に活性および電気的に不活性)の総量に基づく電気的に活性なドーパントの重量パーセントとして表される場合、約70%以上、好ましくは約80%以上、より好ましくは約90%以上、なおより好ましくは約95%以上であり得る。この局面に従うドープト層(doped layers)の堆積は、下記においてより詳細に説明されるように、炭素源有りまたは無しで、塩素ガス有りまたは無しで、選択的または非選択的に、そしてHキャリアガス有りまたは無しで、行われ得る。
用語“Si含有材料”および類似の用語は、Si(結晶性シリコンを含む)、Si:C(例えば、炭素ドープト結晶性Si)、SiGeおよびSiGe:C(例えば、炭素ドープト結晶性SiGe)を含むがこれらに限定されない、広範囲の種々のケイ素含有材料をいうために、本明細書中において使用される。本明細書中で使用される場合、“炭素ドープトSi”、“Si:C”、“SiGe”、“炭素ドープトSiGe”、“SiGe:C”および類似の用語は、種々の比率の示されている化学元素および、必要に応じて、マイナー量の他の元素を含有する材料をいう。例えば、“SiGe”は、ケイ素、ゲルマニウムおよび、必要に応じて、他の元素(例えば、炭素のようなドーパントならびに第IIIおよびV族ドーパント)を含む材料である。従って、炭素ドープトSiは、本明細書中においてSi:Cのことを指すかもしれない(逆もまた同様)。“Si:C”、“SiGe”、および“SiGe:C”のような用語は、化学量論化学式そのものではなく、従って、示される元素の特定比を含有する材料に限定されない。Si含有膜中のドーパント(例えば、炭素、ゲルマニウム、リン、砒素またはホウ素)のパーセンテージは、本明細書中において、特に述べられない限り、全膜に基づく原子パーセントで表される。
第III族ドーパントは、周期表の第III族における元素であり、そしてBおよびInを含む。第V族ドーパントは、周期表の第V族における元素であり、そしてP、AsおよびSbを含む。用語“第III/V族ドーパント”は、両方のことをいうために本明細書中で使用され、そして従って、B、In、P、AsおよびSbを含む。第III/V族ドーパントは、半導体ドーパントとしてのそれらの認識された用途のために、本明細書中において、電気的ドーパントのことを指し得る。しかし、このようなドーパントは、半導体中に組み込まれる場合、常に電気的に活性とは限らないことが認識される。本発明は、オペレーションの理論によって限定されないが、第III/V族ドーパントは、半導体中へ置換的に(substitutionally)組み込まれる場合には電気的に活性であるが、非置換的に(non-substitutionally)組み込まれる場合には電気的に不活性であると考えられる。用語“n−ドープト”Si含有材料は、Si含有材料が第V族ドーパントを含有することを示す。用語“p−ドープト”Si含有材料は、Si含有材料が第III族ドーパントを含有することを示す。用語“電気的にドープされた”Si含有材料は、n−ドープトまたはp−ドープトのいずれかであるSi含有材料をいい、そして“第III/V族ドープト”Si含有材料ともいわれ得る。
種々の元素(例えば、炭素、ゲルマニウムおよび第III/V族ドーパント)は、Si含有材料へ置換的にドープされると本明細書中において述べられ得る。このようなSi含有材料は、置換的にドープされると述べられ得る。Si含有材料中へこのような元素を組み込む方法は、置換型ドーピングと本明細書中において呼ばれ得る。同様に、Si含有材料中へ置換的にドープされる第III/V族ドーパントは、電気的に活性なドーパントを有すると述べられ得、そしてこのようなSi含有材料は、電気的に活性にドープされていると述べられ得る。
特に述べられない限り、Si含有材料へ組み込まれる第III/V族ドーパントの総量(置換型+非置換型)は、二次イオン質量分析(SIMS)によって測定され、そして1立方センチメートル当たりの原子の単位で本明細書中において表され得る。例えば、1立方センチメートル当たり6.3×1020原子の第III/V族ドーパント濃度は、“6.3E20cm−3”と表され得る。電気的に活性(置換型)である、組み込まれた第III/V族ドーパントの量は、電気抵抗測定(例えば、当業者に公知の4点プローブ測定(four point probe measurements))によって測定され得る。Si含有材料中へ置換的にドープされた炭素の量は、X線回折によりドープトSi含有材料の垂直格子面間隔を測定し、次いで当業者に公知に様式でベガードの法則(単結晶Siと単結晶炭素との間の線形補間(linear interpolation))を適用することによって、測定され得る。例えば、Si中へ置換的にドープされた炭素の量は、X線回折によってドープトSiの垂直格子面間隔を測定し、次いでベガードの法則を適用することによって、測定され得る。当業者は、ベガードの法則、ならびに置換型炭素レベル、格子面間隔および歪みの間の関係を認識している。例えば、Judy L. Hoyt, “Substitutional Carbon Incorporation and Electronic Characterization of Si1-yCy/Si and Si1-x-yGexCy/Si Heterojunctions,” Chapter 3 in “Silicon-Germanium Carbon Alloy,” Taylor and Francis, NY, pp. 59-89, 2002を参照のこと。Hoytによる上記の論文の第73頁の図3.10に記載されるように、ドープトシリコン中の総炭素含有量は、SIMSによって測定され得、そして非置換型炭素含有量は、総炭素含有量から置換型炭素含有量を引き算することによって測定され得る。他のSi含有材料中に置換的にドープされた他の元素(例えば、ゲルマニウム)の量は、同様の様式で測定され得る。
種々の実施形態が、トリシランを含むケイ素源、第III/V族ドーパント源および、必要に応じて、他の元素(例えば、炭素および/またはGe)の源を使用しての、電気的にドープされたSi含有材料(例えば、ホウ素、砒素またはリンで置換的にドープされた単結晶Si)を堆積するための方法を提供する。本明細書中で教示されるCVD条件下で、基板表面へのトリシランおよび第III/V族ドーパント源の送達は、好ましくは、該基板表面上におけるエピタキシャルな電気的にドープされたSi含有膜の形成を生じる。下記でより詳細に説明されるある選択的堆積実施形態において、エッチャント源ガス(例えば、塩素のようなハロゲン含有ガス)が、トリシランおよび第III/V族ドーパント源と共に基板へ送達され、そしてSi含有膜が、単結晶基板または混合基板(mixed substrates)の単結晶領域上に選択的に堆積される。比較的速い堆積速度を使用する方法が好ましく、そしてある好ましい実施形態において、このような方法は、比較的高いレベルの第III/V族ドーパントを含有する結晶性Si含有材料の堆積を生じることが判った。
“基板”は、その用語が本明細書中で使用される場合、堆積が望まれるワークピース、または堆積ガスへ暴露される表面のいずれかをいう。例えば、基板は、単結晶シリコンウエハであるかもしれないし、またはセミコンダクター−オン−インシュレーター(semiconductor-on-insulator)(SOI)基板であるかもしれないし、またはこのようなウエハ上に堆積されたエピタキシャルSi、SiGeもしくはIII−V材料であるかもしれない。ワークピースはウエハに限定されず、ガラス、プラスチック、または半導体プロセッシングにおいて使用される任意の他の基板をも含み得る。用語“混合基板(mixed substrate)”は当業者に公知であり、“Deposition Over Mixed Substrates”という表題の米国特許第6,900,115号(2005年5月31日発行)を参照のこと:これは、その全体が、そして特に混合基板を説明する目的で、本明細書中において参考として援用される。米国特許第6,900,115号において議論されるように、混合基板は、2以上の異なるタイプの表面を有する基板である。例えば、混合基板は、第一表面形態を有する第一表面および第二表面形態を有する第二表面を含み得る。特定の実施形態において、ドープトSi含有層は、単結晶半導体材料上に選択的に形成されると共に、隣接する誘電体上における堆積を最小化しそしてより好ましくは回避する。誘電体材料の例としては、二酸化ケイ素、窒化ケイ素、金属酸化物および金属シリケートが挙げられる。用語“エピタキシャル”、“エピタキシャル的に”、“ヘテロエピタキシャル”、“ヘテロエピタキシャル的に”および類似の用語は、堆積される層が基板の格子定数を採用するかまたはこれに従う様式での、結晶性基板上への結晶性Si含有材料の堆積をいうために本明細書中において使用される。エピタキシャル堆積は、堆積される層の組成が基板のそれとは異なる場合、ヘテロエピタキシャルであり得る。
電気的にドープされたSi含有膜および方法
ある実施形態は、チャンバ内に配置された基板を提供すること;トリシランおよびドーパント前駆体を混合して供給ガスを形成すること(該ドーパント前駆体は、電気的に活性なドーパントを含む);化学蒸着条件下で、該基板を該供給ガスと接触させること;および該基板上にドープト結晶性Si含有膜を堆積させることを包含する、ドープト結晶性Si含有膜(doped crystalline Si-containing film)の堆積方法を提供する。該膜は、好ましくは少なくとも約10nm/分、より好ましくは少なくとも約20nm/分の堆積速度で、基板上に堆積される。ある実施形態において、ドープト結晶性Si含有膜は、約1.0mΩ・cm以下、好ましくは約0.7mΩ・cm以下、より好ましくは約0.5mΩ・cm以下、なおより好ましくは約0.4mΩ・cm以下の抵抗率を有する。ドープト結晶性Si含有膜は、好ましくは少なくとも約3×1020原子cm−3、より好ましくは少なくとも約4×1020原子cm−3の電気的ドーパント(例えば、n−ドーパントまたはp−ドーパント)を含む。ある実施形態において、ドープト結晶性Si含有は、約3×1019原子cm−3未満の電気的に不活性なドーパント、好ましくは約2×1019原子cm−3未満の電気的に不活性なドーパント、より好ましくは約1×1019原子cm−3未満の電気的に不活性なドーパントを含有する。
堆積は、当業者に公知の種々のCVD法に従って適切に行われ得るが、最大の利益は、本明細書中で教示されるCVD法に従って堆積が行われる場合に得られる。開示される方法は、プラズマ化学蒸着(plasma-enhanced chemical vapor deposition;PECVD)および熱的CVD(thermal CVD)を含むCVDを使用し、トリシラン蒸気およびドーパント前駆体を使用して供給ガス(これは基板と接触される)を形成して、CVDチャンバ内の基板上にドープト結晶性Si含有膜を堆積させることによって、適切に行われ得る。該Si含有膜は、堆積されたままの(as deposited)電気的に活性なドーパントを含む単結晶性(例えば、エピタキシャル)Si膜である。いくつかの実施形態において、炭素源が供給ガスへ添加され、それによって、Si含有膜として結晶性の電気的にドープされたSi:C膜を堆積する。いくつかの実施形態において、ゲルマニウム源が供給ガスへ添加されて、それによって、Si含有膜として結晶性の電気的にドープされたSiGeまたはSiGe:C膜を堆積する。いくつかの実施形態において、エッチャント源ガスが供給ガスへ添加されて、それによって、結晶性Si含有膜を選択的に堆積する。以下の説明において、ドープトSiまたはSi含有膜を堆積するためのトリシランおよびドーパント前駆体の使用が参照され得る。それらの説明はまた、特に述べられない限り、他のSi含有膜に、例えば、電気的にドープされたSi:C膜の堆積(炭素源の使用を包含する)、電気的にドープされたSiGeおよびSiGe:C膜の堆積(ゲルマニウム源の使用を包含する)ならびに選択的堆積(エッチャント源の使用を包含する)に、一般的に適用可能であることが認識される。基板および装置に対する損傷(これは、プラズマプロセッシングに伴う)の危険性無しに堆積が効果的に達成され得るので、熱的CVDが好ましい。
トリシランおよび第III/V族ドーパント源(特定の実施形態においては、ゲルマニウム源および/または炭素源を伴う)は、好ましくは、供給ガスを形成するように混合することによってまたはガスの形態で、チャンバへ導入される。供給ガスを形成するための混合は、チャンバにおいて、またはチャンバへの供給ガスの導入前に、行われ得る。CVDチャンバにおける全圧は、好ましくは、約0.001Torr〜約1000Torrの範囲内、より好ましくは約0.1Torr〜約350Torrの範囲内、最も好ましくは約0.25Torr〜約100Torrの範囲内である。実験は、0.25Torr〜100Torrの範囲の圧力で行われた。いくつかの実施形態において、化学蒸着条件は、少なくとも約30Torrのチャンバ圧、好ましくは約30Torr〜約200Torrの範囲内のチャンバ圧を含む。少なくとも約500mTorrのチャンバ圧が、下記に説明されるように、実験が行われた単一ウエハ・単一通路・層状水平流リアクター(single-wafer, single pass, laminar horizontal flow reactor)において好適であった。チャンバ圧は、本明細書中において堆積圧と呼ばれ得る。トリシランの分圧は、好ましくは全圧の約0.0001%〜約100%、より好ましくは全圧の約0.001%〜約50%の範囲内である。供給ガスはまた、トリシラン以外のガス(例えば、他のケイ素源、ドーパント前駆体および/または不活性キャリアガス)を含み得るが、好ましくは、トリシランがケイ素の唯一の源である。用語“ドーパント前駆体”は、得られる堆積膜中へ比較的少量で組み込まれ得る種々の元素(例えば、炭素、ゲルマニウム、ホウ素、ガリウム、インジウム、砒素、リン、および/またはアンチモン)に対する前駆体である種々の材料を一般的にいうために本明細書中において使用される。本明細書中において説明される方法のために好適なキャリアガスの例としては、He、Ar、H、およびNが挙げられる。特定の実施形態において、キャリアガスは、下記により詳細に説明されるように、He、Arおよび/またはNのような非水素キャリアである。好ましくは、トリシランは、トリシラン蒸気を運搬するためのキャリアガスと共に使用される蒸発器(例えば、バブラー)によって、より好ましくは、バブラーとバブラーから流れるキャリアガス中のトリシランの量を測定するガス濃度センサーとを備える送達システムによって、チャンバ中へ導入される。このようなセンサーは市販されている:例えばLorex Industries, Poughkeepsie, N.Y., U.S.A製のPiezocon(登録商標)ガス濃度センサー。
トリシランを使用してのCVDによるSi含有膜への電気的に活性なドーパントの組み込みは、好ましくは、第III/V族ドーパント源または第III/V族ドーパント前駆体を使用してのインサイチュドーピングによって達成される。第III/V族ドーパントについての好ましい前駆体は、p型ドーパント前駆体(例えば、ジボランおよび重水素化ジボラン)、およびn型ドーパント前駆体(例えば、ホスフィン、砒素蒸気、およびアルシン)を含む、ドーパント水素化物(dopant hydrides)である。シリルホスフィン、例えば(HSi)3−xPR、ならびにシリルアルシン、例えば(HSi)3−xAsR[ここで、x=0〜2ならびにR=Hおよび/または重水素(D)である]は、リンおよび砒素ドーパントについての代替のドーパント前駆体である。SbHおよびトリメチルインジウムは、それぞれ、アンチモンおよびインジウムについてのドーパント前駆体の例である。このようなドーパント前駆体は、下記に説明されるような好ましい膜、好ましくは、シリコン、Si:C、SiGeおよびSiGe:C膜ならびにアロイ(これらは、ホウ素、リン、アンチモン、インジウム、または砒素で置換的にドープされる)の製造に有用である。
供給ガス中に含まれ得る好適な炭素源の例としては、非限定的に、シリルアルカン(例えば、モノシリルメタン、ジシリルメタン、トリシリルメタン、およびテトラシリルメタン)ならびに/あるいはアルキルシラン(例えば、モノメチルシラン(MMS)およびジメチルシラン)が挙げられる。いくつかの実施形態において、炭素源は、HSi−CH−SiH−CH(1,3−ジシラブタン(1,3-disilabutane)を含む。供給ガスはまた、望ましい場合、Si含有膜をドーピングするかまたはアロイングする(alloying)ために有用であることが当業者によって公知の他の材料(例えば、補充ケイ素源および/またはゲルマニウム源)を含有し得る。このような源の具体例としては以下が挙げられる:補充ケイ素源として、シラン、ジシランおよびテトラシラン;ゲルマニウム源として、ゲルマン、ジゲルマンおよびトリゲルマン;ならびに炭素およびケイ素の両方の源として、モノシリルメタン、ジシリルメタン、トリシリルメタン、テトラシリルメタン、モノメチルシラン(MMS)、およびジメチルシラン。
好適なマニホールドが、CVDチャンバへ供給ガスを供給するために使用され得る。CVDチャンバは、好ましくは、単一ウエハリアクター(single wafer reactor)、例えば、例示される実施形態において記載される単一ウエハ・水平ガス流CVDチャンバ(single wafer, horizontal gas flow CVD chamber)である。最も好ましくは、CVDチャンバは、好ましくは放射状に加熱される、単一ウエハ・単一通路・層状水平ガス流リアクター(single-wafer, single pass, laminar horizontal gas flow reactor)である。このタイプの好適なリアクターは市販されており、そして好ましいモデルとしては、アリゾナ州フェニックスのASM America, Inc.から市販されている単一ウエハリアクターのEpsilonTMシリーズが挙げられる。本明細書中で記載される方法はまた代替のリアクター(例えば、シャワーヘッド配置)内で使用され得る。しかし、増加された均一性および堆積速度における利益は、特に低プロセスガス滞留時間(low process gas residence times)で、回転する基板を使用して、EpsilonTMチャンバの水平・単一通路・層状ガス流配置において特に効果的であると判明した。CVDは、チャンバへプラズマ生成物を導入する(インサイチュでまたはリモートプラズマ発生器の下流で)ことによって行われ得るが、上述されるように、熱的CVDが好ましい。
供給ガス中のドーパント前駆体の量は、Si含有膜中の所望のレベルのドーパントを提供するように調節され得る。供給ガス中のドーパント前駆体の好ましい濃度は、反応ガス(不活性キャリアおよび希釈ガスを除く)の総重量に基づいて、重量で10億分の約1(ppb)〜約20%(about 1 part per billion (ppb) to about 20% by weight)の範囲内である。第III/V族ドーパントについて、供給ガス中のドーパント前駆体(例えば、純粋なホスフィン、アルシンまたはジボラン、あるいは当量の希釈されたホスフィン、アルシンまたはジボラン)の好ましい濃度は、好ましくは、約0.1標準立方センチメートル毎分(standard cubic centimeters per minute)(sccm)〜約5sccmであるが、より多いまたはより少ない量が、得られる膜中の所望の特性を達成するために時によっては好ましい。単一ウエハリアクターの好ましいEpsilonTMシリーズにおいて、キャリアガス中の第III/V族ドーパント前駆体の希釈混合物は、所望のドーパント濃度およびドーパントガス濃度に依存して、約10sccm〜約1000sccmの範囲のセットポイントで、マスフローコントローラ(mass flow controller)を介してリアクターへ送達され得る。第III/V族ドーパントガスの希釈は、同等の純粋なドーパント流量を達成するように、10−7〜10−2倍へと至り得る。典型的に市販されるドーパント源は、H中に希釈された第III/V族ドーパント水素化物である。しかし、図18に関して下記に説明されるように、いくつかの実施形態において、ドーパント前駆体は、非水素不活性ガス中に希釈される。希釈混合物は、好ましくは、トリシラン、エッチャント源(例えば塩素)(選択的堆積実施形態のため)、任意の好適なキャリアガス、および置換型ドーピングのための任意の他の所望のドーパント前駆体[例えば、歪みに影響を与える前駆体(strain-influencing precursor)(例えば、ゲルマンのようなゲルマニウム源、またはMMSのような炭素源)]と混合することによって、更に希釈される。好ましいEpsilonTMシリーズリアクターにおける堆積のための典型的な総流量は、しばしば、約20標準リットル毎分(standard liters per minute)(slm)〜約180slmの範囲であるので、このような方法に使用される第III/V族ドーパント前駆体の濃度は、一般的に、総流量に対して少ない。
種々の供給ガス成分の相対量は、得られるSi含有膜について望まれる組成および使用される堆積条件(例えば、温度、圧力、堆積速度など)に依存して、広範囲で変化され得、そして本明細書中で提供されるガイダンスを考慮してルーチン実験によって決定され得る。供給ガス成分は、混合されそして次いでチャンバまたは基板に送達され得るか、あるいは供給ガスは、例えばCVDチャンバへ供給ガス成分を別々に供給することによって、基板においてまたはその付近で該成分を混合することによって形成され得る。
熱的CVDは、好ましくは、基板上に結晶性Si含有膜を堆積するために有効である基板温度で行われる。好ましくは、熱的CVDは、約350℃〜約900℃、より好ましくは約500℃〜約800℃の範囲の温度で行われる。ある実施形態において、化学蒸着条件は、トリシランについて、実質的にマス−輸送制御される堆積条件と実質的に速度論的に制御される堆積条件との間の遷移温度(transition temperature)付近である温度を包含する。このようなトリシラン堆積条件は、米国特許第6,821,825号において説明されており、これは、参考としてそして特にトリシラン堆積条件を説明する目的で本明細書中において援用される。PECVDは、好ましくは、約300℃〜約700℃の範囲内の温度で行われる。当業者は、実際の製造の実態[例えば、サーマルバジェット(thermal budget)の保存、堆積速度、チャンバ(単一ウエハおよびバッチリアクターを包含する)の異なるサイズ、好ましい全圧および分圧など]を考慮して、これらの温度範囲を調節し得る。一般的に、より高い分圧は、それが堆積速度、層品質またはこれら2つの組合せであるか否かに関わらず、所定の所望の結果のためにより低い温度を必要とする。基板は、当該分野に公知の種々の方法(例えば、抵抗性加熱(resistive heating)およびランプ加熱)によって加熱され得る。
以下を含む種々の堆積パラメータが、Si含有膜への電気的に活性なドーパントの組み込みに影響を与えることが判明した:他のケイ素源に対するトリシランの比率;トリシラン流量に対する第III/V族ドーパント前駆体流量の比率;キャリアガス流量;堆積圧;堆積温度;および堆積速度。驚くべきことに、これらのパラメータの特定の組合せが、堆積されたままの(as deposited)Si含有膜への比較的高レベルの電気的に活性なドーパント組み込みを達成するために特に有利であることが判明した。特に、以下の組合せが好ましい:
・以下の少なくとも1つと組み合わせての、比較的高いトリシラン流量(例えば、単一ウエハ堆積リアクターについて約50mg/分を超える):補充ケイ素源についての比較的低い流量(例えば、シラン流量に対して比較的高い比率のトリシラン流量);比較的低いキャリアガス流量(例えば、水素キャリアガス流量に対して比較的高い比率のトリシラン流量);比較的高い堆積速度(例えば、好ましくは少なくとも約5nm/分、より好ましくは少なくとも約10nm/分、なおより好ましくは少なくとも約20nm/分);比較的高い堆積圧(例えば、好ましくは少なくとも約1Torr);比較的低い堆積温度(例えば、好ましくは約450℃〜約650℃の範囲内);ならびにトリシラン流量に対して比較的高い比率の第III/V族ドーパント前駆体流量(例えば、好ましくは、少なくとも約0.1scc/mgの、希釈アルシン(キャリアガス中1%)対トリシラン流量比率)。
・以下の少なくとも1つと組み合わせての、比較的高い堆積圧(例えば、少なくとも約1Torr):比較的低いキャリアガス流量(例えば、約1slm〜約50slm);比較的高いトリシラン流量(例えば、約100mg/分〜約500mg/分);比較的高い堆積速度(例えば、約5nm/分超、より好ましくは少なくとも約20nm/分);ならびに比較的低い堆積温度(例えば、好ましくは約450℃〜約650℃の範囲内)。
図1〜5は、堆積パラメータの種々の組合せの効果を示す。図1〜5に示されるデータは、砒素で置換的にドープされた一連のケイ素膜を単結晶シリコン基板上へ堆積するために、砒素前駆体としてH中1%アルシンを使用して、EpsilonTM単一ウエハリアクター(アリゾナ州フェニックスのASM America, Inc.から市販)において行われた熱的化学蒸着について得られた。
図1は、トリシランを使用して堆積された一連の膜についての、ドーパント前駆体(アルシン)フローの関数としての、ドープトケイ素膜抵抗率のグラフである。トリシラン流量を50mg/分で固定し、そしてx軸におけるアルシン流量は、H中1%アルシンについての標準立方センチメートル毎分(sccm)の単位である(ここで、“ドーパント数(dopant number)”または“DN”と呼ばれる)。抵抗値(y軸、Ω/sqの単位)は、堆積された膜上における4点プローブ測定(four-point probe measurements)から直接得られ、そして従って膜厚について修正されていない。図1は、アルシンフローの関数としての膜抵抗率が最小値を通過し、そして従って抵抗率の減少は、ドーパント前駆体の流量を単に増加させることによって必ずしも達成されないという一般的提案を示す。本発明は、理論によって拘束されないが、より高いドーパント前駆体流量での増加する抵抗値は、アルシンフローが増加するにつれて、膜中の電気的に不活性なドーパントの増加するフラクションの組み込みに起因するかもしれないと考えられる(固定されたトリシラン流量について)。
図2Aは、約550℃の堆積温度で、約0.2scc/mgのトリシランに対する希釈アルシン(水素中1%AsH)の定流量比で堆積された一連の膜についての、成長速度の関数としての、砒素−ドープされたケイ素膜抵抗率のプロットである。図2Bは、同一の定流量比でのトリシランおよびアルシン流量の関数としての膜堆積速度のプロットである。図2Aおよび2Bは、約1.0mΩ・cm以下のケイ素膜抵抗値が、トリシランを使用して比較的高い速度(例えば、少なくとも約5nm/分、より好ましくは少なくとも約10nm/分)で堆積を行うことによって達成され得ることを実証している。図2Bに示されるように、電気的にドープされたケイ素膜の成長速度は、トリシランおよび希釈された第III/V族ドーパント前駆体の流量(例示される実施形態において、1%AsH/H)の実質的に線形の関数であり、そして従って成長または堆積速度は、トリシラン流量を操作することによって制御され得る。ケイ素膜抵抗値はまた、図2Aに示されるように、トリシラン流量を操作することによって制御され得、例えば、約1.0mΩ・cm以下、約0.7mΩ・cm以下、または約0.5mΩ・cm以下のケイ素膜抵抗値が得られる。トリシランに対して第III/V族ドーパント前駆体(例示される実施形態においてアルシン)の比較的高い流量比が、好ましい。例えば、トリシランに対するドーパント前駆体(キャリアガスにおいて1%まで希釈)の流量比は、好ましくは、少なくとも約0.1scc/mg、より好ましくは少なくとも約0.2scc/mg、なおより好ましくは少なくとも約0.3scc/mgである。当業者は、他の第III/V族ドーパント前駆体希釈物についての好適な流量調節を行い得る。
図3は、3つの異なるアルシン流量(20、50および200のDN)で、600℃の堆積温度で(成長速度120nm/分)、トリシラン(50mg/分)および希釈アルシン(H中1%)を使用して堆積された3つの膜についての、深さ(SIMSによる)の関数としてのAs濃度のプロットである。図3に示されるように、膜中のAs濃度は、最も低い流量(DN=20)で1E20cm−3(抵抗率0.92mΩ・cm)であり、より高い流量(DN=50)で2.5E20cm−3(抵抗率0.54mΩ・cm)まで増加し、そして最も高い流量(DN=200)でなお更に6.3E20cm−3(抵抗率0.43mΩ・cm)まで増加した。
図4は、3つの異なるアルシン流量(10、50および100のDN)で、550℃の堆積温度で(成長速度36nm/分)、トリシラン(50mg/分)および希釈アルシン(H中1%)を使用して堆積された3つの膜についての、深さ(SIMSによる)の関数としてのAs濃度のプロットである。図4に示されるように、膜中のAs濃度は、最も低い流量(DN=10)で6E19cm−3(抵抗率1.07mΩ・cm)であり、より高い流量(DN=50)で3E20cm−3(抵抗率0.46mΩ・cm)まで増加し、そして最も高い流量(DN=100)でなお更に5.2E20cm−3(抵抗率0.41mΩ・cm)まで増加した。
図3および4は、比較的高い第III/V族ドーパント前駆体流量と組み合わせての比較的高い成長速度(比較的高いトリシラン流量から生じる)の組合せが、Si含有膜への高レベルのインサイチュ置換型第III/V族ドーパント組み込みを生じさせることを示す。好ましい実施形態において、Si含有膜中の置換型第III/V族ドーパントレベルは、約1x1020原子cm−3以上、より好ましくは約3x1020原子cm−3以上、なおより好ましくは約4x1020原子cm−3以上である。ドープト結晶性Si含有膜(例えば、ドープトエピタキシャルSi膜)についての抵抗値は、好ましくは約1.0mΩ・cm以下、より好ましくは約0.7mΩ・cm以下、なおより好ましくは約0.5mΩ・cm以下、最も好ましくは約0.4mΩ・cm以下である。本発明は、理論によって拘束されないが、ここで記載されるトリシランの使用は、非常に高いフラクションの電気的ドーパントがケイ素中へ置換的に組み込まれることを可能にし、従って電気的に不活性な化学種の組み込みを最小化すると考えられる。好ましいドープト結晶性Si含有膜は、約3x1019原子cm−3未満の電気的に不活性なドーパント、より好ましくは約3x1019原子cm−3未満の電気的に不活性なドーパント、なおより好ましくは約1x1019原子cm−3未満の電気的に不活性なドーパントを含有する。
図5は、トリシランおよびアルシンを使用して堆積された一連のケイ素膜についての、成長速度に対する堆積温度の効果を例示するプロットである。トリシランの流量は、比較的高く、約200mg/分であった。他の堆積パラメータ(例えば、アルシン流量、堆積圧およびキャリアガス流量)は、得られるAs−ドープされた膜の全てが、ほぼ同量の置換型As(約5E20cm−3)を含有するように制御された。図5に示されるように、高い成長速度および高いレベルの置換型As組み込みが、500℃〜600℃の範囲にわたる比較的低い堆積温度で得られた。その温度範囲内で、より高い成長速度が、より高い堆積温度で観察された。図5は、比較的高いトリシラン流量と比較的低い堆積温度との組合せが、高い成長速度と、堆積されたままのSi含有膜への非常に高いレベルのインサイチュ置換型第III/V族ドーパント組み込みとを生じさせることを示す。従って、本明細書で記載されるトリシランの使用(例えば、インサイチュドープト単結晶性Si含有膜を堆積するため)は、堆積温度を低下させることによって、ならびにドーパント活性化アニールを低下させるかまたは排除することによって、サーマルバジェット(thermal budgets)が保存されることを可能にする。
上述のように、上述の電気的にドープされた単結晶性Si含有膜は、更に、別の置換型ドーパント(例えば、炭素および/またはゲルマニウムのような歪み修飾置換型ドーパント(strain-modifying substitutional dopant))を含み得る。一般的に、置換型炭素の存在は、そうではない類似の電気的にドープされた単結晶性Si含有膜(これは、置換型炭素を含有しない)と比較して、抵抗率を増加させる傾向にあるスキャタリング(scattering)を生じさせる。しかし、本明細書中で記載されるようにトリシランを使用して堆積される場合、このような電気的にドープされた単結晶性Si含有膜は、炭素の存在にも関わらず、依然として、驚くべきほど低い抵抗率を有し得ることが見出された。例えば、電気的に活性なドーパントでドープされる場合、置換型炭素を含む結晶性Si含有膜は、約1.0mΩ?cm以下、好ましくは約0.7mΩ?cm以下の抵抗率を有し得る。実験において、約5.323Åの格子面間隔(X線回折によって測定)が、トリシラン、アルシンおよびMMSから堆積された砒素−ドープされたSi:Cについて、今回、達成された。この5.323Åの格子面間隔は、約3.25%の置換型炭素レベルに対応する。
例えば、図6Aは、炭素および砒素の両方で置換的にドープされたケイ素膜についての、成長速度(nm/分)の関数としてのパーセント置換型炭素を示すグラフである。図6Aはまた、それらの膜の抵抗率を示す(左軸においてまた、mΩ・cmの単位)。図6Aは、種々のレベル(例えば、例示される実施形態において、約1.7原子%〜約3.25原子%)の置換型炭素を含有し、そして種々の量の電気的に活性なドーパント(例示される実施例において砒素)を含有するSi含有膜が、堆積され得ることを実証している。電気的に活性なドーパントと置換型炭素との組合せは、望ましく低い抵抗値(例えば、例示される実施形態において、約0.7mΩ・cm〜約1.45mΩ・cm)を有する膜を作製する。
図6Bは、トリシラン流量(mg/分)の関数としての電気的にドープされたSi:C膜の成長速度を示す。図6A〜6Bに示されるプロットは、高レベルの置換型炭素と低い抵抗率が、トリシランを使用して、比較的高い堆積または成長速度(例えば、少なくとも約5nm/分)で堆積を行うことによって、達成され得ることを実証している。図6Bにおいて例示されるように、成長速度は、例えばトリシラン流量と堆積温度を制御することによって制御され得、種々のレベルの炭素(例えば、2.5%以上の置換型炭素、好ましくは2.6%以上の置換型炭素、より好ましくは2.7%以上の置換型炭素)を有する電気的にドープされた結晶性Si含有膜が作製される。いくつかの実施形態において、電気的にドープされた結晶性Si含有膜は、図6に示されるように、なおより高いレベルの炭素(例えば、2.8%以上の置換型炭素、好ましくは2.9%以上の置換型炭素、より好ましくは3.0%以上の置換型炭素)を含み得る。結晶性Si含有膜は、好ましくは、単結晶性、例えばエピタキシャルである。図6A〜6Bに示されるデータは、アルシン、炭素源(MMS)および希釈アルシン(H中1%)の相対流量を互いに対して一定レベルに維持することによって得られた。従って、これらの実施形態において、成長速度は、トリシラン流量を増加すると共にMMSおよびアルシンの流量を増加させて、固定されたトリシラン:MMS:アルシン流量比を維持することによって制御された。
比較的高いレベルの置換型炭素を含む電気的にドープされた結晶性Si含有膜(例えば、2.4%以上の置換型炭素を含む膜)は、種々のレベルの引張応力を示し得、何故ならば、置換型炭素原子は、それらが結晶性ケイ素格子構造において置換するケイ素原子よりも小さいためである。ある実施形態において、2.4%以上の置換型炭素を含む電気的にドープされた単結晶性ケイ素膜は、約1.0GPa以上、例えば、約1.5GPa以上、好ましくは約1.7GPa以上、より好ましくは約1.85GPa以上、なおより好ましくは約2.0GPa以上の引張応力を有する。該応力は、膜内の任意の特定方向において測定され得る。例えば、下層の結晶性シリコン基板上へ堆積される、置換型炭素を含む上層のケイ素膜について、上層のケイ素膜は、水平応力(parallel stress)(即ち、膜基板界面に対して水平方向の測定される応力)とは異なる垂直応力(perpendicular stress)(即ち、膜/基板界面に対して垂直方向の測定される応力)を示し得る。例えば、Hoytによる上述の論文の第62頁の図3.1を参照のこと。
応力はまた、好適な基板上への電気的にドープされたSi:C膜のヘテロエピタキシャル堆積によって導入され得る。例えば、約3.25原子%の置換型炭素レベルを有する砒素−ドープされたSi:C膜(5.323Åの格子面間隔)が、単結晶性シリコン基板上に堆積され得る。このようなテンプレート(約5.43Åの格子面間隔を有する)に拘束される場合、このようなSi:C膜における引張応力は、2.06GPaになる。該応力は、Si:C膜中の置換型炭素の量および基板の好適な選択によって、変化され得る。種々の実施形態において、電気的にドープされたSi:C膜中において生じる応力は、好ましくは、1GPa〜3GPaである。電気的にドープされたSi:Cが、該材料の臨界的厚み(critical thickness)未満へ堆積される場合、該堆積される層は、引張応力が加えられているままである。ある実施形態において、電気的にドープされたSi:CまたはSi:Ge膜が、隣接する層上において歪みを加えるように構成される。例えば、圧縮歪みが、電気的にドープされた緩和された(relaxed)Si:C層上に堆積されるケイ素膜上で加えられ得る。ある実施形態において、埋め込まれたソース/ドレイン領域において形成された電気的にドープされたSi:C膜は、下記により詳細に説明されるように、ソースとドレインとの間に形成されたシリコンチャネル上において引張歪みを加える。このような構成は、種々の適用において、例えばNMOSデバイスのための電子運動性(electron mobility)を改善するために、使用され得る。
図7は、一定トリシラン流量(200mg/分)および一定アルシン流量(100sccm)での、MMS流量の関数としての、砒素−ドープされたSi:C膜における置換型炭素含有量のグラフを示す。図7においてプロットされるデータは、より高い置換型炭素レベルが、これらの条件下でより高いMMS流量で得られることを示す。トリシラン流量は一定でありそして炭素源(MMS)流量は変化されたので、図7は、トリシランに対する炭素源の流量比を変化させることの、置換型炭素含有量に対する効果を示す。トリシランに対するMMSの流量比が増加されるにつれて、得られる膜中の置換型炭素の量は、比較的線形的に増加した。
図8は、一定トリシラン流量(200mg/分)および一定MMS流量(300sccm)での、砒素−ドープされたSi:C膜の堆積についての、希釈アルシン流量(H中1%)の関数としての、置換型炭素含有量および抵抗率のグラフを示す。図8においてプロットされるデータは、比較的高い置換型炭素レベル(例示される実施形態について約2.0%超)および比較的低い抵抗率(例示される実施形態において約0.8mΩ・cm未満)が、広範囲のアルシン流量において得られ得ることを実証する。
図9A〜9Dは、トリシラン、炭素源(例示される実施形態においてMMS)および第III/V族ドーパント源(例示される実施形態においてアルシン)を使用して堆積された、電気的にドープされたSi:C膜の特性に対する、温度および成長速度の効果を示す。図9Aは、成長速度に対する温度の効果を示すアレニウスプロットであり、より高い成長速度は、一般的に、より高い堆積温度で得られることを示す。図9Bは、置換型炭素含有量および抵抗率に対する成長速度(堆積温度の関数、図9Aを参照のこと)の効果を示すプロットであり、より高いレベルの置換型炭素およびより高い抵抗率は、一般的に、より高い成長速度で得られることを示す。本発明は、理論によって拘束されないが、より高い抵抗率は、より高いレベルの置換型炭素で生じる増加されたスキャッタリング(scattering)から生じると考えられる。図9Cは、置換型炭素含有量に対する堆積温度の効果を示す別のアレニウスプロットである。図9Dは、抵抗率に対する温度の効果を示す別のアレニウスプロットであり、より高いレベルの抵抗率は、一般的に、より高い堆積温度で得られることを示す。
図2、6および9は、トリシランの使用が、比較的高い速度の堆積を可能にし、それは言い換えると、驚くほど高いレベルの置換型ドーピングを可能にすることを実証する。炭素と砒素との公知の差異にもかかわらず、ドーピング挙動における観察された類似性は、本明細書中で教示されるようなトリシランを使用する堆積方法が、ドーパントまたはドーパント前駆体の性質に対して比較的鈍感であることを実証する。従って、本明細書中で説明されるトリシランを使用しての比較的高い速度の堆積方法は、広範囲のドーパント(例えば、炭素、ゲルマニウムおよび電気的に活性なドーパント)に対して、ならびに広範囲のSi含有材料(例えば、Si、Si:C、SiGe、SiGe:Cなど)へのそれらのドーパントの組み込みに対して、適用可能である。慣用的な実験が、特定のSi含有材料に適用可能な高い速度の堆積条件に対して使用され得る。
歪み修飾置換型ドーパント(strain-modifying substitutional dopant)(例えば、本明細書中で記載されるような炭素またはゲルマニウム)を含む電気的にドープされた単結晶性ケイ素膜の厚みは、好ましくは、臨界的膜厚(critical film thickness)未満である。当業者は、臨界的膜厚は、歪みを加えられた膜が特定の一連の条件下で緩和する膜厚であることを理解する。置換型ドーパントの濃度が増加するにつれて、臨界的厚みは、一般的に減少する。臨界的厚み未満の厚みを有する膜は、典型的に、それらの条件下で、歪みが加えられたままである。例えば、約1.8%の置換型炭素を含む電気的にドープされた単結晶性ケイ素膜は、約500℃で約200nmの臨界的厚みを有し得、一方、3.5%の置換型炭素を含むその他の点では類似する膜は、その温度で約25〜30nmの臨界的厚みを有し得る。その膜についての臨界的厚み未満である厚みを有する膜は、十分に乱れさせられる(perturbed)(例えば、緩和が生じるに十分な熱に暴露される)までまたはそうならない限り、歪みが加えられたままである傾向にある。歪み修飾置換型ドーパント(例えば、炭素またはゲルマニウム)を含む緩和されたSi含有膜は、隣接する層(例えば、その上に堆積されたヘテロエピタキシャル膜)上において歪みを生じさせるために使用され得る。
ケイ素への置換型電気的ドーパントおよび炭素の組み込みについて本明細書中で記載される方法はまた、SiGeへ置換型炭素を組み込むためそしてケイ素へ置換型Geを組み込むために使用され得る。例えば、ある実施形態において、トリシラン、第III/V族ドーパント前駆体、ゲルマニウム源および塩素を使用する堆積は、選択的に堆積され電気的にドープされたエピタキシャル膜中へ置換的にゲルマニウムを組み込むために、例えば、SiGe層内に歪みを作製するために、有効である。好ましい堆積条件下で、ゲルマニウム組み込みレベルは、約1%〜99%、典型的には17%〜50%、しばしば約20%〜約50%、そしてより特には約20%〜40%であり得る。得られるSiGe膜は、種々の適用において、例えば、それら自体を歪みが加えられたままにするため、緩和するために使用され得、そして上層のヘテロエピタキシャルケイ素層上において引張歪みを誘発するため、またはチャネルにおいて圧縮歪みを加えるために使用され得る。
当該分野において公知であるように、単結晶シリコンについての格子定数は、約5.431Åであり、一方、単結晶ゲルマニウムは、大きなサイズのゲルマニウム原子に起因して5.657の格子定数を有する。置換型ゲルマニウム組み込みから生じるシリコンの天然格子定数からの逸脱は、歪みを導入し、これは、半導体における電気的キャリア運動性を有利に改善し、デバイス効率を改善する。SiGeは、該材料の臨界的厚み未満へ堆積される場合、堆積された層は、圧縮歪みが加えられたままであり、そしてホール運動性が、PMOSデバイスについて改善される。このような場合、堆積されたSiGe層は、例えば全アクティブ・エリア(active area)上に、選択的に形成され得、そしてチャネルを規定し得、あるいはそれは、その上に圧縮歪み層を形成するための緩和されたテンプレートとして機能し得、次いでこれは、それ自体をチャネル領域として役立たせ得る。
しかし、図10〜15の実施形態(下記に説明される)において、電気的にドープされたSi:CまたはSiGe層が、凹んだ(recessed)ソース/ドレイン領域20上に選択的に形成され、そして、好ましくは、応力を維持する条件(厚み、温度)下で堆積される。S/D凹部を満たしているより大きな格子定数のSiGe材料は、それらの間のチャネル領域22において圧縮歪み(compressive strain)を加え、一方、S/D凹部を満たしているより小さな格子定数のSi:C材料は、それらの間のチャネル領域22において引張歪み(tensile strain)を加える。好ましくは、トリシラン、エッチャント源および炭素またはゲルマニウム源に加えて、ドーパント水素化物が供給ガスへ添加される。好ましくはn型ドーパント、そしてより好ましくは砒素またはリンが使用される。置換型Geを含む電気的にドープされたSi含有膜もまた、Si:Cについて図16において示されるものと類似の様式で、ブランケット堆積およびエッチングシーケンス(blanket deposition and etching sequence)によって、ソース/ドレイン領域において形成され得る。
本明細書中において記載される方法は、種々の基板上に、電気的にドープされたSi含有膜を堆積するために有用であり、そしてこのような堆積の選択的バージョンは、混合表面形態(mixed surface morphologies)を有する混合基板(mixed substrates)上にSi含有膜を堆積するために特に有用である。上述されるように、用語“混合基板”は当業者に公知であり、米国特許第6,900,115号を参照のこと。
ある実施形態は、混合基板の単結晶領域上に、電気的にドープされた歪みが加えられた単結晶性Si:CまたはSiGe膜を選択的に堆積するために方法を提供する。例えば米国特許第6,821,825号に開示されるように、トリシランの使用によって得られる均一かつ高品質の膜に加えて、優れた選択性は、エッチャント(例えば、ハロゲン含有エッチャント、好ましくは、HClまたは塩素ガス(Cl)のような塩素含有エッチャント)と組み合わせてのトリシランの使用によって得られ得ることが見出された。実験は、選択性が、100%(即ち、酸化ケイ素および窒化ケイ素のような周囲の絶縁体上においてゼロ堆積を伴う)であり得ることを示した。その上、この選択性は、更なるエッチャント化学種の添加無しに得られ得る。HClは、選択的なケイ素ベースの堆積プロセスに対してエッチャントとして提供され得、ここで、アモルファス(典型的に絶縁性)表面における遅い核形成堆積(slow-nucleating deposition)に対するエッチ効果は、露出した半導体表面に対するエッチ効果よりも大きい。塩素が好ましく、何故ならば、HClは、周知のように、精製するのが困難であり、そして典型的な市販のHCl源は、堆積プロセスへ過剰量の湿気を導入するからである。このような湿気は、堆積された膜の導電率を低下させ得、そしてエピタキシャル堆積において受容できないレベルの欠陥を生じさせ得る。従って、トリシラン、炭素源および塩素を含む供給ガスの使用は、有利なことに、追加のエッチャント無しに、そして特にはHCl無しに、高レベルの選択性を達成する。
好ましくは、供給ガスは、単一のケイ素前駆体としてのシランの標準的使用と比較して、比較的高いトリシラン流量と比較的低い水素流量を使用して、水素キャリアガスと共に、チャンバ中へ導入される。炭素またはゲルマニウムの流量は、上記で議論されるように、それぞれ、置換型炭素またはゲルマニウムの所望のレベルの組み込みを達成するように選択される。例えば、好ましい実施形態において、熱的CVDは、約5mg/分〜2,000mg/分、より好ましくは約10mg/分〜200mg/分のトリシラン流量、および約4sccm〜約4,000sccmのMMSまたはゲルマン流量を使用して、Epsilon E2500TM、E3000TMまたはE3200TMリアクターシステム(アリゾナ州フェニックスのASM America, Inc.から市販)において行われる。トリシラン流量に対する炭素またはゲルマニウム源流量の比率は、好ましくは約0.5scc/mg〜約8.0scc/mg、より好ましくは約0.9scc/mg〜約3.0scc/mgの範囲内である。水素流量は、約40標準リットル毎分(slm)以下、好ましくは約10slm以下、より好ましくは約5slm以下であり得、そして堆積温度は、約450℃〜約700℃、より好ましくは約500℃〜約650℃の範囲内であり得る。水素ガス流量は、好ましくは、トリシラン/塩素ガスでの堆積の間、最小化される。エッチャント流量は、好ましくは20〜200sccmである。実験は、25〜400mg/分のトリシランフロー、0〜4slmのHキャリア流量、および25〜200sccmの塩素流量で行われた。第III/V族ドーパント前駆体流量は、ドーパント源の性質と他の成分の相対流量に依存して、典型的に、約5sccm〜約500sccmの範囲内である。例えば、リンドーピングについて、ドーパント水素化物(前駆体)流量は、好ましくは、希釈ホスフィン(H中1%PH)の10〜200sccmである。
図10は、例示される実施形態における、シリコンウエハを含む基板10を示す、概略断面図である。基板10は、ウエハまたはSOI基板上に形成されたエピタキシャル層を含み得る。フィールド・アイソレーション領域(field isolation regions)12は、従来のシャロートレンチ分離(shallow trench isolation)(STI)技術によって形成されており、STIエレメント内のウインドウにおいてアクティブ・エリア(active areas)14を規定している。あるいは、ローカル・オキシデーション・オブ・シリコン(local oxidation of silicon)(LOCOS)およびLOCOSまたはSTIの多数の変形を含む、任意の好適な方法が、フィールド絶縁材料を規定するために使用され得る。いくつかのアクティブ・エリアは、典型的に、基板10を横断してSTIによって同時に規定されること、ならびにSTIは、しばしば、互いにトランジスタ・アクティブ・エリア14を分離するウェブを形成することが、理解される。基板は、好ましくは、チャネル形成のために好適なレベルで、バックグラウンドドープされる。
図11は、アクティブ・エリア14上におけるゲート電極16の形成後の基板10を示す。絶縁(典型的に窒化ケイ素)スペーサーおよびキャップ層によって囲まれており、そしてゲート誘電体層18によって下層の基板10から分離されている、伝統的なシリコン電極として示さされているが、トランジスタゲートスタックは、種々の構成を有し得ることが理解される。いくつかのプロセスフローにおいて、例えば、スペーサーが省略され得る。例示される実施形態において、ゲート電極16の規定は、アクティブ・エリア14内のトランジスタゲート電極16のいずれかのサイドにおいてソースおよびドレイン領域20を規定する。ゲート電極16はまた、ゲート電極16の下ならびにソースとドレイン領域20の間に、チャネル領域22を規定する。
図12は、露出したシリコンを選択的に除去するエッチ工程の結果を示す。好ましくは、反応性イオンエッチ(reactive ion etch)(RIE)が、垂直側壁規定ならびに露出した酸化物および窒化物材料への最小の損傷を確実にするために、使用される。好ましくは、凹部の深さは、該凹部に堆積される層の臨界的厚み(critical thickness)未満であるが、チャネルにおける歪みもまた、該臨界的厚みよりも厚い堆積によって得られ得る。露出したシリコンは、本質的に、アクティブ・エリア14のソースおよびドレイン(S/D)領域20であるので、エッチは、ソース/ドレイン凹部をいう。いくつかのアレンジメントにおいて、ソース/ドレイン領域上の薄い誘電体をクリアーする第一工程が使用され得ることが理解される。
図13は、凹んだ(recessed)S/D領域20を選択的堆積プロセスで再充填(refilling)した結果を示す。特に、露出した半導体表面は、例えばHF蒸気またはHFラストディップ(HF last dip)でクリーニングされ、その上でのエピタキシーのために新鮮な(pristine)表面を残す。トリシランおよび塩素が、第III/V族ドーパント前駆体と共に、上述のように導入される供給ガスを形成するために、混合される。好ましくは、図10〜15の実施形態について、下記により詳細に説明されるように、歪み修飾量(strain-modifying amount)の、炭素またはゲルマニウムを含む置換型ドーパント源がまた導入されて、チャネル領域において歪みを生じさせる置換的にドープされた膜が作製される。電気的にドープされたSi:CまたはSiGeヘテロエピタキシャル層30は、S/D領域20において選択的に成長し、そしてチャネル領域22において歪みを生じさせる。例示される実施形態において、ヘテロエピタキシャル膜30は、チャネル領域22の表面とほぼ同じ高さである(flush with)。示されるように、選択的堆積は、アモルファス領域上における[例えば、フィールド・アイソレーション領域12(一般的に、酸化ケイ素の形態)およびゲート電極16上のスペーサーキャップ(典型的に、窒化ケイ素)を含む絶縁体上における]堆積を最小化または回避する。
図14は、広がった(extended)ヘテロエピタキシャル膜32を備える上昇された(elevated)S/D領域20を形成するための、選択的堆積の任意の延長を例示する。チャネル領域22の表面より下の広がった膜32の部分は、チャネル領域22に対して横方向の応力を加えるので、基板表面より上の部分は、天然のケイ素格子定数からの格子逸脱をほとんどあるいは全く含む必要がない。従って、ゲルマニウムまたは炭素源ガスは、場合によっては、チャネル領域22の表面より上での選択的堆積の部分について、徐々に減らされるかまたは停止され、そしてトリシラン、第III/V族ドーパント前駆体および塩素フローは継続され得る。
図14の上昇されたS/D構造32は、有利には、基板10の表面上に更なるケイ素材料を提供する。当該分野において公知であるように、引き続いてのプロセッシングの間、絶縁層が堆積され、そしてコンタクト(contacts)が、絶縁膜を通ってソースおよびドレイン領域20まで作製される。更なるケイ素材料は、ケイ化物コンタクトの形成を促進し、これは、コンタクト抵抗(contact resistance)を減少させる(オーミックコンタクトを形成する)。従って、ニッケル、コバルトまたは他の金属が、コンタクトホール中へ堆積され、そして下層のソース/ドレイン領域についての浅い接合(shallow junctions)の電気特性を妨害すること無しに、過剰なケイ素を消費することを可能にする。
図15は、図11の構造が、中間S/D凹部工程無しに、トリシラン、第III/V族ドーパント前駆体およびエッチャント源を使用しての選択的堆積に供される、別の実施形態を示す。この場合、選択的堆積は、ソースおよびドレイン領域を上昇させるためにのみ役立ち、過剰のドープトケイ素34を提供して、浅い接合を破壊すること無しにコンタクトケイ化物化による消費を可能にする。
有利には、トリシラン/第III/V族ドーパント前駆体/ハロゲン含有エッチャントプロセスの選択的性質は、フィールド領域上から過剰な堆積を除去するための引き続いてのパターンおよびエッチ工程を不要にする。不完全な選択性でさえ、有利なことに、高価なマスク工程を必要とするよりもむしろ、絶縁表面上の望まれない堆積を除去するためのタイムド・ウエット・エッチ(timed wet etch)の使用を可能にし得る。更に、優れた膜品質が、比較的高い堆積速度で得られ、スループットを改善する。例えば、特定のプロセス実施形態は、トリシラン、ホスフィンおよび塩素を使用して、リン−ドープされたSiを選択的に堆積させるために使用されて、例えば、ヘテロバイポーラトランジスタ(HBT)のエミッター構造を形成し得る。他の選択的堆積プロセス実施形態は、例えば、約550℃の堆積温度でトリシラン、ホスフィンおよび塩素を使用して、上昇されたソース/ドレイン(elevated source/drain)(ESD)構造、DRAMおよび/またはSRAMのためのコンタクトプラグを形成するために使用され得る。
電気的にドープされたSi:CまたはSiGe層は、上記で議論されるように凹んだ(recessed)ソース/ドレイン領域20において選択的に形成され得る。しかし、Si:CまたはSiGe層はまた、Si:CまたはSiGe層のブランケット堆積(blanket deposition)を包含する方法によって形成され得、エッチングが続き、その結果、単結晶性Si:CまたはSiGeは、凹んだソース/ドレイン領域20内に残る。このような方法の実施形態は、図16A〜16Cにおいて示されるシーケンスによって示される。図16Aは、図12に示される構造と同一であり、そして同一の様式で形成され得る。しかし、図13に示される選択的堆積プロセスとは対照的に、図16Bは、ブランケット堆積プロセスの結果を示しており、ここで、電気的にドープされたヘテロエピタキシャルSi:C膜30がソース/ドレイン領域20を満たし、そしてここで、電気的にドープされた多結晶性Si:C膜30aが、フィールド・アイソレーション領域12およびゲート電極16上に堆積される。置換型炭素を含む電気的にドープされた単結晶性ケイ素膜を堆積するための上記で説明された方法は、電気的にドープされた単結晶性Si:C膜30および電気的にドープされた多結晶性SiC膜30aを堆積するために使用され得る。電気的にドープされた単結晶性Si:C膜30は、好ましくは、応力を維持する条件(厚み、温度)下で堆積される。上記で議論されるように、ソース/ドレイン凹部を満たすより低い格子定数のSi:C材料は、その間のチャネル領域22において引張歪みを生じさせる。好ましくは、電気的ドーピングは、トリシランおよび炭素源に加えて、供給ガスへドーパント水素化物を添加することによって達成される。好ましくは、アルシンまたはホスフィンが使用される。
図16Cは、描かれた構造が、単結晶シリコンに対する多結晶性シリコンの除去のために選択的であるエッチング条件を使用して、多結晶性Si:C膜30aを除去することから生じること以外は、上記図13と類似している。このようなエッチング条件は、当業者に公知である。
図16において例示されるプロセスは、単結晶性Si含有領域(例えば、チャネル領域22)上において引張応力を生じさせること、そして特に、引張応力が加えられた領域(引張応力が適用される領域、例えば、チャネル領域22)におけるキャリア運動性(carrier mobility)を増加させることが望ましい種々の状況において使用され得る。好ましくは、キャリア運動性(例えば、ホール運動性または電子運動性)は、引張応力が加えられていない以外は、引張応力が加えられている領域と実質的に同一である比較可能な領域と比較した場合、少なくとも約10%、より好ましくは少なくとも約20%増加される。
図17は、単結晶Si基板170上への非選択的堆積によって形成されたリン−ドープされたエピタキシャルSi膜165を例示す。例示される実施形態において、Si膜165は、100mg/分のトリシラン流量、2slmのキャリアガス(水素)流量、100sccmの希釈ホスフィン(H中1%)流量、および約60Torrの堆積圧を使用して、約90nm/分の堆積速度で堆積される。得られるSi膜165は、約5x1020原子cm−3のリンを含有し、そして約0.4mΩ・cmの抵抗率を有する。
ある実施形態は、少なくとも約3x1020原子cm−3のn−ドーパントを含みそして約0.7mΩ・cm以下の抵抗率を有する、ドープト結晶性Si含有膜を提供する。このような膜は、本明細書中で記載される方法によって作製され、そして従って、置換型炭素またはゲルマニウムを含有し得る。好ましいドープト結晶性Si含有膜は、約0.5mΩ・cm以下、より好ましくは約0.4mΩ・cm以下の抵抗率を有する。ある実施形態において、ドープト結晶性Si含有膜は、少なくとも約4x1020原子cm−3のn−ドーパントを含む。上記で議論されるように、ドープト結晶性Si含有膜は、約3x1019原子cm−3未満の電気的に不活性なドーパント、さらには約2x1019原子cm−3未満の電気的に不活性なドーパント、なおより好ましくは約1x1019原子cm−3未満の電気的に不活性なドーパントを含み得る。好ましくは、n−ドーパントは、リンまたは砒素を含む。
別の実施形態において、集積回路は、本明細書中で記載されるドープト結晶性Si含有膜、例えば、直ぐ上で記載されるような少なくとも約3x1020原子cm−3のn−ドーパントを含みそして約0.7mΩ・cm以下の抵抗率を有するドープト結晶性Si含有膜あるいはその変形を備える。例えば、ある実施形態は、第1の電気的にドープされた単結晶性Si含有領域と第2の電気的にドープされた単結晶性Si含有領域とを備える集積回路を提供し、該第1の単結晶性Si含有領域および該第2の単結晶性Si含有量の少なくとも1つ(好ましくは両方)は、該第1単結晶性Si含有領域と該第2単結晶性Si含有領域との間に位置する第3の電気的にドープされた単結晶性Si含有領域において引張応力を生じさせるに有効な量の置換型炭素を含み、該第3の電気的にドープされた単結晶性Si含有領域は、比較可能な応力が加えられていない領域と比較した場合、少なくとも約10%のキャリア移動度の増加を示す。該集積回路は、該第1の電気的にドープされた単結晶性Si含有領域がソースを含み、該第2の電気的にドープされた単結晶性Si含有領域がドレインを含み、そして該第3の電気的にドープされた単結晶性Si含有領域がチャネルを含む、1以上のトランジスタを備え得る。このようなトランジスタの例は、図14Cに例示されており、ここで、該第1および第2のSi含有領域はソース/ドレイン30を含み、そして該第3単結晶性Si含有領域はチャネル22を含む。別の実施形態において、集積回路は、該ドープト結晶性Si含有膜を含むコンタクト(例えば、コンタクトブロック(contact block))を備える。別の実施形態において、集積回路は、該ドープト単結晶性Si含有膜を含むエピタキシャルエミッター(epitaxial emitter)を備える。本明細書中で記載されるドープト結晶性Si含有膜は、本明細書中で提供されるガイダンスを考慮して、公知の製造方法を適応させることによって、種々の半導体製造、MEMSデバイス製造およびフラットパネルディスプレイ適用において使用される種々のドープトケイ素構造(上述の集積回路構造を含む)を形成するために使用され得る。
本明細書中で記載されるようなトリシランを使用してのSi含有膜の堆積は、本明細書中で記載されるように行われる場合、従来のケイ素源の使用に対して顕著な利点を提供し得る。例えば、所定の堆積温度で、トリシランを使用してのSi含有膜の堆積は、好ましくは、トリシランの代わりにシランを使用した場合よりも顕著により速い速度で、進行する。好ましい実施形態は、トリシランが約50〜200mg/分の送達速度で基板表面上へ送達される、高速堆積方法を提供する。好ましくは約500℃〜約800°の範囲内の堆積温度での、熱的CVD条件下で、この実施形態の実施は、好ましくは約50Å/分以上、より好ましくは約100Å/分以上、なおより好ましくは約200Å/分以上の速度で、電気的にドープされたSi含有材料の比較的速い堆積を生じさせる(他のケイ素源と比較して)。ある実施形態において、なおより速い堆積速度、例えば約400Å/分以上、より好ましくは約800Å/分以上、なおより好ましくは約1,000Å/分以上が、得られ得る。より速い堆積速度は、言い換えると、堆積されたまま(as-deposited)の膜におけるより高いレベルの置換型(電気的に活性な)ドーパント組み込みを可能にし、引き続いてのドーパント活性化アニールの程度の減少または排除を可能にする。
好ましい電気的にドープされたSi含有膜は、該膜の表面に渡って高度に均一である厚みを有する。堆積が本明細書中で記載されるようにトリシランを使用して行われる場合、得られる電気的にドープされたSi含有膜についてのパーセント厚み不均一性(percent thickness non-uniformity)は、好ましくは、約2%以下である。膜の平均厚みに依存して、下記の表1に示されるようなパーセント厚み不均一性についての更なる値が好ましいかもしれない。表1に示される%厚み不均一性についての各値は、用語“約”が前に付いているかのように理解されるべきである。
Figure 2006216955
一般的に、特定の一連のプロセス条件下で堆積された膜についての膜厚均一性(film thickness uniformity)の測定は、約200mm〜約300mmの範囲の直径を有する均一または混合基板上に膜を堆積することによって成され得る。膜厚均一性は、無作為に選択された直径に沿って複数点厚み測定を行い(ウエハ周縁部での3mm排除領域内での測定値は採用されない)、種々の厚み測定値を平均することにより平均厚みを決定し、そして根平均自乗(rms)変動(root mean square (rms) variability)を測定することによって決定される。膜厚を測定するための好ましい機器は、Thermawave製のOptiprobeTMを使用し、そして好ましい測定方法は、このような機器を使用して無作為に選択されたウエハ直径に沿った49点で膜厚を測定することを包含する。実際には、厚み変動(thickness variability)は、典型的に、このような測定に続いて該機器から直接得られ、そして従って、手で計算する必要が無い。比較を行うために、結果は、パーセンテージとして結果を表現するために、rms厚み変動を平均厚みで割りそして100を掛けることによって算出されたパーセント不均一性として表現され得る。このような測定に利用可能でない表面を有する膜(例えば、その上に1以上の更なる層が適用されている膜、または、集積回路内に含まれる膜)の厚み均一性を測定する場合には、膜を切断して(cross sectioned)そして電子顕微鏡で検査する。膜厚は、切断された膜の最も薄い部分および最も厚い部分で測定され、そして次いでこれら2点間の厚み測定値の範囲(例えば、±6Å)を2つの測定値の合計で割る。この不均一性は、ここでパーセンテージとして表現される。
更に、本明細書中で記載される方法に従って作製される好ましい電気的にドープされた結晶性Si含有膜の組成均一性(compositional uniformity)は、トリシランを使用しないで作製された対応する膜と比較して、著しく改善されている。本発明は、オペレーションのいかなる理論によっても拘束されないが、電気的にドープされたSi含有膜は、従来の前駆体(例えば、シラン、ジクロロシラン(DCS)またはトリクロロシラン(TCS))を使用して堆積された対応のSi含有膜よりも良好である組成均一性の程度を有すると考えられる。更には、堆積されたままの比較的高いレベルの置換型ドーパントを含有する結晶性(例えば、単結晶性または多結晶性)の電気的にドープされたSi含有アロイが、本明細書中で記載される方法によって作製され得る。例えば、結晶性SiGeは、好ましくは、約10原子%Ge以上、より好ましくは約20原子%Ge以上、なおより好ましくは約30原子%Ge以上を含有する。結晶性Si:Cは、好ましくは、約1%〜3.5%の炭素を含有する。結晶性Siは、好ましくは、少なくとも約3x1020原子cm−3の第III/V族ドーパント、より好ましくは少なくとも約4x1020原子cm−3、なおより好ましくは少なくとも約5x1020原子cm−3を含有する。
本発明の別の局面によれば、非水素キャリアガス(non-hydrogen carrier gas)が、上記されるように、好ましくは、第III/V族ドーパント前駆体、エッチャントガス、トリシランガスおよび、必要に応じて、炭素またはゲルマニウム源と組み合わせて、使用される。水素ガス(H)は、半導体プロセッシングのための蒸着において、そして特にエピタキシャル堆積において、使用される最も一般的なキャリアガスである。Hがよく使用されることについて、いくつかの理由が存在する。Hは、高純度で提供され得る。更には、水素の熱的特性は、それがウエハに対して熱的影響を大きくは有さないようなものである。さらに、水素は、還元剤として作用する傾向を有し、その結果、それは、反応チャンバの完全未満の密封から生じる自然酸化膜の形成と戦う。
しかし、本明細書中で記載される第III/V族ドーパント前駆体/トリシラン/エッチャント堆積システムにおいて非水素キャリアガスを使用することから、特段の利点が、今回、見出された。好ましくは、ヘリウム(He)、アルゴン(Ar)、ネオン(Ne)、キセノン(Xe)または窒素ガス(N)、あるいはこのような不活性ガスの組合せが、水素の代わりに使用される。例示される実施形態において、Hのそれと近い熱的挙動を有しそして従ってHキャリアガスの使用からの調節についてリアクターのより少ない調整を必要とするので、Heが使用される。
本明細書中で上述される好ましいトリシラン/塩素/水素システムにおいて、以下を含む多数の可能な反応機構が存在する:
Figure 2006216955
反応式(1)は、該システムにおけるエッチング反応を示す。エッチング(これは、選択性が維持されるために必要とされる)を提供することに加えて、反応式(1)はまた、ケイ素堆積を生じさせる傾向にある、反応式(5)についての反応物を生じさせる。反応式(5)は、右への反応(エッチング)と左への反応(堆積)との間の平衡を示す。好ましくは、条件は、エッチングが絶縁表面に対して優位を占め、一方、堆積が半導体ウインドウ(semiconductor windows)に対して優位を占めるようなものである。理論によって限定されることを望まないが、堆積を提供するSi:Clを生成させながら、選択性のためにエッチングを生じさせるに十分な濃度の塩素ガスを提供することが望ましい。
しかし、フリーのHがキャリアガスとして(即ち、大量に)存在する場合、反応(8)が生じて、HClを生成する。該システムにおいてHClの濃度が増加することは、エッチングの方向に堆積/エッチング反応式(4)および(5)の両方を促進し(drives)、従って所定の“調整された(tuned)”プロセスのための堆積速度を低下させる(drive down)。調整されたプロセス(tuned process)は、反応物濃度が選択的堆積を達成するように調整されているものを示す。
反応式(7)は、Hキャリアガスの存在に起因するHClの発生によって抑制される、更に別の望ましい反応を示す。反応式(7)は、ウエハ表面上に吸着された塩化物のゲッタリング(gettering)を示す。ドーパント水素化物(例えば、アルシン、ホスフィンおよびジボラン(ホスフィンが示される))は、表面塩素原子と反応し、そして揮発性副生成物を形成する傾向にあり、その結果、表面反応部位は、堆積のために解放される。しかし、反応式(4)および(5)の場合のように、HClの濃度を増加されることは、反応式(7)についての平衡を左へシフトすることによって、所望のゲッタリング反応を抑制する傾向にある。
従って、非水素キャリアガス(これは、一般的に、該システムにおいて優勢なガスである)の使用は、反応式(8)によるClの消費を回避し、そしてそれによって、堆積反応(4)、(5)およびゲッタリング反応(7)を抑制することを回避する。Violette et al., J. Electrochem. Soc., Vol. 143 (1996), pp. 3290-3296 および O’Neill et al., J. Electrochem. Soc., Vol. 144 (1997), pp. 3309-3315から再現された図18は、Hキャリアガスの添加が、それらの研究のSi/Clシステムにおいて、堆積反応物SiClの濃度をどのように抑制するかを示す。該プロセスは好ましくはHを使用しないが、Hを最小化する利点は、全て排除すること無しに得られ得ることに注意されたい。好ましくは、主要なキャリアガス(該システムにおいて最多のガス源を示す)は、非水素である。
図19は、キャリアガス、炭素源、第III/V族ドーパント前駆体、トリシランおよびエッチャント源を使用する、好ましいリアクターシステム100を示す。示されるように、精製器(purifier)102は、キャリア源104(例示される実施形態においてヘリウム)の下流に位置する。キャリアガス流のいくらかは、バブラー(bubbler)106へ分流され(shunted)、ここから、キャリアガスは、蒸発されたトリシラン108を運ぶ。あるいは、トリシランは、該液体より上の空間中のトリシランの蒸気圧を増加させるために、単に加熱され得、そしてキャリアガスは、それがその空間を通過するようにトリシランを乗せる。いずれの場合においても、液体反応物源容器(liquid reactant source container)106の下流には、アナライザー110があり、これは、蒸気を介して音の速度を測定することによって、流動しているガスの反応物濃度を測定する。測定値に基づいて、ソフトウエア−制御された下流のマスフローコントローラ(software-controlled downstream mass flow controller)(MFC)112についてのセットポイントは、アナライザー110によって変えられる。このようなアナライザーは、市販されている。
このMFC112を通るフローは、堆積チャンバ122のためのインジェクションマニホルド120の上流の、ガスパネル(gas panel)で、主要キャリアガスMFC114を通る主要キャリアガスおよび他の反応物と合流する。エッチャントガス130の源(例示される実施形態において、塩素)もまた提供される。例示される実施形態において、炭素源132(MMSとして例示される)およびドーパント水素化物源134(ホスフィンが示される)もまた提供される。
示されるように、リアクターシステム100はまた、システム100の種々の制御可能なコンポーネントへ電気的に接続された中央コントローラ(central controller)150を含む。該コントローラは、反応チャンバ122内に収納される基板上において、本明細書中で記載されるような堆積プロセスを実施するように、ガスフロー、温度、圧力等を提供するようにプログラムされる。当業者によって認識されるように、コントローラ150は、典型的に、メモリおよびマイクロプロセッサを含み、そして、ソフトウエア、ハードウエアまたはこれら2つの組合せによってプログラムされ得、そして該コントローラの機能性は、異なる物理的配置で配置されたプロセッサの中で分散され得る。従って、コントローラ150はまた、システム100に渡って分散された複数のコントローラを示し得る。
従って、第III/V族前駆体/トリシラン/塩素/非水素キャリアガスの組合せは、ケイ素含有材料(特に、エピタキシャル層)について増強された堆積速度を生じさせる。1つの実施形態において、ガスフロー速度は、絶縁材料内の半導体ウインドウ上/中(on/in)におけるSi:Cの選択的堆積を達成するように、圧力および温度と組み合わせて、選択される。
例示される実施形態において、炭素源132がまた提供され、そして上記に開示されるように、トリシランおよび塩素と組み合わせて、高い置換型炭素含有量が達成され得る。別の実施形態において、ドーパント水素化物源134がまた、増加された導電率を有するインサイチュドープト半導体層(in situ doped semiconductor layers)を生じさせるために、好ましくは提供される。好ましくは、選択的堆積のために、ドーパント水素化物は、アルシンまたはホスフィンであり、そして該層はn−型ドープされる。最も好ましくは、ドーパント水素化物のための希釈不活性ガスもまた、非水素不活性ガスである。従って、ホスフィンおよびMMSは、好ましくは、例えばヘリウム中において、それらのソース容器(source containers)132、134に貯蔵される。典型的なドーパント水素化物濃度は、ヘリウム中0.1%〜5%、より典型的にはヘリウム中0.5%〜1.0%である(アルシンおよびホスフィンについて)。典型的な炭素源濃度は、ヘリウム中5%〜50%、より典型的にはヘリウム中10%〜30%である。例えば、実験は、ヘリウム中20%MMSで行われる。
第III/V族ドーパント前駆体、トリシランおよび塩素ガスと組み合わせての非水素不活性キャリアガスの利点についての前述の議論はまた、他の半導体化合物について適用可能である。例えば、第III/V族ドーパント前駆体、トリシラン、ゲルマン、塩素および非水素キャリアガスは、電気的にドープされたSiGeについて同一の増強されそして選択的な堆積利益を得る。例えば、p−型ドープト層は、ヘリウム中1%ジボランの添加で得られ得る。
実施例1〜4
一連のリン−ドープされたケイ素膜を、以下のように堆積した:8インチのパターン化されていないSi<100>ウエハ基板(eight-inch unpatterned Si<100> wafer substrate)を、Epsilon E2500TMリアクターシステムへロードした。次いで、該基板を20slmの水素流量、900℃で、リアクターチャンバ中へ導入し、そして基板を1分間安定化させた。次いで、水素フローを2slmへ低下させ、基板の温度を550℃に低下させた。次いで、基板を10秒間安定化させ、その後、100mg/分のトリシランおよび200sccmの希釈ホスフィン(H中1%)のフローを、下記表2に示されるように、4〜32Torrの堆積圧で3〜5分間導入した。リン−ドープされたエピタキシャルケイ素膜が、基板上にエピタキシャル的に堆積された。次いで、該基板を、リアクターから取り出し、そしてロードロックへ戻した。次いで、該ウエハをリアクターシステムから取り出した。膜の抵抗率は、表2に示される通りに測定された。膜の厚みを測定しそして使用して、表2に示される成長速度を算出した。膜の堆積についての成長速度 対 堆積圧のプロットを、図20に示す。
Figure 2006216955
表2および図20に示される結果は、極めて低い抵抗率を有する電気的にドープされたケイ素膜が、ドーパントを活性化するための堆積後の熱処理無しに、本明細書で記載される方法に従って、高い成長速度かつ比較的低い堆積温度でトリシランを使用して堆積され得ることを実証している。
本明細書中で記載される全ての特許、特許出願および論文は、それらの全体が、本明細書中で参考として援用される。種々の省略、付加および修飾が、本発明の範囲から逸脱することなく上記の方法に対してなされ得、そしてこのような修飾および改変の全てが、添付の特許請求の範囲によって規定される本発明の範囲内に入ると意図されることは、当業者によって理解される。
図1は、トリシランを使用して堆積された一連の膜についての、ドーパント前駆体(アルシン)フローの関数としての、ドープトケイ素膜抵抗率のグラフである。 図2Aは、トリシラン対アルシンの一定流量比で堆積された一連の膜についての、成長速度の関数としての、砒素−ドープされたSi膜抵抗率のグラフである。 図2Bは、トリシラン対アルシンの一定流量比での、トリシラン流量の関数としての、膜堆積速度のプロットである。 図3は、3つの異なるアルシン流量で、600℃でトリシランおよびアルシンを使用して堆積された3つの膜についての、深さ(SIMSによる)の関数としての、As濃度のプロットである。 図4は、3つの異なるアルシン流量で、550℃でトリシランおよびアルシンを使用して堆積された3つの膜についての、深さ(SIMSによる)の関数としての、As濃度のプロットである。 図5は、トリシランおよびアルシンを使用して堆積された一連のケイ素膜についての、成長速度に対する堆積温度の効果を示すプロットである。 図6Aは、炭素および砒素の両方で置換的にドープされたケイ素膜についての、成長速度の関数としての、置換型炭素含有量のグラフを示す。グラフはまた、それらの膜の抵抗率を示す(左軸もまた、mΩ・cmの単位)。 図6Bは、トリシラン流量の関数としての、それらの膜の成長速度を示すプロットである。 図7は、一定トリシラン流量および一定アルシン流量での、モノメチルシラン(MMS)流量の関数としての、砒素−ドープされたSi:C膜中の置換型炭素含有量のグラフを示す。 図8は、一定トリシラン流量および一定MMS流量での、砒素−ドープされたSi:C膜の堆積についての、アルシン流量の関数としての、置換型炭素含有量および抵抗率のグラフを示す。 図9A〜9Dは、トリシラン、炭素源および第III/V族ドーパント源を使用して堆積された電気的にドープされたSi:C膜の特性に対する、温度および成長速度の効果を示す。 図9A〜9Dは、トリシラン、炭素源および第III/V族ドーパント源を使用して堆積された電気的にドープされたSi:C膜の特性に対する、温度および成長速度の効果を示す。 図9A〜9Dは、トリシラン、炭素源および第III/V族ドーパント源を使用して堆積された電気的にドープされたSi:C膜の特性に対する、温度および成長速度の効果を示す。 図9A〜9Dは、トリシラン、炭素源および第III/V族ドーパント源を使用して堆積された電気的にドープされたSi:C膜の特性に対する、温度および成長速度の効果を示す。 図10は、フィールド酸化膜規定(field oxide definition)後の、半導体基板の概略断面図であり、露出した状態で絶縁体および半導体表面を残している。 図11は、アクティブ・エリア・ウインドウ(active area window)内におけるトランジスタゲート電極の形成後の、図10の構造を示す。 図12は、ゲート電極のいずれかの側面上におけるソースおよびドレイン領域を凹ませた後の、図11の構造を示す。 図13は、好ましい実施形態に従う、凹んだ領域内での半導体膜の選択的堆積後の、図12の構造を示す。 図14は、上昇されたソース/ドレイン構造を形成する、任意の継続された選択的堆積後の、図12の構造を示す。 図15は、別の好ましい実施形態に従う、半導体ウインドウを露出させ、そして上昇されたソース/ドレイン構造を形成する選択的堆積を行った後の、図11の構造を示す。 図16A〜Cは、半導体基板の一連の概略断面図を示しており、そして別の好ましい実施形態に従う、ブランケット堆積(blanket deposition)およびエッチングによって、ソース/ドレイン領域を形成させる方法を例示する。 図16A〜Cは、半導体基板の一連の概略断面図を示しており、そして別の好ましい実施形態に従う、ブランケット堆積(blanket deposition)およびエッチングによって、ソース/ドレイン領域を形成させる方法を例示する。 図16A〜Cは、半導体基板の一連の概略断面図を示しており、そして別の好ましい実施形態に従う、ブランケット堆積(blanket deposition)およびエッチングによって、ソース/ドレイン領域を形成させる方法を例示する。 図17は、シリコン基板上に堆積されたリン−ドープされたエピタキシャル単結晶性ケイ素膜の概略断面図である。 図18は、水素キャリアガスの添加有りまたは無しでの、種々の塩化ケイ素種を含む系についての、温度の関数としての、種々の反応物の熱力学的平衡を示す2つのグラフを示す。 図19は、好ましい実施形態に従ってケイ素含有膜を選択的に堆積するための、トリシラン、リン源、炭素源、エッチャント源、およびキャリアガスを使用する系について設定されるリアクターの概略図である。 図20は、トリシランおよびホスフィン(H中1%)を使用して堆積された一連の膜についての、堆積圧の関数としての、リン−ドープされたケイ素膜成長速度のグラフである。堆積された膜の各々の抵抗率(mΩ・cmの単位)もまた示されている。

Claims (37)

  1. 以下:
    チャンバ内に配置された基板を提供すること;
    トリシランおよびドーパント前駆体を混合して供給ガスを形成すること(該ドーパント前駆体は、電気的ドーパント(electrical dopant)を含む);
    化学蒸着条件下で、該基板を該供給ガスと接触させること;および
    少なくとも約10nm/分の堆積速度で、該基板上にドープト結晶性Si含有膜を堆積させること(該ドープト結晶性Si含有膜は、約1.0mΩ・cm以下の抵抗率を有する)
    を包含する、ドープト結晶性Si含有膜の堆積方法。
  2. 前記電気的ドーパントがn型ドーパントである、請求項1に記載の方法。
  3. 前記電気的ドーパントが砒素を含む、請求項2に記載の方法。
  4. 前記電気的ドーパントがリンを含む、請求項2に記載の方法。
  5. 前記電気的ドーパントがp型ドーパントである、請求項1に記載の方法。
  6. 前記電気的ドーパントがホウ素を含む、請求項5に記載の方法。
  7. 更に、炭素源を前記トリシランおよび前記ドーパント前駆体と混合して前記供給ガスを形成することを包含する、請求項1〜6のいずれか1項に記載の方法。
  8. 前記炭素源が、モノシリルメタン、ジシリルメタン、トリシリルメタン、テトラシリルメタン、モノメチルシラン、ジメチルシランおよび1,3−ジシラブタン(1,3-disilabutane)からなる群から選択される、請求項7に記載の方法。
  9. 前記炭素源がモノメチルシランを含み、そして前記ドーパント前駆体が砒素を含む、請求項6または7に記載の方法。
  10. 前記炭素源がモノメチルシランを含み、そして前記ドーパント前駆体がリンを含む、請求項6または7に記載の方法。
  11. 更に、ゲルマニウム源を前記トリシランおよび前記ドーパント前駆体と混合して前記供給ガスを形成することを包含する、請求項1〜10のいずれか1項に記載の方法。
  12. 前記ゲルマニウム源がゲルマンを含む、請求項11に記載の方法。
  13. 前記堆積速度が少なくとも約20nm/分である、請求項1〜12のいずれか1項に記載の方法。
  14. 更に、前記供給ガスを前記チャンバへ導入することを包含する、請求項1〜13のいずれか1項に記載の方法。
  15. 前記抵抗率が、堆積されたままの(as-deposited)抵抗率である、請求項1〜14のいずれか1項に記載の方法。
  16. 前記ドープト結晶性Si含有膜が、少なくとも約3×1020原子cm−3の電気的ドーパントを含む、請求項1〜15のいずれか1項に記載の方法。
  17. 前記ドープト結晶性Si含有膜が、少なくとも約4×1020原子cm−3の電気的ドーパントを含む、請求項1〜16のいずれか1項に記載の方法。
  18. 前記ドープト結晶性Si含有膜が、少なくとも約5×1020原子cm−3の電気的に不活性なドーパントを含む、請求項1〜17のいずれか1項に記載の方法。
  19. 前記ドープト結晶性Si含有膜が、約3×1019原子cm−3未満の電気的に不活性なドーパントを含む、請求項1〜18のいずれか1項に記載の方法。
  20. 前記ドープト結晶性Si含有膜が、約2×1019原子cm−3未満の電気的に不活性なドーパントを含む、請求項1〜19のいずれか1項に記載の方法。
  21. 前記ドープト結晶性Si含有膜が、約1×1019原子cm−3未満の電気的に不活性なドーパントを含む、請求項1〜20のいずれか1項に記載の方法。
  22. 前記ドープト結晶性Si含有膜が、約0.7mΩ・cm以下の抵抗率を有する、請求項1〜21のいずれか1項に記載の方法。
  23. 前記ドープト結晶性Si含有膜が、約0.5mΩ・cm以下の抵抗率を有する、請求項1〜22のいずれか1項に記載の方法。
  24. 前記ドープト結晶性Si含有膜が、約0.4mΩ・cm以下の抵抗率を有する、請求項1〜23のいずれか1項に記載の方法。
  25. 少なくとも約3×1020原子cm−3のn−ドーパントを含みそして0.7mΩ・cm以下の抵抗率を有する、ドープト単結晶性Si含有膜。
  26. 前記n−ドーパントがリンまたは砒素を含む、請求項25に記載のドープト単結晶性Si含有膜。
  27. 前記ドープト結晶性Si含有膜が、置換型(substitutional)炭素を更に含む、請求項25または26に記載のドープト単結晶性Si含有膜。
  28. 前記ドープト結晶性Si含有膜が、置換型ゲルマニウムを更に含む、請求項25〜27のいずれか1項に記載のドープト単結晶性Si含有膜。
  29. 約0.5mΩ・cm以下の抵抗率を有する、請求項25〜28のいずれか1項に記載のドープト単結晶性Si含有膜。
  30. 約0.4mΩ・cm以下の抵抗率を有する、請求項25〜29のいずれか1項に記載のドープト単結晶性Si含有膜。
  31. 少なくとも約4×1020原子cm−3のn−ドーパントを含む、請求項25〜30のいずれか1項に記載のドープト単結晶性Si含有膜。
  32. 約3×1019原子cm−3未満の電気的に不活性なドーパントを含む、請求項25〜31のいずれか1項に記載のドープト単結晶性Si含有膜。
  33. 約2×1019原子cm−3未満の電気的に不活性なドーパントを含む、請求項25〜32のいずれか1項に記載のドープト単結晶性Si含有膜。
  34. 約1×1019原子cm−3未満の電気的に不活性なドーパントを含む、請求項25〜33のいずれか1項に記載のドープト単結晶性Si含有膜。
  35. 請求項25〜34のいずれか1項に記載のドープト単結晶性Si含有膜を備える、集積回路。
  36. 前記ドープト単結晶性Si含有膜を含むコンタクトブロックを備える、請求項35に記載の集積回路。
  37. 前記ドープト単結晶性Si含有膜を含むエピタキシャルエミッターを備える、請求項35または36に記載の集積回路。
JP2006025710A 2005-02-04 2006-02-02 電気的に活性なドープト結晶性Si含有膜の堆積方法 Active JP5173140B2 (ja)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US64999005P 2005-02-04 2005-02-04
US60/649,990 2005-02-04
US66343405P 2005-03-18 2005-03-18
US60/663,434 2005-03-18
US66842005P 2005-04-04 2005-04-04
US60/668,420 2005-04-04

Publications (3)

Publication Number Publication Date
JP2006216955A true JP2006216955A (ja) 2006-08-17
JP2006216955A5 JP2006216955A5 (ja) 2009-03-12
JP5173140B2 JP5173140B2 (ja) 2013-03-27

Family

ID=36514645

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2007554163A Pending JP2008530782A (ja) 2005-02-04 2006-01-31 シリコン含有膜の選択的堆積
JP2007554171A Active JP5571287B2 (ja) 2005-02-04 2006-01-31 化学気相成長によって置換的に炭素でドーピングされた結晶性Si含有材料を製造する方法
JP2006025710A Active JP5173140B2 (ja) 2005-02-04 2006-02-02 電気的に活性なドープト結晶性Si含有膜の堆積方法
JP2011265240A Active JP5295344B2 (ja) 2005-02-04 2011-12-02 シリコン含有膜の選択的堆積

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2007554163A Pending JP2008530782A (ja) 2005-02-04 2006-01-31 シリコン含有膜の選択的堆積
JP2007554171A Active JP5571287B2 (ja) 2005-02-04 2006-01-31 化学気相成長によって置換的に炭素でドーピングされた結晶性Si含有材料を製造する方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2011265240A Active JP5295344B2 (ja) 2005-02-04 2011-12-02 シリコン含有膜の選択的堆積

Country Status (6)

Country Link
US (5) US7687383B2 (ja)
EP (2) EP1846595A1 (ja)
JP (4) JP2008530782A (ja)
KR (2) KR20070100401A (ja)
TW (2) TWI385714B (ja)
WO (2) WO2006083821A1 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008108929A (ja) * 2006-10-26 2008-05-08 Fujitsu Ltd 半導体装置とその製造方法
JP2011077322A (ja) * 2009-09-30 2011-04-14 Tokyo Electron Ltd 結晶性珪素膜の成膜方法およびプラズマcvd装置
JP2012049248A (ja) * 2010-08-25 2012-03-08 Renesas Electronics Corp 半導体装置およびその製造方法
US8501571B2 (en) 2009-06-19 2013-08-06 Fujitsu Semiconductor Limited Method of manufacturing semiconductor device having silicon carbide layers containing phosphorus
JP2019537840A (ja) * 2016-11-03 2019-12-26 ユ−ジーン テクノロジー カンパニー.リミテッド 低温エピタキシャル層の形成方法

Families Citing this family (397)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4866534B2 (ja) 2001-02-12 2012-02-01 エーエスエム アメリカ インコーポレイテッド 半導体膜の改良された堆積方法
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
JP3664252B2 (ja) * 2002-11-19 2005-06-22 ソニー株式会社 負極およびそれを用いた電池
US7153772B2 (en) * 2003-06-12 2006-12-26 Asm International N.V. Methods of forming silicide films in semiconductor devices
WO2006044268A1 (en) * 2004-10-13 2006-04-27 Dow Global Technologies Inc. Catalysed diesel soot filter and process for its use
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7687383B2 (en) 2005-02-04 2010-03-30 Asm America, Inc. Methods of depositing electrically active doped crystalline Si-containing films
KR100632463B1 (ko) * 2005-02-07 2006-10-11 삼성전자주식회사 에피택셜 반도체 기판의 제조 방법과 이를 이용한 이미지센서의 제조 방법, 에피택셜 반도체 기판 및 이를 이용한이미지 센서
JP4654710B2 (ja) * 2005-02-24 2011-03-23 信越半導体株式会社 半導体ウェーハの製造方法
KR100641063B1 (ko) * 2005-08-26 2006-11-01 삼성전자주식회사 단결정 구조물 형성 방법 및 이를 이용한 반도체 장치의제조 방법
KR20080089403A (ko) * 2005-12-22 2008-10-06 에이에스엠 아메리카, 인코포레이티드 도핑된 반도체 물질들의 에피택시 증착
US7709391B2 (en) * 2006-01-20 2010-05-04 Applied Materials, Inc. Methods for in-situ generation of reactive etch and growth specie in film formation processes
US7579248B2 (en) * 2006-02-13 2009-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Resolving pattern-loading issues of SiGe stressor
US7598178B2 (en) * 2006-03-24 2009-10-06 Applied Materials, Inc. Carbon precursors for use during silicon epitaxial film formation
KR101074186B1 (ko) * 2006-04-07 2011-10-14 어플라이드 머티어리얼스, 인코포레이티드 에피택셜 필름 형성을 위한 클러스터 툴
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7655542B2 (en) * 2006-06-23 2010-02-02 Applied Materials, Inc. Methods and apparatus for depositing a microcrystalline silicon film for photovoltaic device
US7473594B2 (en) * 2006-07-25 2009-01-06 International Business Machines Corporation Raised STI structure and superdamascene technique for NMOSFET performance enhancement with embedded silicon carbon
DE112007001813T5 (de) * 2006-07-31 2009-07-09 Applied Materials, Inc., Santa Clara Verfahren zum Steuern der Morphologie während der Bildung einer epitaktischen Schicht
CN101496153A (zh) * 2006-07-31 2009-07-29 应用材料股份有限公司 形成含碳外延硅层的方法
JP2008041734A (ja) * 2006-08-02 2008-02-21 Sony Corp 半導体装置および半導体装置の製造方法
US7432559B2 (en) * 2006-09-19 2008-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide formation on SiGe
US7976634B2 (en) * 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
US7741200B2 (en) * 2006-12-01 2010-06-22 Applied Materials, Inc. Formation and treatment of epitaxial layer containing silicon and carbon
US20080132039A1 (en) * 2006-12-01 2008-06-05 Yonah Cho Formation and treatment of epitaxial layer containing silicon and carbon
US7837790B2 (en) * 2006-12-01 2010-11-23 Applied Materials, Inc. Formation and treatment of epitaxial layer containing silicon and carbon
US7960236B2 (en) * 2006-12-12 2011-06-14 Applied Materials, Inc. Phosphorus containing Si epitaxial layers in N-type source/drain junctions
US7897495B2 (en) * 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
US20080138955A1 (en) * 2006-12-12 2008-06-12 Zhiyuan Ye Formation of epitaxial layer containing silicon
US8394196B2 (en) * 2006-12-12 2013-03-12 Applied Materials, Inc. Formation of in-situ phosphorus doped epitaxial layer containing silicon and carbon
US7528045B2 (en) * 2007-01-31 2009-05-05 United Microelectronics Corp. MOS transistor and manufacturing methods thereof
US9064960B2 (en) * 2007-01-31 2015-06-23 Applied Materials, Inc. Selective epitaxy process control
US8367548B2 (en) * 2007-03-16 2013-02-05 Asm America, Inc. Stable silicide films and methods for making the same
US7833883B2 (en) * 2007-03-28 2010-11-16 Intel Corporation Precursor gas mixture for depositing an epitaxial carbon-doped silicon film
US7553717B2 (en) * 2007-05-11 2009-06-30 Texas Instruments Incorporated Recess etch for epitaxial SiGe
JP2009064996A (ja) * 2007-09-07 2009-03-26 Sony Corp 半導体装置およびその製造方法
US7759199B2 (en) 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US7776698B2 (en) * 2007-10-05 2010-08-17 Applied Materials, Inc. Selective formation of silicon carbon epitaxial layer
US7772074B2 (en) * 2007-10-18 2010-08-10 Applied Materials, Inc. Method of forming conformal silicon layer for recessed source-drain
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US7772097B2 (en) 2007-11-05 2010-08-10 Asm America, Inc. Methods of selectively depositing silicon-containing films
FR2925762A1 (fr) * 2007-12-21 2009-06-26 Soitec Silicon On Insulator Technologies Sa Procede de fabrication d'une heterostructure comprenant un depot epitaxial de silicium, et heterostructure correspondante
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
US7833885B2 (en) 2008-02-11 2010-11-16 Applied Materials, Inc. Microcrystalline silicon thin film transistor
US8076222B2 (en) * 2008-02-11 2011-12-13 Applied Materials, Inc. Microcrystalline silicon thin film transistor
DE102008011185A1 (de) * 2008-02-27 2009-09-03 Osram Opto Semiconductors Gmbh Verfahren zur Herstellung einer dotierten organischen halbleitenden Schicht
JP5343371B2 (ja) * 2008-03-05 2013-11-13 株式会社Sumco シリコン基板とその製造方法
US7947552B2 (en) * 2008-04-21 2011-05-24 Infineon Technologies Ag Process for the simultaneous deposition of crystalline and amorphous layers with doping
KR101266135B1 (ko) * 2008-06-03 2013-05-27 도쿄엘렉트론가부시키가이샤 실리콘 함유 막의 저온 증착
US8471307B2 (en) * 2008-06-13 2013-06-25 Texas Instruments Incorporated In-situ carbon doped e-SiGeCB stack for MOS transistor
US8507337B2 (en) * 2008-07-06 2013-08-13 Imec Method for doping semiconductor structures and the semiconductor device thereof
DE102008035816B4 (de) * 2008-07-31 2011-08-25 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG, 01109 Leistungssteigerung in PMOS- und NMOS-Transistoren durch Verwendung eines eingebetteten verformten Halbleitermaterials
US7859051B2 (en) * 2008-08-19 2010-12-28 Infineon Technologies Austria Ag Semiconductor device with a reduced band gap and process
WO2010024987A1 (en) 2008-08-27 2010-03-04 S.O.I.Tec Silicon On Insulator Technologies Methods of fabricating semiconductor structures or devices using layers of semiconductor material having selected or controlled lattice parameters
DE102009006886B4 (de) 2009-01-30 2012-12-06 Advanced Micro Devices, Inc. Verringerung von Dickenschwankungen einer schwellwerteinstellenden Halbleiterlegierung durch Verringern der Strukturierungsungleichmäßigkeiten vor dem Abscheiden der Halbleiterlegierung
WO2010086152A1 (en) * 2009-01-30 2010-08-05 Advanced Micro Devices, Inc. Reduction of thickness variations of a threshold adjusting semiconductor alloy by reducing patterning non-uniformities prior to depositing the semiconductor alloy
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8486191B2 (en) 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8022488B2 (en) * 2009-09-24 2011-09-20 International Business Machines Corporation High-performance FETs with embedded stressors
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
CN102741999B (zh) 2009-11-18 2015-07-15 Soitec公司 使用玻璃键合层制造半导体结构和器件的方法,和用所述方法形成的半导体结构和器件
US8598003B2 (en) 2009-12-21 2013-12-03 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication
US9117905B2 (en) * 2009-12-22 2015-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method for incorporating impurity element in EPI silicon process
US9331174B2 (en) * 2010-04-15 2016-05-03 Globalfoundries Inc. Method for improving device performance using epitaxially grown silicon carbon (SiC) or silicon-germanium (SiGe)
JP2013531899A (ja) 2010-07-02 2013-08-08 マシスン トライ−ガス インコーポレイテッド Si−含有材料および置換的にドーピングされた結晶性si−含有材料の選択エピタキシー
US9011599B2 (en) * 2010-07-14 2015-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of temperature determination for deposition reactors
EP2416350A1 (en) * 2010-08-06 2012-02-08 Imec A method for selective deposition of a semiconductor material
US8685845B2 (en) * 2010-08-20 2014-04-01 International Business Machines Corporation Epitaxial growth of silicon doped with carbon and phosphorus using hydrogen carrier gas
WO2012029661A1 (ja) * 2010-09-01 2012-03-08 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US8551845B2 (en) 2010-09-21 2013-10-08 International Business Machines Corporation Structure and method for increasing strain in a device
US8053344B1 (en) 2010-09-21 2011-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming integrated circuits
US8778767B2 (en) 2010-11-18 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and fabrication methods thereof
US9023721B2 (en) 2010-11-23 2015-05-05 Soitec Methods of forming bulk III-nitride materials on metal-nitride growth template layers, and structures formed by such methods
FR2968678B1 (fr) 2010-12-08 2015-11-20 Soitec Silicon On Insulator Procédés pour former des matériaux a base de nitrure du groupe iii et structures formées par ces procédés
FR2968830B1 (fr) 2010-12-08 2014-03-21 Soitec Silicon On Insulator Couches matricielles ameliorees pour le depot heteroepitaxial de materiaux semiconducteurs de nitrure iii en utilisant des procedes hvpe
US20120190216A1 (en) * 2011-01-20 2012-07-26 International Business Machines Corporation Annealing techniques for high performance complementary metal oxide semiconductor (cmos) device fabrication
US20120187505A1 (en) * 2011-01-25 2012-07-26 International Business Machines Corporation Self-aligned III-V MOSFET fabrication with in-situ III-V epitaxy and in-situ metal epitaxy and contact formation
WO2012102755A1 (en) * 2011-01-28 2012-08-02 Applied Materials, Inc. Carbon addition for low resistivity in situ doped silicon epitaxy
EP2673799B1 (en) * 2011-02-08 2022-08-31 Applied Materials, Inc. Epitaxy of high tensile silicon alloy for tensile strain applications
US10011920B2 (en) * 2011-02-23 2018-07-03 International Business Machines Corporation Low-temperature selective epitaxial growth of silicon for device integration
US20120276695A1 (en) * 2011-04-29 2012-11-01 International Business Machines Corporation Strained thin body CMOS with Si:C and SiGe stressor
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US9218962B2 (en) * 2011-05-19 2015-12-22 Globalfoundries Inc. Low temperature epitaxy of a semiconductor alloy including silicon and germanium employing a high order silane precursor
US9537004B2 (en) 2011-05-24 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain formation and structure
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
WO2013009505A2 (en) 2011-07-13 2013-01-17 Applied Materials, Inc. Methods of manufacturing thin film transistor devices
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN103828061B (zh) 2011-10-07 2018-02-13 应用材料公司 使用氩气稀释来沉积含硅层的方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8674453B2 (en) 2011-12-13 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming stressor regions in a semiconductor device
US8723266B2 (en) 2011-12-13 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. Pinch-off control of gate edge dislocation
US20130193492A1 (en) * 2012-01-30 2013-08-01 International Business Machines Corporation Silicon carbon film structure and method
US9190471B2 (en) 2012-04-13 2015-11-17 Globalfoundries U.S.2 Llc Semiconductor structure having a source and a drain with reverse facets
US9012310B2 (en) 2012-06-11 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation of source and drain regions
JP6019938B2 (ja) * 2012-08-30 2016-11-02 富士電機株式会社 炭化珪素半導体装置の製造方法
US8860040B2 (en) 2012-09-11 2014-10-14 Dow Corning Corporation High voltage power semiconductor devices on SiC
US20140070358A1 (en) * 2012-09-12 2014-03-13 Globalfoundries Inc. Method of tailoring silicon trench profile for super steep retrograde well field effect transistor
KR20140039544A (ko) * 2012-09-24 2014-04-02 삼성전자주식회사 반도체 소자 및 그 제조 방법
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9018639B2 (en) 2012-10-26 2015-04-28 Dow Corning Corporation Flat SiC semiconductor substrate
US9059212B2 (en) 2012-10-31 2015-06-16 International Business Machines Corporation Back-end transistors with highly doped low-temperature contacts
US9099421B2 (en) * 2012-10-31 2015-08-04 Taiwan Semiconductor Manufacturing Company Limited Surface profile for semiconductor region
US8912071B2 (en) 2012-12-06 2014-12-16 International Business Machines Corporation Selective emitter photovoltaic device
US9306106B2 (en) 2012-12-18 2016-04-05 International Business Machines Corporation Monolithic integration of heterojunction solar cells
US8642378B1 (en) 2012-12-18 2014-02-04 International Business Machines Corporation Field-effect inter-digitated back contact photovoltaic device
US8900958B2 (en) 2012-12-19 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation mechanisms of source and drain regions
US9252008B2 (en) 2013-01-11 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation mechanisms of source and drain regions
US8853039B2 (en) 2013-01-17 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction for formation of epitaxial layer in source and drain regions
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9738991B2 (en) 2013-02-05 2017-08-22 Dow Corning Corporation Method for growing a SiC crystal by vapor deposition onto a seed crystal provided on a supporting shelf which permits thermal expansion
US9017804B2 (en) 2013-02-05 2015-04-28 Dow Corning Corporation Method to reduce dislocations in SiC crystal growth
US9797064B2 (en) 2013-02-05 2017-10-24 Dow Corning Corporation Method for growing a SiC crystal by vapor deposition onto a seed crystal provided on a support shelf which permits thermal expansion
US9640699B2 (en) 2013-02-08 2017-05-02 International Business Machines Corporation Interdigitated back contact heterojunction photovoltaic device
US9859455B2 (en) 2013-02-08 2018-01-02 International Business Machines Corporation Interdigitated back contact heterojunction photovoltaic device with a floating junction front surface field
US8940640B2 (en) * 2013-03-13 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure of semiconductor device
US9029226B2 (en) 2013-03-13 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for doping lightly-doped-drain (LDD) regions of finFET devices
US9093468B2 (en) 2013-03-13 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetric cyclic depositon and etch process for epitaxial formation mechanisms of source and drain regions
US8877592B2 (en) 2013-03-14 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial growth of doped film for source and drain regions
US8940614B2 (en) 2013-03-15 2015-01-27 Dow Corning Corporation SiC substrate with SiC epitaxial film
JP6155063B2 (ja) * 2013-03-19 2017-06-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US9293534B2 (en) 2014-03-21 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of FinFET devices
US20150214331A1 (en) * 2014-01-30 2015-07-30 Globalfoundries Inc. Replacement metal gate including dielectric gate material
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299587B2 (en) 2014-04-10 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Microwave anneal (MWA) for defect recovery
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9279192B2 (en) 2014-07-29 2016-03-08 Dow Corning Corporation Method for manufacturing SiC wafer fit for integration with power device manufacturing technology
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
DE102015100860A1 (de) 2014-08-22 2016-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Metallunempfindliche Epitaxiebildung
US10263108B2 (en) * 2014-08-22 2019-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-insensitive epitaxy formation
US10246325B2 (en) * 2014-09-03 2019-04-02 Infineon Technologies Ag MEMS device and method for manufacturing a MEMS device
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9318318B1 (en) 2015-01-05 2016-04-19 International Business Machines Corporation 3D atomic layer gate or junction extender
US9816180B2 (en) * 2015-02-03 2017-11-14 Asm Ip Holding B.V. Selective deposition
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102311055B1 (ko) 2015-04-10 2021-10-12 어플라이드 머티어리얼스, 인코포레이티드 선택적 에피택셜 성장을 위한 성장률을 증강시키기 위한 방법
JP2017010967A (ja) * 2015-06-16 2017-01-12 株式会社Flosfia 成膜方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US10204790B2 (en) 2015-07-28 2019-02-12 Asm Ip Holding B.V. Methods for thin film deposition
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
WO2017091345A1 (en) * 2015-11-25 2017-06-01 Applied Materials, Inc. New materials for tensile stress and low contact resistance and method of forming
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN109072426B (zh) * 2016-02-26 2021-12-03 弗萨姆材料美国有限责任公司 组合物和使用其沉积含硅膜的方法
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US9853129B2 (en) 2016-05-11 2017-12-26 Applied Materials, Inc. Forming non-line-of-sight source drain extension in an nMOS finFET using n-doped selective epitaxial growth
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US9831124B1 (en) 2016-10-28 2017-11-28 Globalfoundries Inc. Interconnect structures
US9865730B1 (en) * 2016-10-31 2018-01-09 International Business Machines Corporation VTFET devices utilizing low temperature selective epitaxy
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10453685B2 (en) 2017-03-31 2019-10-22 Asm Ip Holding B.V. Forming semiconductor device by providing an amorphous silicon core with a hard mask layer
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10290631B2 (en) * 2017-05-05 2019-05-14 Newport Fab, Llc Linearity and lateral isolation in a BiCMOS process through counter-doping of epitaxial silicon region
US10319716B2 (en) 2017-05-05 2019-06-11 Newport Fab, Llc Substrate isolation for low-loss radio frequency (RF) circuits
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
FR3068506B1 (fr) * 2017-06-30 2020-02-21 Soitec Procede pour preparer un support pour une structure semi-conductrice
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) * 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) * 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10453729B2 (en) 2017-09-13 2019-10-22 Toshiba Memory Corporation Substrate treatment apparatus and substrate treatment method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) * 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US20190131454A1 (en) * 2017-11-01 2019-05-02 Qualcomm Incorporated Semiconductor device with strained silicon layers on porous silicon
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
CN110707006B (zh) * 2018-07-09 2023-10-17 日升存储公司 锑掺杂的硅和硅锗膜的原位制备的方法
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11009455B2 (en) * 2018-07-31 2021-05-18 Applied Materials, Inc. Precursor delivery system and methods related thereto
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) * 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
RU2733941C2 (ru) * 2019-04-01 2020-10-08 Федеральное государственное бюджетное образовательное учреждение высшего образования "Кабардино-Балкарский государственный университет им. Х.М. Бербекова" (КБГУ) Способ изготовления полупроводниковой структуры
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) * 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
CN110592665A (zh) * 2019-08-09 2019-12-20 上海新昇半导体科技有限公司 一种半导体薄膜平坦度改善的方法
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
WO2021097424A1 (en) * 2019-11-15 2021-05-20 The Johns Hopkins University Substrate directed synthesis of transition-metal dichalcogenide crystals with tunable dimensionality and optical properties
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
KR20220080543A (ko) 2020-12-07 2022-06-14 삼성전자주식회사 반도체 장치
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003152177A (ja) * 2001-11-19 2003-05-23 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
WO2004017365A2 (en) * 2002-08-14 2004-02-26 Asm America, Inc. Deposition of amorphous silicon-containing films
JP2004525509A (ja) * 2001-02-12 2004-08-19 エーエスエム アメリカ インコーポレイテッド ドーパント前駆体を用いた製造方法

Family Cites Families (135)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (sv) * 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4761269A (en) * 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
GB8711373D0 (en) * 1987-05-14 1987-06-17 Secr Defence Electroluminescent silicon device
JPH0666286B2 (ja) * 1987-08-24 1994-08-24 富士通株式会社 シリコン含有金属膜の形成方法
GB8908509D0 (en) 1989-04-14 1989-06-01 Secr Defence Substitutional carbon in silicon
US5002630A (en) * 1989-06-06 1991-03-26 Rapro Technology Method for high temperature thermal processing with reduced convective heat loss
US5194398A (en) * 1989-06-28 1993-03-16 Mitsui Toatsu Chemicals, Inc. Semiconductor film and process for its production
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
JPH0485818A (ja) * 1990-07-26 1992-03-18 Fujitsu Ltd 半導体装置の製造方法
JPH04252023A (ja) * 1991-01-28 1992-09-08 Nec Corp シリコン結晶の選択的成長方法
US5225032A (en) 1991-08-09 1993-07-06 Allied-Signal Inc. Method of producing stoichiometric, epitaxial, monocrystalline films of silicon carbide at temperatures below 900 degrees centigrade
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US6004683A (en) * 1992-11-04 1999-12-21 C. A. Patents, L.L.C. Plural layered metal repair tape
JPH06168886A (ja) * 1992-11-30 1994-06-14 Tonen Corp プラズマcvdによる薄膜形成方法
US5360986A (en) * 1993-10-05 1994-11-01 Motorola, Inc. Carbon doped silicon semiconductor device having a narrowed bandgap characteristic and method
US5656531A (en) 1993-12-10 1997-08-12 Micron Technology, Inc. Method to form hemi-spherical grain (HSG) silicon from amorphous silicon
JP2919281B2 (ja) * 1994-11-11 1999-07-12 日本電気株式会社 半導体装置の製造方法
FI97731C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
JPH08213343A (ja) 1995-01-31 1996-08-20 Sony Corp 半導体装置およびその製造方法
US5654589A (en) 1995-06-06 1997-08-05 Advanced Micro Devices, Incorporated Landing pad technology doubled up as local interconnect and borderless contact for deep sub-half micrometer IC application
DE19533313A1 (de) * 1995-09-08 1997-03-13 Max Planck Gesellschaft Halbleiterstruktur für einen Transistor
JPH0992621A (ja) * 1995-09-28 1997-04-04 Oki Electric Ind Co Ltd 半導体薄膜の選択成長方法
US5869389A (en) 1996-01-18 1999-02-09 Micron Technology, Inc. Semiconductor processing method of providing a doped polysilicon layer
US6083368A (en) * 1996-04-20 2000-07-04 Kawaso Electric Industrial Co., Ltd. Probe device for continuous measurements of oxygen in running molten metal
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
KR100236069B1 (ko) 1996-12-26 1999-12-15 김영환 캐패시터 및 그 제조방법
JP3050152B2 (ja) 1997-01-23 2000-06-12 日本電気株式会社 半導体装置の製造方法
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US7176111B2 (en) * 1997-03-28 2007-02-13 Interuniversitair Microelektronica Centrum (Imec) Method for depositing polycrystalline SiGe suitable for micromachining and devices obtained thereof
US6351039B1 (en) * 1997-05-28 2002-02-26 Texas Instruments Incorporated Integrated circuit dielectric and method
US6069068A (en) 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
US5904565A (en) * 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
US6287965B1 (en) * 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
JPH11102870A (ja) * 1997-09-29 1999-04-13 Toshiba Corp 半導体基板の製造方法
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
TW439151B (en) 1997-12-31 2001-06-07 Samsung Electronics Co Ltd Method for forming conductive layer using atomic layer deposition process
US6042654A (en) 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
US5933761A (en) * 1998-02-09 1999-08-03 Lee; Ellis Dual damascene structure and its manufacturing method
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
DE69923436T2 (de) 1998-03-06 2006-01-05 Asm America Inc., Phoenix Verfahren zum beschichten von silizium mit hoher kantenabdeckung
US6181012B1 (en) 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
KR100296117B1 (ko) 1998-05-27 2001-10-26 윤덕용 화학기상증착법에의한코발트다이실리사이드콘택형성방법
US6048790A (en) 1998-07-10 2000-04-11 Advanced Micro Devices, Inc. Metalorganic decomposition deposition of thin conductive films on integrated circuits using reducing ambient
KR100275738B1 (ko) * 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6188134B1 (en) 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6144050A (en) * 1998-08-20 2000-11-07 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with strontium barrier film and process for making same
US6291876B1 (en) 1998-08-20 2001-09-18 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with composite atomic barrier film and process for making same
WO2000011721A1 (en) 1998-08-20 2000-03-02 The Government Of The United States Of America, Represented By The Secretary Of The Navy Electronic devices with barrier film and process for making same
US6077775A (en) 1998-08-20 2000-06-20 The United States Of America As Represented By The Secretary Of The Navy Process for making a semiconductor device with barrier film formation using a metal halide and products thereof
KR100332364B1 (ko) 1998-09-01 2002-09-18 지니텍 주식회사 금속막의형성방법
DE19840238C1 (de) * 1998-09-03 2000-03-16 Siemens Ag Verfahren zur Herstellung einer dotierten Siliziumschicht und mikroelektronische Struktur mit einem leitfähigen Element aus dotiertem Silizium
KR20000022003A (ko) 1998-09-10 2000-04-25 이경수 금속과규소를포함한3성분질화물막의형성방법
KR100566905B1 (ko) 1998-09-11 2006-07-03 에이에스엠지니텍코리아 주식회사 표면 촉매를 이용한 화학 증착방법_
KR100273474B1 (ko) 1998-09-14 2000-12-15 이경수 화학기상 증착장치의 가스 공급장치와 그 제어방법
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
TW382787B (en) * 1998-10-02 2000-02-21 United Microelectronics Corp Method of fabricating dual damascene
KR100327328B1 (ko) * 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
KR100280102B1 (ko) 1998-10-13 2001-03-02 윤덕용 코발트-카본 합금박막을 이용한 단결정 코발트다이실리사이드콘택 형성방법
JP2000150647A (ja) * 1998-11-11 2000-05-30 Sony Corp 配線構造およびその製造方法
US6093638A (en) 1998-12-10 2000-07-25 Texas Instruments Incorporated Method of forming an electrical contact in a substrate
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6844574B1 (en) * 1999-03-12 2005-01-18 Sumitomo Chemical Company, Limited III-V compound semiconductor
KR20000060438A (ko) 1999-03-16 2000-10-16 이경수 산화알루미늄 막의 형성 방법
CA2301313A1 (en) * 1999-03-18 2000-09-18 Yuka Kanamori Curable composition
US6207567B1 (en) 1999-04-12 2001-03-27 United Microelectronics Corp. Fabricating method of glue layer and barrier layer
US6197669B1 (en) 1999-04-15 2001-03-06 Taiwan Semicondcutor Manufacturing Company Reduction of surface defects on amorphous silicon grown by a low-temperature, high pressure LPCVD process
US6037258A (en) 1999-05-07 2000-03-14 Taiwan Semiconductor Manufacturing Company Method of forming a smooth copper seed layer for a copper damascene structure
US6146517A (en) 1999-05-19 2000-11-14 Infineon Technologies North America Corp. Integrated circuits with copper metallization for interconnections
KR20010001543A (ko) 1999-06-05 2001-01-05 김기범 구리 배선 구조를 가지는 반도체 소자 제조 방법
WO2000079576A1 (en) 1999-06-19 2000-12-28 Genitech, Inc. Chemical deposition reactor and method of forming a thin film using the same
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR20010047128A (ko) 1999-11-18 2001-06-15 이경수 액체원료 기화방법 및 그에 사용되는 장치
WO2001045149A1 (en) 1999-12-15 2001-06-21 Genitech Co., Ltd. Method of forming copper interconnections and thin films using chemical vapor deposition with catalyst
US6184128B1 (en) 2000-01-31 2001-02-06 Advanced Micro Devices, Inc. Method using a thin resist mask for dual damascene stop layer etch
TW408653U (en) 2000-02-03 2000-10-11 Hu Hou Fei Ratcheting tool
US6531347B1 (en) * 2000-02-08 2003-03-11 Advanced Micro Devices, Inc. Method of making recessed source drains to reduce fringing capacitance
AU2001245388A1 (en) 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
WO2001078123A1 (en) 2000-04-11 2001-10-18 Genitech Co., Ltd. Method of forming metal interconnects
KR100332363B1 (ko) 2000-04-12 2002-04-12 최승철 화학기계적 연마장치의 연마패드를 위한 컨디셔너와 그컨디셔닝 방법
KR100363088B1 (ko) * 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6593191B2 (en) * 2000-05-26 2003-07-15 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
US6342448B1 (en) 2000-05-31 2002-01-29 Taiwan Semiconductor Manufacturing Company Method of fabricating barrier adhesion to low-k dielectric layers in a copper damascene process
EP1292970B1 (en) 2000-06-08 2011-09-28 Genitech Inc. Thin film forming method
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US6368954B1 (en) 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
JP2004519090A (ja) 2000-08-07 2004-06-24 アンバーウェーブ システムズ コーポレイション 歪み表面チャネル及び歪み埋め込みチャネルmosfet素子のゲート技術
JP4882141B2 (ja) * 2000-08-16 2012-02-22 富士通株式会社 ヘテロバイポーラトランジスタ
JP2002198525A (ja) 2000-12-27 2002-07-12 Toshiba Corp 半導体装置及びその製造方法
US6444495B1 (en) * 2001-01-11 2002-09-03 Honeywell International, Inc. Dielectric films for narrow gap-fill applications
US6583048B2 (en) * 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US7026219B2 (en) 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
JP3451325B2 (ja) * 2001-03-26 2003-09-29 名古屋大学長 シリコン・ゲルマニウム・カーボン三元混晶膜の作製方法及びシリコン・ゲルマニウム・カーボン三元混晶膜
US7108748B2 (en) * 2001-05-30 2006-09-19 Asm America, Inc. Low temperature load and bake
JP2003068654A (ja) 2001-08-27 2003-03-07 Hoya Corp 化合物単結晶の製造方法
US20030124818A1 (en) * 2001-12-28 2003-07-03 Applied Materials, Inc. Method and apparatus for forming silicon containing films
DE10211312A1 (de) 2002-03-14 2003-10-02 Wacker Siltronic Halbleitermat Verfahren und Vorrichtung zur epitaktischen Beschichtung einer Halbleiterscheibe sowie epitaktisch beschichtete Halbleiterscheibe
US7335545B2 (en) 2002-06-07 2008-02-26 Amberwave Systems Corporation Control of strain in device layers by prevention of relaxation
US7307273B2 (en) 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
JP2004095639A (ja) * 2002-08-29 2004-03-25 Fujitsu Ltd 半導体装置及びその製造方法
JP4089354B2 (ja) * 2002-08-30 2008-05-28 株式会社Sumco エピタキシャルウェーハとその製造方法
AU2003255919A1 (en) * 2002-10-03 2004-04-23 Koninklijke Philips Electronics N.V. Method and apparatus for forming epitaxial layers
US7540920B2 (en) * 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
JP2004303883A (ja) * 2003-03-31 2004-10-28 Renesas Technology Corp 半導体装置および半導体装置の製造方法
EP1482069A1 (en) * 2003-05-28 2004-12-01 Interuniversitair Microelektronica Centrum Vzw Method for producing polycrystalline silicon germanium suitable for micromachining
US7208362B2 (en) 2003-06-25 2007-04-24 Texas Instruments Incorporated Transistor device containing carbon doped silicon in a recess next to MDD to create strain in channel
TWI270986B (en) 2003-07-29 2007-01-11 Ind Tech Res Inst Strained SiC MOSFET
US7208427B2 (en) 2003-08-18 2007-04-24 Advanced Technology Materials, Inc. Precursor compositions and processes for MOCVD of barrier materials in semiconductor manufacturing
US7132338B2 (en) * 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7273526B2 (en) * 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
KR20070006852A (ko) 2004-04-23 2007-01-11 에이에스엠 아메리카, 인코포레이티드 인-시츄 도핑된 에피택셜 막
US7332439B2 (en) 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US20060071213A1 (en) * 2004-10-04 2006-04-06 Ce Ma Low temperature selective epitaxial growth of silicon germanium layers
US7402872B2 (en) * 2004-11-18 2008-07-22 Intel Corporation Method for forming an integrated circuit
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7312128B2 (en) 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7195985B2 (en) * 2005-01-04 2007-03-27 Intel Corporation CMOS transistor junction regions formed by a CVD etching and deposition sequence
US7335959B2 (en) 2005-01-06 2008-02-26 Intel Corporation Device with stepped source/drain region profile
US7259038B2 (en) * 2005-01-19 2007-08-21 Sandisk Corporation Forming nonvolatile phase change memory cell having a reduced thermal contact area
US7235492B2 (en) * 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7687383B2 (en) 2005-02-04 2010-03-30 Asm America, Inc. Methods of depositing electrically active doped crystalline Si-containing films
US8105908B2 (en) * 2005-06-23 2012-01-31 Applied Materials, Inc. Methods for forming a transistor and modulating channel stress
JP2007188976A (ja) 2006-01-11 2007-07-26 Shinko Electric Ind Co Ltd 発光装置の製造方法
US20080026149A1 (en) 2006-05-31 2008-01-31 Asm America, Inc. Methods and systems for selectively depositing si-containing films using chloropolysilanes
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004525509A (ja) * 2001-02-12 2004-08-19 エーエスエム アメリカ インコーポレイテッド ドーパント前駆体を用いた製造方法
JP2004529496A (ja) * 2001-02-12 2004-09-24 エーエスエム アメリカ インコーポレイテッド 半導体膜の改良された堆積方法
JP2004532511A (ja) * 2001-02-12 2004-10-21 エーエスエム アメリカ インコーポレイテッド 半導体膜の改良された堆積方法
JP2003152177A (ja) * 2001-11-19 2003-05-23 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
WO2004017365A2 (en) * 2002-08-14 2004-02-26 Asm America, Inc. Deposition of amorphous silicon-containing films

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008108929A (ja) * 2006-10-26 2008-05-08 Fujitsu Ltd 半導体装置とその製造方法
US8258576B2 (en) 2006-10-26 2012-09-04 Fujitsu Semiconductor Limited Method of manufacturing a semiconductor device including epitaxially growing semiconductor epitaxial layers on a surface of semiconductor substrate
US8501571B2 (en) 2009-06-19 2013-08-06 Fujitsu Semiconductor Limited Method of manufacturing semiconductor device having silicon carbide layers containing phosphorus
US8519486B2 (en) 2009-06-19 2013-08-27 Fujitsu Semiconductor Limited Semiconductor device having a plurality of phosphorus-doped silicon carbide layers
US8765560B2 (en) 2009-06-19 2014-07-01 Fujitsu Semiconductor Limited Method for manufacturing semiconductor device with multiple carbon and phosphorus ion implants
JP2011077322A (ja) * 2009-09-30 2011-04-14 Tokyo Electron Ltd 結晶性珪素膜の成膜方法およびプラズマcvd装置
JP2012049248A (ja) * 2010-08-25 2012-03-08 Renesas Electronics Corp 半導体装置およびその製造方法
JP2019537840A (ja) * 2016-11-03 2019-12-26 ユ−ジーン テクノロジー カンパニー.リミテッド 低温エピタキシャル層の形成方法

Also Published As

Publication number Publication date
TWI385714B (zh) 2013-02-11
US20060240630A1 (en) 2006-10-26
TW200710950A (en) 2007-03-16
JP2008530782A (ja) 2008-08-07
JP5571287B2 (ja) 2014-08-13
WO2006083821A1 (en) 2006-08-10
US20090026496A1 (en) 2009-01-29
JP2008530784A (ja) 2008-08-07
US7687383B2 (en) 2010-03-30
JP5295344B2 (ja) 2013-09-18
WO2006083909A3 (en) 2006-10-19
US20060234504A1 (en) 2006-10-19
US20060205194A1 (en) 2006-09-14
US7648690B2 (en) 2010-01-19
US7816236B2 (en) 2010-10-19
JP2012054613A (ja) 2012-03-15
US7438760B2 (en) 2008-10-21
JP5173140B2 (ja) 2013-03-27
KR20070100401A (ko) 2007-10-10
EP1846595A1 (en) 2007-10-24
US9190515B2 (en) 2015-11-17
US20100140744A1 (en) 2010-06-10
KR20080016988A (ko) 2008-02-25
WO2006083909A2 (en) 2006-08-10
EP1846596A2 (en) 2007-10-24
TWI466174B (zh) 2014-12-21
TW200633021A (en) 2006-09-16

Similar Documents

Publication Publication Date Title
JP5173140B2 (ja) 電気的に活性なドープト結晶性Si含有膜の堆積方法
US7772097B2 (en) Methods of selectively depositing silicon-containing films
US20080026149A1 (en) Methods and systems for selectively depositing si-containing films using chloropolysilanes
US7939447B2 (en) Inhibitors for selective deposition of silicon containing films
TWI405248B (zh) 沉積摻雜碳之磊晶半導體層之方法、沉積半導體材料的方法與裝置及在反應腔室中之基板上形成電晶體設備之方法
US9312131B2 (en) Selective epitaxial formation of semiconductive films

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090123

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090123

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20090807

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090807

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20091208

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20091208

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110812

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110913

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20111213

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20111216

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120113

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120118

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120213

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120710

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121010

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121015

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20121211

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20121227

R150 Certificate of patent or registration of utility model

Ref document number: 5173140

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250