JP2008530784A - 化学気相成長によって置換的に炭素でドーピングされた結晶性Si含有材料を製造する方法 - Google Patents

化学気相成長によって置換的に炭素でドーピングされた結晶性Si含有材料を製造する方法 Download PDF

Info

Publication number
JP2008530784A
JP2008530784A JP2007554171A JP2007554171A JP2008530784A JP 2008530784 A JP2008530784 A JP 2008530784A JP 2007554171 A JP2007554171 A JP 2007554171A JP 2007554171 A JP2007554171 A JP 2007554171A JP 2008530784 A JP2008530784 A JP 2008530784A
Authority
JP
Japan
Prior art keywords
single crystal
silicon film
crystal silicon
carbon
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007554171A
Other languages
English (en)
Other versions
JP5571287B2 (ja
JP2008530784A5 (ja
Inventor
マティーアス バウアー
キース ドラン ウィークス
ピエール トマシニ
ナイルズ コディー
Original Assignee
エーエスエム アメリカ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエム アメリカ インコーポレイテッド filed Critical エーエスエム アメリカ インコーポレイテッド
Publication of JP2008530784A publication Critical patent/JP2008530784A/ja
Publication of JP2008530784A5 publication Critical patent/JP2008530784A5/ja
Application granted granted Critical
Publication of JP5571287B2 publication Critical patent/JP5571287B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02598Microstructure monocrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • H01L21/02639Preparation of substrate for selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32056Deposition of conductive or semi-conductive organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3215Doping the layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Ceramic Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

【課題】
【解決手段】比較的高濃度の置換型ドーパントを含むSi含有膜を製造する方法は、トリシランおよびドーパント前駆体を用いる化学気相成長法を含む。2.4原子%以上の置換型炭素を含む結晶性シリコン膜を含む、極めて高濃度の置換型の取込みを得ることが可能である。置換的にドーピングされたSi含有膜を、堆積中にエッチャントガスを導入することによって、混合基板の結晶性表面上に選択的に堆積することが可能である。
【選択図】図4A

Description

関連出願の相互参照
本出願は、2005年2月4日に出願した米国仮出願第60/649990号;2005年3月18日に出願した米国仮出願第60/663434号;および2005年4月4日に出願した米国仮出願第60/668420号の優先権を主張するものである。
発明の背景
発明の分野
本出願は、一般に、半導体処理におけるシリコン含有材料の堆積に関する。より詳細には、本出願は、トリシランおよびドーパント源を用いた化学気相成長法による置換的にドーピングされたシリコン含有膜の堆積に関する。
シリコン(Si)、ゲルマニウム(Ge)およびこれらの合金(SiGe)などの半導体の電気特性は、この材料をひずませる程度によって影響を受ける。例えば、シリコンは、引張りひずみの下で電子移動度の増大を示し、シリコンゲルマニウム(SiGe)は、圧縮ひずみの下で正孔移動度の増大を示す。半導体の性能を向上させる方法は、かなり注目すべきものであり、種々の半導体処理用途において潜在的な応用分野を有する。よく知られているように、半導体処理は、最も一般的には、特に厳しい品質の要求を伴う集積回路の製造のために使用されるが、このような処理は、種々の他の分野でも使用される。例えば、半導体処理技術は、各種の技術を用いるフラットパネル表示装置の製造、および微小電気機械システム(MEMS)の製造に使用されることが多い。
SiおよびGe含有材料中にひずみを誘導するためのいくつかのアプローチは、種々の結晶性材料の間の格子定数の差、例えば、Ge(5.65Å)、Si(5.431Å)および炭素(ダイヤモンドに対して3.567Å)を活用することに焦点を合わせている。1つのアプローチでは、特定の結晶性材料の薄層を異なる結晶性材料の上に、堆積された層が下にある単結晶材料の格子定数を取り入れるように堆積させる。例えば、ひずみSiGe層を、ヘテロエピタキシャル堆積によって単結晶Si基板上に形成することが可能である。Ge原子は、Si原子よりわずかに大きいので、堆積されたヘテロエピタキシャルSiGeは、その下のSiのより小さな格子定数に追従し、これによって、Ge含有量の関数として変化する程度で圧縮的にひずみを受ける。典型的には、バンドギャップは、SiGe中のGe含有量が増加するにつれて、純粋なSiに対する1.12eVから純粋なGeに対する0.67eVに単調に低下する。別のアプローチでは、ひずみ緩和SiGe層上にシリコン層をヘテロエピタキシャルに堆積させることによって、単結晶シリコン薄層中に引張りひずみが導入される。この例では、ヘテロエピタキシャルに堆積されたシリコンは、その格子定数は下にある緩和SiGeのより大きな格子定数に追従するのでひずみを受ける。引張りひずみを受けたヘテロエピタキシャルに堆積されたシリコンは、典型的には電子移動度の増大を示す。これらのアプローチでは、デバイス(例えば、トランジスタ)が製造される前に、基板の段階でひずみが生み出される。
置換型ドーピング(例えば、この場合ドーパントが格子構造中のSiに置き換わる)によって、単結晶Si含有材料中にひずみを導入することが可能である。例えば、単結晶シリコンの格子構造中のシリコン原子の一部をゲルマニウム原子で置換すると、ゲルマニウム原子は、これらが置き換わるシリコン原子より大きいので、得られる置換的にドーピングされた単結晶シリコン材料中に圧縮ひずみを生み出す。炭素による置換型ドーピングによって、単結晶シリコン中に引張りひずみを導入することが可能である。なぜなら、炭素原子は、これらが置き換わるシリコン原子より小さいからである。例えば、Judy L.Hoyt、”Silicon−Germanium Carbon Alloy”、Taylor and Francis、NY、59〜89頁、2002年の第3章”Substitutional Carbon Incorporation and Electronic Characterization of Si1−y/Si and Si1−x−yGe/Si Heterojunctions”参照、この開示を参照により本明細書に援用する。
in situドーピングは、ドーパントを格子構造中に取り込むために、続いてアニーリングにより行われるex situドーピングより好ましいことが多い。なぜなら、このアニーリングは熱的経費を消費して望ましくないからである。しかし実際には、in situ置換型炭素ドーピングは、ドーパントが、格子構造中のシリコン原子を置き換えることによってではなく、堆積中に非置換的に、例えば、シリコン中のドメインまたはクラスターの格子間に取り込まれる傾向により複雑である。例えば、前記のHoytによる論文参照。非置換型ドーピングは、他の材料系、例えば、SiGeの炭素ドーピング、電気的に活性なドーパントによるSiおよびSiGeのドーピング等を用いた置換型ドーピングも複雑にする。Hoytによる前記の論文の73頁の図3.10に図示されたように、従来の堆積方法は、2.3原子%までのin situでドーピングされた置換型炭素含有量を有する(これは5.4Åを超える格子間隔および1.0GPa未満の引張応力に対応している)結晶性シリコンを作製するために使用されてきた。しかし、従来の堆積方法は、2.3原子%超のin situでドーピングされた置換型炭素含有量を有する単結晶シリコンを堆積させることに成功したということは知られていない。
したがって、Si含有材料のin situ置換型ドーピングを達成する、改良された方法の必要性がある。望ましくは、このような改良された方法は、堆積速度、選択性、および/または堆積された材料の品質(例えば、結晶品質)を必要以上に犠牲にすることなく、商業的に有効なレベルの置換型ドーピングを実現することができるものである。
発明の要約
今回、シリコン源および炭素源を利用して、炭素でドーピングされたSi含有膜を堆積させる堆積方法を開発してきた。このような堆積方法は、従来の方法を用いて達成されたものより有意に高い濃度を含む、種々の濃度に炭素で置換的にドーピングされた種々のSi含有単結晶膜を製造することが可能である。例えば、シリコン源としてトリシランを用いる好ましい堆積方法は、2.3原子%超の濃度を含む、ある範囲の置換型炭素濃度を有する炭素でドーピングされた種々の単結晶Si膜を堆積させるために使用することができる。他の炭素でドーピングされた単結晶膜、例えば、リンおよびヒ素でドーピングされたSi:Cも本明細書に記載の方法によって堆積させることが可能である。
一実施形態では、置換型炭素を含み、5.38Å以下の格子間隔を有するドーピングされた単結晶シリコン膜が提供される。
他の実施形態では、X線回折法およびベガードの法則によって決定して、2.4原子%以上の置換型炭素を含む単結晶シリコン膜が提供される。好ましい実施形態では、単結晶シリコン膜は、約0.25原子%未満の非置換型炭素、より好ましくは約0.15原子%未満の非置換型炭素を含む。
他の実施形態では、
チャンバ内に配置された基板を提供すること、
化学気相成長条件下で、チャンバにトリシランおよび炭素源を導入すること、および
X線回折法およびベガードの法則によって決定して、少なくとも1.0原子%の置換型炭素を含む単結晶シリコン膜を少なくとも毎分約5ナノメートル(nm)の堆積速度で基板上に堆積させること
を含む単結晶シリコン膜を堆積させる方法が提供される。
他の実施形態では、第1の単結晶Si含有領域および第2の単結晶Si含有領域を含む集積回路であって、第1の単結晶Si含有領域および第2の単結晶Si含有領域の少なくとも一方が、第1の単結晶Si含有領域と第2の単結晶Si含有領域の間に配置される第3の単結晶Si含有領域に引張応力を及ぼすのに有効な置換型炭素の量を含み、第3の単結晶Si含有領域が、応力を受けていない相当する領域に比較して少なくとも約10%のキャリア移動度の増加を示す集積回路が提供される。
上記およびその他の実施形態を以下に詳細に説明する。
好ましい実施形態の詳細な説明
ここに、種々の置換的にドーピングされた単結晶Si含有材料を作製するのに有用な堆積方法が開発された。例えば、結晶性Siは、シリコン源としてトリシランおよび炭素源として炭素含有ガスを用いて、比較的高速度で堆積を実施することによってin situでドーピングされて、比較的高濃度の置換型炭素を含むことが可能であることを見出した。好ましい実施形態では、得られる炭素でドーピングされたSi含有材料は、有意の程度に置換的にドーピングされる。例えば、置換型炭素ドーピングの程度は、シリコン中の炭素ドーパント(置換型および非置換型)の総量に対する置換型炭素ドーパントの重量パーセントで表して、約70%以上、好ましくは80%以上、より好ましくは約90%以上であり得る。この局面による炭素でドーピングされた層の堆積は、以下により詳しく説明するように、エッチャントガスの存在下または非存在下で、選択的にまたは非選択的に実施することができる。
「Si含有材料」という用語および類似の用語は、これらに限定することなく、Si(結晶性シリコンを含む)、Si:C(例えば、炭素でドーピングされた結晶性Si)、SiGeおよびSiGeC(例えば、炭素でドーピングされた結晶性SiGe)を含む幅広い種類のシリコン含有材料を指すために本明細書で使用される。本明細書では、「炭素でドーピングされたSi」、「Si:C」、「SiGe」、「炭素でドーピングされたSiGe」、「SiGe:C」および類似の用語は、種々の比率の示された化学元素および場合により少量の他の元素を含む材料を指す。例えば、「SiGe」は、シリコン、ゲルマニウムおよび、場合により他の元素、例えば、炭素などのドーパントおよび電気的に活性なドーパントを含む材料である。したがって、炭素でドーピングされたSiは、本明細書ではSi:Cと称してよく、逆の場合も同様である。「Si:C」、「SiGe」、および「SiGe:C」などの用語は、それ自体が化学量論的な化学式ではなく、したがって、特定の比率の示された元素を含む材料に限定されない。Siを含む膜中のドーパント(例えば、炭素、ゲルマニウムまたは電気的に活性なドーパント)のパーセントは、別段の指示がなければ、本明細書では全体の膜に対する原子パーセントで表される。
Si含有材料中に置換的にドーピングされた炭素の量は、当業者には知られている方法で、ドーピングされたSi含有材料の垂直な格子間隔をX線回折法によって測定し、次いでベガードの法則(単結晶Siと単結晶炭素の間の直線補間)を適用することによって決定することが可能である。例えば、Si中に置換的にドーピングされた炭素の量は、ドーピングされたSiの垂直な格子間隔をX線回折法によって測定し、次いでベガードの法則を適用することによって決定され得る。当業者であればベガードの法則および置換型炭素濃度、格子間隔とひずみの間の関係を承知している。例えば、Judy L.Hoyt、”Silicon−Germanium Carbon Alloy”、Taylor and Francis、NY、59〜89頁、2002年の第3章”Substitutional Carbon Incorporation and Electronic Characterization of Si1−y/Si and Si1−x−yGe/Si Heterojunctions”参照。Hoytによる前記の論文の73頁の図3.10に図示されたように、ドーピングされたシリコン中の総炭素含有量はSIMSによって測定することができ、非置換型炭素含有量は、総炭素含有量から置換型炭素含有量を減算することによって決定することができる。他のSi含有材料中に置換的にドーピングされた他の元素の量は、同様の方法で決定することができる。
種々の実施形態により、トリシランを含むシリコン源、炭素源および、必要に応じて電気的に活性なドーパント(複数可)などの他の元素源(複数可)を用いて、炭素でドーピングされたSi含有材料(例えば、炭素でドーピングされた単結晶Si)を堆積させる方法が提供される。本明細書に教示されるCVD条件下で、トリシランおよび炭素源を基板の表面に供給すると、好ましくは、基板の表面上にエピタキシャルな、炭素でドーピングされたSi含有膜の形成がされる。以下により詳細に説明される特定の選択的堆積の実施形態では、塩素ガス(Cl)などのエッチャントガスが、トリシランおよび炭素源と共にこの基板に供給され、Si含有膜が、単結晶基板または混合基板の単結晶領域上に選択的に堆積される。比較的速い堆積速度を使用する方法が好ましく、好ましい実施形態では、このような方法は、比較的高濃度の置換型炭素を含むin situでドーピングされた結晶性Si含有材料を堆積させる結果となることが判明した。
「基板」という用語は本明細書で使用されるときは、堆積がその上に望まれるワークピース、あるいは堆積ガス(複数可)に暴露される表面を指す。例えば、基板は、単結晶シリコンウェハであってよく、またはSOI(semiconductor−on−insulator)基板であってよく、またはエピタキシャルSi、SiGeもしくはこのようなウェハ上に堆積されたIII〜V材料であってよい。ワークピースはウェハに限定されず、ガラス、プラスチック、または半導体処理に使用される任意の他の基板も含まれる。「混合基板」という用語は、当業者には知られており、”Deposition Over Mixed Substrates”という名称の米国特許第6900115号(2005年5月31日発行)参照(特に混合基板を説明する目的で、この全体を参照により本明細書に援用する)。米国特許第6900115号で論じられたように、混合基板は、2つ以上の異なる種類の表面を有する基板である。例えば、混合基板は、第1の表面モルホロジーを有する第1の表面および第2の表面モルホロジーを有する第2の表面を含んでよい。ある特定の実施形態では、炭素でドーピングされたSi含有層は、単結晶半導体材料上に選択的に形成され、一方、隣接する誘電体上での堆積は最小限に抑えられるか、より好ましくそれが回避される。誘電体材料の例としては、二酸化ケイ素(炭素でドーピングされたおよびフッ素でドーピングされたケイ素の酸化物などの低誘電率の形態を含む)、窒化ケイ素、金属酸化物および金属ケイ酸塩が挙げられる。「エピタキシャル」、「エピタキシャルに」、「ヘテロエピタキシャル」、「ヘテロエピタキシャルに」という用語および類似の用語は、結晶性Si含有材料を結晶性基板上に、堆積層が基板の格子定数を取り入れるかまたは追従するように堆積させることを指す。エピタキシャル堆積は、堆積層の組成が基板の組成と異なる場合はヘテロエピタキシャルであってもよい。
この材料がたとえ同じ元素から作られていても、その表面のモルホロジー(結晶性)が異なれば、その表面は異なり得る。本明細書に記載の方法は、Si含有膜を種々の基板上に堆積させるのに有用であるが、混合された表面モルホロジーを有する混合基板に対して特に有用である。このような混合基板は、第1の表面モルホロジーを有する第1の表面および第2の表面モルホロジーを有する第2の表面を含む。これに関連して、「表面モルホロジー」とは、基板表面の結晶構造を指す。アモルファスおよび結晶性は異なるモルホロジーの例である。多結晶モルホロジーは、規則的な結晶の不規則な配列からなる結晶構造であり、したがって中度の規則性を有する。多結晶材料中の原子は、それぞれの結晶内では配列されているが、結晶それ自体は、互いに長い距離の規則性に欠けている。単結晶モルホロジーは、長距離の高い規則性を有する結晶構造である。エピタキシャル膜は、それがその上に成長される基板と同じ結晶構造および配向、典型的には単結晶によって特徴付けられる。これらの材料中の原子は、比較的長い距離(原子的尺度で)にわたり持続する格子様構造中に配列される。アモルファスモルホロジーは、原子が明確な周期的な配列に欠けているので、低い規則性を有する非結晶構造である。他のモルホロジーには、微結晶材料およびアモルファスと結晶性材料の混合物が含まれる。本明細書では、「単結晶」または「エピタキシャル」とは、トランジスタ製造のために一般に使用される、許容される数の欠陥を中に有してよい、大部分が大きな結晶構造を説明するために使用される。当業者であれば、層の結晶性は、一般に、アモルファスから多結晶に、そして単結晶に連続的であることを理解するであろう。当業者であれば、結晶構造が、低い密度の欠陥はあるが、単結晶またはエピタキシャルとみなし得る場合を容易に決定することができる。混合基板の具体例としては、限定することなく、単結晶/多結晶、単結晶/アモルファス、エピタキシャル/多結晶、エピタキシャル/アモルファス、単結晶/誘電体、エピタキシャル/誘電体、導体/誘電体、および半導体/誘電体がある。「混合基板」という用語には、2種を超える異なる種類の表面を有する基板が含まれ、したがって、当業者であれば、2種類の表面を有する混合基板上にSi含有膜を堆積させる本明細書に記載の方法は、3種以上の異なる種類の表面を有する混合基板に適用することも可能であると理解するであろう。
炭素でドーピングされたSi含有膜および方法
ある実施形態では、CVD反応器中に配置された基板を提供すること;化学気相成長条件下で、トリシランおよび炭素源を反応器に導入すること;および、単結晶シリコン膜を基板上に堆積させることを含む単結晶シリコン膜を堆積させる方法を提供する。この堆積は、好ましくは少なくとも毎分約5nm、より好ましくは少なくとも毎分約10nm、さらにより好ましくは少なくとも毎分約20nmの堆積速度で実施される。好ましくは、得られる単結晶シリコン膜は、X線回折法およびベガードの法則で決定して、少なくとも約1.0原子%の置換型炭素、より好ましくは約1.5原子%以上の置換型炭素、さらにより好ましくは2.4原子%以上の置換型炭素を含む。
堆積は、当業者には知られている種々のCVD法に従って適切に実施することが可能であるが、堆積を本明細書に教示されているCVD法に従って実施する場合最大の利益が得られた。開示されている方法は、トリシラン蒸気および炭素源を利用して、プラズマエンハンスト化学気相成長法(PECVD)または熱CVDを含むCVDを使用することによって適切に実施して、CVDチャンバ中で基板上に単結晶Si含有膜を堆積することが可能である。いくつかの実施形態では、このSi含有膜は、炭素でドーピングされたエピタキシャルSi膜である。いくつかの実施形態では、気体の塩素含有エッチャント(例えば、HClまたは、より好ましくは塩素)をトリシランおよび炭素源と共にチャンバに導入して、単結晶Si含有膜を選択的に堆積させる。下記の説明では、SiまたはSi含有膜を堆積させるために、トリシランおよび炭素源の使用を参照することができる。これらの説明はまた、別段の指示がなければ、一般に、他のSi含有膜、例えば、SiGe:C膜(例えば、ゲルマニウム源の使用を含む)の堆積、電気的にドーピングされたSi:CおよびSiGe:C膜(例えば、電気的ドーパントのためのドーパント前駆体の使用を含む)の堆積および選択的堆積(例えば、エッチャント源の使用を含む)に適用可能であることが認められよう。熱CVDは、プラズマ処理に伴う基板および装置への損傷のリスクなしで堆積を達成することができるので好ましい。
トリシランおよび炭素源(および、ある特定の実施形態では、必要に応じて、エッチャントガスおよび/または電気的ドーパント前駆体)は、好ましくは、個別のガスの形でまたは供給ガスを形成するために混合することによってチャンバに導入される。供給ガスを形成するための混合は、チャンバ中でまたはチャンバへの供給ガスの導入に先立って実施してよい。CVDチャンバ中の総圧力は、好ましくは約0.001Torr〜約1000Torrの範囲、より好ましくは約0.1Torr〜約350Torrの範囲、最も好ましくは約0.25Torr〜約100Torrの範囲である。実験は0.25Torr〜100Torrの範囲の圧力で実施した。いくつかの実施形態では、この化学気相成長条件は、少なくとも約20Torrのチャンバ圧力、好ましくは約20Torr〜約200Torrの範囲のチャンバ圧力を含む。下記のように、実験を実施した枚葉式、単一通路、層状水平フロー反応器中では、少なくとも約500mTorrのチャンバ圧力が適していた。チャンバ圧力は、本明細書では堆積圧と呼んでよい。トリシランの分圧は、好ましくは総圧力の約0.0001%〜約100%の範囲、より好ましくは総圧力の約0.001%〜約50%である。供給ガスは、トリシランおよび炭素源以外のガス(単数または複数)、例えば、他のシリコン源、エッチャント源、ドーパント前駆体(複数可)および/または不活性キャリアガスを含んでもよいが、トリシランが唯一のシリコン源であることが好ましい。「ドーパント前駆体(複数可)」という用語は、通常、得られる堆積された膜中に比較的少量で中に取り込まれ得る種々の元素(例えば、炭素、ゲルマニウム、ホウ素、ガリウム、インジウム、ヒ素、リン、および/またはアンチモン)への前駆体である種々の材料を指すために本明細書で使用される。シリコン源はまた、比較的少量のシリコンを含むSiGe膜を堆積させるためのドーパント前駆体とみなし得ると認識される。本明細書に記載の方法のための適したキャリアガスの例には、He、Ar、H、およびNが含まれる。ある特定の実施形態では、下記により詳細に説明されるように、キャリアガスは、He、Arおよび/またはNなどの非水素キャリアである。好ましくは、トリシランは、トリシラン蒸気を同伴するためのキャリアガスと共に使用されるバブラーなどの気化器を経由して、より好ましくは、バブラーおよびバブラーから流れるキャリアガス中のトリシランの量を測定するガス濃度センサを備える供給システムを経由してチャンバに導入される。このようなセンサは、例えば、米国、ニューヨーク州、PoughkeepsieのLorex Industries社製Piezocon(登録商標)ガス濃度センサが市販されている。
供給ガス中に含まれ得る適した炭素源の例には、限定することなく、モノシリルメタン、ジシリルメタン、トリシリルメタンおよびテトラシリルメタンなどのシリルアルカン、および/またはモノメチルシラン(MMS)、およびジメチルシランなどのアルキルシランが含まれる。いくつかの実施形態では、炭素源は、HSi−CH−SiH−CH(1,3−ジシラブタン)を含む。この供給ガスはまた、所望時は、Si含有膜をドーピングするかまたは合金化するのに有用であると当業者には既知の他の材料、例えば、補充のシリコン源、ゲルマニウム源、ホウ素源、ガリウム源、インジウム源、ヒ素源、リン源、および/またはアンチモン源を含んでよい。このような供給源の具体例としては、補充のシリコン源として、シラン、ジシランおよびテトラシラン;ゲルマニウム供給源として、ゲルマン、ジゲルマンおよびトリゲルマン;炭素およびシリコン両方の供給源として、モノシリルメタン、ジシリルメタン、トリシリルメタン、テトラシリルメタン、モノメチルシラン(MMS)、およびジメチルシラン;電気的ドーパント(n型およびp型の両方)、例えば、アンチモン、ヒ素、ホウ素、ガリウム、インジウムおよびリンの供給源として種々のドーパント前駆体がある。一般式(SiH3−ZClCH4−x−yCl(式中、xは1〜4の範囲の整数であり、yおよびzは、それぞれ独立に、0または1〜3の範囲の整数であり、但し、x+y≦4であり、yおよびzの少なくとも1つは0でない)のクロロシリルメタンは、炭素、シリコンおよび塩素の供給源として特に有用であることが分かっている。一般式XSiH(C2n+14−a−b(式中、Xはハロゲン(例えば、F、Cl、Br)であり;nは、1または2であり;aは、1または2であり;bは、0、1または2であり;aとbの合計は4未満である)のアルキルハロシランも、炭素、シリコンおよび塩素の供給源として特に有用である。メチルジクロロシラン(ClSiH(CH))は、式XSiH(C2n+14−a−bのアルキルハロシランの一例である。
トリシランを用いたCVDによるSi含有膜中への電気的に活性なドーパントの取込みは、好ましくは、ドーパント供給源またはドーパント前駆体を用いてin situドーピングで実施される。電気的ドーパントのための好ましい前駆体は、ジボラン、重水素化ジボランなどのp型ドーパント前駆体およびホスフィン、ヒ素蒸気、およびアルシンなどのn型ドーパント前駆体を含む、ドーパント水素化物である。シリルホスフィン、例えば(HSi)3−xPR、およびシリルアルシン、例えば(HSi)3−xAsR(式中、x=0〜2であり、R=Hおよび/または重水素(D)である)は、リンおよびヒ素ドーパントのための代替の前駆体である。SbHおよびトリメチルインジウムは、それぞれ、アンチモンおよびインジウムの代替の供給源である。このようなドーパント前駆体は、下記に説明されるような好ましい膜、好ましくは、ホウ素、リン、アンチモン、インジウム、およびヒ素でドーピングされたシリコン、Si:C、SiGeおよびSiGeC膜および合金の製造に有用である。
供給ガス(複数可)をCVDチャンバに供給するのに、適当なマニフォールドを使用することができる。このCVDチャンバは、例示される実施形態に記載される、好ましくは枚葉式反応器、例えば、枚葉式水平ガスフローCVDチャンバである。最も好ましくは、このCVDチャンバは、好ましくは放射状に加熱される、枚葉式、単一通路、層状水平ガス流反応器である。この型の適した反応器は市販されており、好ましいモデルには、アリゾナ州、PhoenixのASM America、Inc.から市販されているEpsilon(商標)系列の枚葉式反応器が含まれる。本明細書に記載の方法は、例えばシャワーヘッド配置などの代替の反応器中で使用することも可能であるが、向上された均一性および堆積速度の利益は、回転する基板を使用して、特に短いプロセスガス滞留時間で、Epsilon(商標)チャンバの水平な単一通路層状ガス流配置で特に効果的であることが分かっている。CVDは、プラズマ生成物(in situでまたはリモートプラズマ発生器の下流で)をチャンバに導入することによって実施してよいが、上記のように熱CVDが好ましい。
供給ガス中のドーパント前駆体の量は、Si含有膜中のドーパントの所望の濃度をもたらすために調整することが可能である。供給ガス中のドーパント前駆体の好ましい濃度は、反応ガス(不活性キャリアおよび希釈ガスを除いて)の総重量に対して重量で約十億分の1(ppb)〜約20重量%の範囲である。電気的ドーパントでは、供給ガス中のドーパント前駆体(例えば、純粋なホスフィンまたは当量の希釈ホスフィン、アルシンまたはジボラン)の好ましい濃度は、好ましくは、約0.1標準立方センチメートル毎分(sccm)〜約5sccmの間であるが、得られる膜の所望の特性を達成するために、より多いまたはより少ない量が好ましいこともある。好ましいEpsilon(商標)系列の枚葉式反応器では、キャリアガス中のドーパント前駆体の希釈混合物は、所望のドーパント濃度およびドーパントガス濃度に応じて、約10sccm〜約1000sccmの範囲の設定値を有する質量流量制御器を介して反応器に供給することができる。ドーパントガスの希釈は、当量の純粋なドーパント流量に達するように10−7〜10−2倍になり得る。典型的には、市販されているドーパント供給源は、H中に希釈されたドーパント水素化物、例えば、H中の1%アルシンまたは1%ホスフィンである。しかし、図15〜16に関して下記に説明するように、いくつかの実施形態では、ドーパント前駆体は、非水素の不活性ガス中に希釈される。この希釈混合物は、好ましくは、トリシラン、エッチャント(選択的堆積実施形態に対して)、任意の適当なキャリアガス、および置換型ドーピングに対する所望のひずみに影響を及ぼす前駆体(例えば、置換型炭素ドーピングに対する、MMSなどの炭素源)と混合することによってさらに希釈される。この好ましいEpsilon(商標)系列反応器での堆積のための典型的な総流量は、約20標準リットル毎分(slm)〜約180slmの範囲であることが多いので、このような方法に使用されるドーパント前駆体の濃度は、総流量に対して一般に低い。
種々の供給ガス成分の相対量は、得られるSi含有膜に対して所望の組成および使用される堆積条件(例えば、温度、圧力、堆積速度等)に応じて広い範囲で変化してよく、本明細書に提供されるガイダンスに照らしてルーチンの実験によって決定することができる。この供給ガス成分は、混合し、次いでチャンバまたは基板に供給してよく、またはこの供給ガスは、基板においてまたはその近傍で成分を混合することによって、例えば供給ガス成分をCVDチャンバに別々に供給することによって形成してよい。
熱CVDは、好ましくは、結晶性Si含有膜を基板上に堆積させるのに有効な基板温度で実施される。熱CVDは、好ましくは約350℃〜約900℃、より好ましくは約500℃〜約800℃の範囲の温度で実施される。ある実施形態では、化学気相成長条件は、トリシランに対して、実質的に物質移動で制御される堆積条件と実質的に反応速度論で制御される堆積条件の間の転移温度付近である温度を含む。このようなトリシラン堆積条件は、米国特許第6821825号に記載されており、これを特にトリシラン堆積条件を説明する目的で、参照により本明細書に援用する。PECVDは、好ましくは、約300℃〜約700℃の範囲の温度で実施される。当業者であれば、実際の製造の実態、例えば、熱的経費の維持、堆積速度、枚葉式およびバッチ式反応器を含むチャンバの異なるサイズ、好ましい総圧および分圧等を考慮に入れてこれらの温度範囲を調整することができる。一般に、所定の所望の結果に対して、それが堆積速度、層の品質または両者の組合せであるかどうかにかかわらず、分圧がより高いとより低い温度となる。この基板は、当技術分野で既知の種々の方法、例えば、抵抗的加熱およびランプ加熱によって加熱することができる。
以下を含む、種々の堆積パラメータがSi含有膜中への置換型炭素の取込みに影響を及ぼすことが判明した:他のシリコン源に対するトリシランの比率;トリシラン流量に対する炭素源流量の比率;キャリアガス流量;堆積圧;堆積温度;および堆積速度。驚くべきことには、これらのパラメータのある特定の組合せは、Si含有膜中への比較的高濃度の置換型炭素の取込みを達成するのに特に有利であることが分かっている。特に以下の組合せが好ましい:
・以下の少なくとも1つと組み合わせた、比較的高いトリシラン流量(例えば、約100mg/分〜約500mg/分):補充のシリコン源についての比較的低い流量(例えば、シラン流量に対する比較的高いトリシラン流量の比率);比較的低いキャリアガス流量(例えば、水素キャリアガス流量に対する比較的高いトリシラン流量の比率);比較的速い堆積速度(例えば、好ましくは少なくとも毎分約5nm);比較的高い堆積圧(例えば、好ましくは少なくとも約1Torr、より好ましくは少なくとも約20Torr);比較的低い堆積温度(例えば、好ましくは、約450℃〜約650℃の範囲);およびトリシラン流量に対する比較的高い炭素源流量の比率(例えば、好ましくは少なくとも約0.5scc/mgのトリシランに対するMMSの流量の比率)。
・以下の少なくとも1つと組み合わせた、比較的高い堆積圧(例えば、約20Torr〜約200Torr):比較的低いキャリアガス流量(例えば、約1slm〜約50slm);比較的高いトリシラン流量(例えば、約100mg/分〜約500mg/分);比較的高い堆積速度(例えば、約5nm/分超);および比較的低い堆積温度(例えば、好ましくは約450℃〜約650℃の範囲)。
図1〜6は、堆積パラメータの種々の組合せの影響を例示する。図1〜6に示すデータは、単結晶シリコン基板上に一連の炭素でドーピングされたシリコン膜を堆積させるために、トリシランおよび炭素源としてモノメチルシラン(MMS)を用いて、Epsilon(商標)枚葉式反応器(アリゾナ州、PhoenixのASM America,Inc.から市販されている)中で実施された熱化学気相成長法に対して得られた。
図1Aおよび1Bは、200mg/分のトリシラン流量、180sccmのMMS流量、および550℃の堆積温度を用いて、堆積圧および水素キャリアガス流量(10、20および40slm)の置換型炭素含有量(図1A)および成長速度(図1B)に及ぼす影響を例示するプロットである。図1Aは、堆積圧を増加させると、この一連の実験に使用された3種のすべての水素キャリアガス流量でより高い濃度の置換型炭素含有量がもたらされたことを示す。図1Aはまた、約15Torr〜約100Torrの範囲にわたる種々の堆積圧において、水素キャリアガス流量を低下させると、より高い濃度の置換型炭素含有量がもたらされることを示す。
図1Aは、比較的高い堆積圧および比較的低い水素キャリアガス流量の組合せは、2.3原子%を超える置換型炭素を含む多数のSi:C膜を生成するのに特に有効であることを示す。上記のように、Hoytによる前記の論文の73頁の図3.10の従来の堆積方法は、2.3原子%までの置換型炭素含有量を有する結晶性シリコン(これは、5.4Åを超える格子間隔および1.0GPa未満の引張応力に対応する)を作製するために使用されてきたことを示す。図1Aは、本明細書に記載の方法は、2.4原子%を超える置換型炭素含有量、5.38Å以下の格子間隔および約1.0GPa以上の引張応力を有する、in situで炭素ドーピングされた結晶性シリコンを作製するために使用することができることを示す。したがって、ある実施形態では、置換型炭素を含み、5.38Å以下、より好ましくは約5.36Å以下、さらにより好ましくは約5.34Å以下の格子間隔を有するドーピングされた単結晶シリコン膜が提供される。他の実施形態では、X線回折法およびベガードの法則によって決定した、2.4原子%以上の置換型炭素、好ましくは2.7原子%以上の置換型炭素、さらにより好ましくは3.0原子%以上の置換型炭素を含む単結晶シリコン膜が提供される。他の実施形態では、約1.0GPa以上、好ましくは約1.5GPa以上、より好ましくは約1.7GPa以上、さらにより好ましくは約1.85GPa以上、最も好ましくは約2.0GPa以上の引張応力を有する炭素でドーピングされた単結晶シリコン膜が提供される。
ある実施形態では、それぞれの前記の炭素でドーピングされた単結晶シリコン膜は、堆積された場合に電気的に活性である(置換的に取り込まれている)、電気的に活性なドーパント、例えば、リンおよびヒ素からなる群から選択されるドーパントをさらに含む。種々の実施形態では、この膜は、抵抗率を低下させる、例えば、約1.0mΩ・cm以下、好ましくは、約0.7mΩ・cm以下の抵抗率を有するSi:C膜を提供するのに有効な電気的に活性なドーパントの量を含む。他の実施形態では、それぞれの前記の単結晶シリコン膜は、約0.3原子%未満の非置換型炭素、好ましくは約0.25原子%未満の非置換型炭素、より好ましくは約0.20原子%未満の非置換型炭素、さらにより好ましくは約0.15原子%未満の非置換型炭素を含む。
図1Bは、水素キャリアガス流量を低下させると、より高い成長速度がもたらされることを示す。図1Bはまた、堆積圧を約15Torrから約100Torrに増加させると、この一連の実験に使用した3種のすべての水素キャリアガス流量で、より高い成長速度がほぼもたらされることを示す。好ましいキャリアガス流量は、約1slm〜約50slm、より好ましくは約10slm〜約40slmの範囲である。
図2Aおよび2Bは、180sccmの一定のMMS流量、550℃の堆積温度、15Torrの堆積圧、および20slmの水素キャリアガス流量における、トリシラン流量(図2A)および成長速度(図2B)の置換型炭素含有量に及ぼす影響を例示するプロットである。図2Aは、トリシラン流量を増加させると(一定のMMS流量で)、より低い濃度の置換型炭素含有量がもたらされることを示す。図2Bに示すデータは、同じ一連の実験からであり、一定のMMS流量で(図2Aに示すようにトリシラン流量を増加させることによって)成長速度を増加させると、より低い濃度の置換型炭素含有量がもたらされることを示す。
図3Aは、トリシランに対するMMSのある一定の流量比について、種々の堆積圧における成長速度の置換型炭素含有量に及ぼす影響を例示するプロットである。図2と同様に、図3Aにプロットされたデータも、550℃の堆積温度で、および20slmの水素キャリアガス流量で得られた。図3Aは、トリシランに対するMMSの所与の流量比において、成長速度を増加させると、より高い濃度の置換型炭素含有量がもたらされることを示す。図3Aはまた、トリシランに対するMMSの所与の流量比において、堆積圧を増加させると、より高い濃度の置換型炭素含有量がもたらされることを示す。比較的高い堆積圧と比較的高い成長速度の組合せは、2.3原子%を超える置換型炭素を含む多数のSi:C膜を生成して特に有効である。
図2Bは、他の堆積パラメータとは切り離して考えて、炭素源フローを増加させることなく成長速度を増加させると、低濃度の置換型炭素含有量がもたらされるように見えることを示すのに対して、図3Aは、成長速度を増加させると、高い濃度の置換型炭素含有量がもたらされることを示す。しかし、これらの結果は矛盾しない。なぜなら、図2Bに示すデータは、一定のMMS流量でトリシラン流量を増加させることによって、したがってトリシランに対するMMSの低下する流量比で得られたのに対して、図3Aに示すデータは、トリシランに対するMMSの種々の一定の流量比で得られたことが認識されるからである。したがって、図2B中に例示された置換型炭素含有量の減少は、増加する堆積速度それ自体からでなく、トリシラン流量が増加するにつれて、供給ガス中のMMSの相対的により少ない量から生じている。トリシランに対するMMSの種々の一定の流量比では、トリシラン流量の増加は、図3Bに例示されるように成長速度の増加がもたらされた(データは、550℃の堆積温度および20slmの水素キャリアガス流量で得られた)。これらの増加した成長速度は、図3Aに例示したより高濃度の置換型炭素含有量を生じた。
したがって、図3Aは、両方のガスの流量を同時に増加させることによって成長速度を増加させながら、炭素源に対するトリシランの比率を一定に維持することの影響を例示する。図3Aは、炭素前駆体に対するトリシランの所与の比率について、より速い成長速度において置換型炭素のより高い濃度を達成することが可能であることを実証する。図3Bは、成長速度は、トリシラン流量の強い正の関数であり、チャンバ圧力は成長速度に比較的小さな影響を有することを示す。したがって、図1〜3はまとめて、単結晶シリコン中の高濃度の置換型炭素を達成するために、比較的高い堆積速度を使用し得る種々の条件を例示する。
堆積圧は、成長速度に対して比較的小さな影響を有するが、堆積されたSi:C膜の結晶品質に実質的に影響を及ぼすことが分かっている。例えば、Epsilon(商標)枚葉式反応器中で、トリシランおよびMMSを用いて、15Torrの堆積圧および550℃の堆積温度における一連の実験で、一連のエピタキシャルSi:C膜が堆積された。70sccmのMMS流量、20slmの水素キャリアガス流量、および15分の堆積時間において、1.92原子%の置換型炭素含有量および410Åの厚さを有するエピタキシャルSi:C膜が堆積された。この膜のエピタキシャル品質は高く、X線回折法で示されるように、実質的にすべての炭素は置換型であった。しかし、膜厚および/または炭素含有量を増加させると、得られるSi:C膜に対して低いエピタキシャル品質がもたらされることが判明した。例えば、実質的に同じ堆積条件下(20分の堆積時間を除く)で実施された第2の堆積により、わずかにより高い炭素含有量(1.96原子%)を有するより厚いSi:C膜が生じたがX線回折法は低い結晶性を示しており、第2の膜のエピタキシャル品質は第1の膜より劣っていた。したがって、これらの条件下では(堆積圧15Torrを含む)、Si:C膜の厚さおよび炭素含有量を増加させると、エピタキシャル膜品質の低下が生じる。しかし、より高い堆積圧を用いることによって、高いエピタキシャル膜品質を維持しながら、膜の厚さおよび/または炭素含有量を増加させることが可能であることが判明した。例えば、堆積圧がより高く(40Torr)、MMS流量がより低い(46sccm)以外は、第1の膜と実質的に同じ条件下で第3のSi:C膜を堆積した。得られた膜は、1.99原子%の置換型炭素含有量および630Åの厚さを有していた。より低いMMS流量にもかかわらず、第3の膜の置換型炭素含有量は、第1の膜よりわずかに高く、この成長速度はより高かった(約27nm/分から約32nm/分に増加した)。さらに、厚さおよび炭素濃度の両方の増加にもかかわらず、X線回折法によって測定すると、第3の膜のエピタキシャル品質は、第1の膜に匹敵していた。他の例として、堆積圧がより高く(90Torr)、MMS流量がより低い(36sccm)以外は、第1および第3の膜と実質的に同じ条件下で、第4のSi:C膜を堆積した。得られた膜は、2.27原子%の置換型炭素含有量および385Åの厚さを有していた。より低いMMS流量にもかかわらず、第3の膜の置換型炭素含有量は、第1および第3の両方の膜より有意に高かった。さらに、X線回折法によって測定すると、第4の膜のエピタキシャル品質は、第1および第3の膜の両方に匹敵していた。
枚葉式反応器、例えば、好ましいEpsilon(商標)系列反応器中で実施されるトリシランの堆積に関しては、トリシラン流量は、適切には約5mg/分(ミリグラム毎分)〜約2000mg/分、好ましくは約50mg/分〜約500mg/分の範囲、より好ましくは約100mg/分〜約300mg/分の範囲であり;炭素源(例えば、MMS)流量は、好ましくは約80sccm〜約1000sccmの範囲であり;トリシラン流量に対する炭素源流量の比率は、好ましくは約0.5標準立方センチメートルの炭素源/ミリグラムトリシラン(scc/mg)〜約8.0scc/mg、より好ましくは約0.9〜約3.0scc/mgの範囲であり、キャリアガス流量は、好ましくは約1slm〜約50slm、より好ましくは約10slm〜約40slmの範囲であり;堆積速度は、好ましくは少なくとも毎分約5nm、より好ましくは少なくとも毎分約10nmであり;堆積圧は、好ましくは約1Torr〜約200Torr、より好ましくは約10Torr〜約100Torr、さらにより好ましくは約20Torr〜約100Torrの範囲であり;堆積温度は、約450℃〜約600℃の範囲、より好ましくは約500℃〜約575℃の範囲である。当業者であれば、本明細書に提供されるガイダンスおよびルーチンの実験を用いて、これらの条件を種々の装置および堆積形態に適合させることができる。例えば、当業者であれば、これらの条件をGeでドーピングされたSiおよび炭素でドーピングされたSiGeに容易に適合させることができる。
上記のように、前記の炭素でドーピングされた単結晶Si含有膜は、電気的に活性なドーパント、例えば、リンおよびヒ素からなる群から選択されるドーパントをさらに含んでよい。一般に、置換型炭素が存在すると、置換型炭素を含んでいない、その他の点では同様の電気的にドーピングされた単結晶Si含有膜に比較して、抵抗率を増加させる傾向のある散乱を生じる。しかし、本明細書に記載のトリシランを用いて堆積させる場合は、このような電気的にドーピングされた単結晶Si含有膜は、炭素が存在するにもかかわらず、依然として驚くべき低さの抵抗率を有することが判明した。例えば、電気的ドーパントでドーピングされた(好ましくは置換的にドーピングされた)場合は、置換型炭素を含む単結晶Si含有膜は約1.0mΩ・cm以下、好ましくは約0.7mΩ・cm以下の抵抗率を有することが可能である。実験において、トリシラン、アルシンおよびMMSから堆積されたヒ素でドーピングされたSi:Cに対して、現在、約5.323Åの格子間隔(X線回折法測定される)が達成されている。この5.323Åの格子間隔は、約3.25%の置換型炭素濃度に対応する。
例えば、図4Aは、炭素およびヒ素の両方で置換的にドーピングされたシリコン膜についての、成長速度(nm/分)の関数としての炭素置換率を示すグラフである。図4Aは、これらの膜の抵抗率(Res、単位mΩ・cm、これも左軸で)も示す。図4Aは、種々の濃度の置換型炭素(例えば、例示された実施形態では約1.7原子%〜約3.25原子%)を含み、且つ種々の量の電気的に活性なドーパント(例示された実施形態ではヒ素)を含むSi含有膜を堆積することができることを実証する。電気的に活性なドーパントと置換型炭素の組合せは、望ましく低い抵抗値(例えば、例示された実施形態では、約0.7mΩ・cm〜約1.45mΩ・cm)を有する膜を生じる。
本明細書に記載の比較的高濃度の置換型炭素を含む単結晶シリコン膜(例えば、2.4%以上の置換型炭素を含む膜)は、種々のレベルの引張応力を示す。なぜなら、置換型炭素原子は、結晶性シリコン格子構造中でこれらが置き換わるシリコン原子より小さいからである。ある実施形態では、2.4%以上の置換型炭素を含む単結晶シリコン膜は、約1.0GPa以上、例えば、約1.5GPa以上、好ましくは約1.7GPa以上、より好ましくは約1.85GPa以上、さらにより好ましくは約2.0GPa以上の引張応力を有する。この応力は、膜中の任意の特定の方向で測定することが可能である。例えば、下にある結晶性シリコン基板上に堆積される、置換型炭素を含む、上にあるシリコン膜では、上にあるシリコン膜は、平行応力(即ち、膜/基板界面に対して平行に測定した応力)とは異なる、垂直な応力(即ち、膜/基板界面に対して垂直に測定した応力)を示し得る。例えば、Hoytによる前述の論文の62頁の図3.1参照。
応力は、適当な基板上へのSi:C膜のヘテロエピタキシャル堆積によって導入し得る。例えば、約3.25原子%(5.323Åの格子間隔)の置換型炭素濃度を有するヒ素でドーピングされたSi:C膜を単結晶シリコン基板上に堆積させることができる。このようなテンプレート(約5.43Åの格子間隔を有する)に拘束される場合は、このようなSi:C膜中の引張応力は、2.06GPaに達する。この応力は、基板の適切な選択およびSi:C膜中の置換型炭素の量によって変化させることが可能である。種々の実施形態では、ヘテロエピタキシャルに堆積されるSi:C膜中に生じる応力は、好ましくは、1GPaと3GPaの間である。このSi:Cがその材料の臨界厚さ未満に堆積される場合は、堆積された層は引張応力を受けたままである。ある実施形態では、電気的にドーピングされたSi:C膜は、隣接する層にひずみを及ぼすように構成される。例えば、電気的にドーピングされた緩和Si:C層上に堆積されたシリコン膜に、圧縮ひずみを受け得る。ある実施形態では、陥凹ソース/ドレイン領域に形成された電気的にドーピングされたSi:C膜は、下記により詳細に説明されるように、ソースとドレインの間に形成されるシリコンチャネルに引張りひずみを及ぼす。このような構成は、種々の用途、例えば、NMOSデバイスに対する電子移動度を改良するために使用することができる。
図4Bは、トリシラン流量(mg/分)の関数としての電気的にドーピングされたSi:C膜の成長速度を示す。図4Aおよび4Bに示すプロットは、トリシランを用いて、比較的速い堆積(成長)速度、例えば、少なくとも約5nm/分で堆積を実施することによって、高濃度の置換型炭素および低い抵抗率を達成することが可能であることを実証する。図4Bに例示するように、例えば、トリシラン流量および堆積温度を制御することによって、成長速度を制御して、種々の濃度の炭素、例えば、2.5%以上の置換型炭素、好ましくは2.6%以上の置換型炭素、より好ましくは2.7%以上の置換型炭素を含む単結晶膜を作製することが可能である。いくつかの実施形態では、この単結晶膜は、図4Aに示されるように、さらにより高濃度の炭素、例えば、2.8%以上の置換型炭素、好ましくは2.9%以上の置換型炭素、より好ましくは3.0%以上の置換型炭素を含むことが可能である。
図5は、一定のトリシラン流量(200mg/分)および一定のアルシン流量(100sccm)における、MMS流量の関数としてのヒ素でドーピングされたSi:C膜中の置換型炭素含有量のグラフを示す。図5は、これらの条件下で、より高いMMS流量でより高い置換型炭素濃度が得られることを示す。トリシラン流量は一定であり、炭素源(MMS)流量が変化されることから、図5は、トリシランに対する炭素源の流量比の変化の置換型炭素含有量への影響を例示する。トリシランに対するMMSの流量比が増加するにつれて、得られた膜中の置換型炭素の量は、図1〜3に関して上記に論じた結果に合致して比較的直線的に増加した。図5はまた、Si:C膜の堆積に対して本明細書に教示された一般的な原理は、本明細書に提供されるガイダンスを考慮に入れたルーチンの実験を用いて適切に適合させることにより、電気的にドーピングされたSi:C膜(例えば、図5に例示されるように、ヒ素でドーピングされた)の堆積に適用可能であることを例示している。
図6Aは、アルシンに対するトリシランの一定の流量比において堆積された一連の膜について、成長速度の関数としてのヒ素でドーピングされたSi膜の抵抗率のグラフである。図6Bは、トリシラン流量の関数としての膜堆積速度のプロットである。図6は、データが、置換型ドーパント前駆体(図3ではMMS、図6ではAsH)に対するトリシランの一定の流量比で得られたという意味で図3と類似している。図6は、トリシランを用いて、比較的高速度、例えば、少なくとも毎分約5nm、より好ましくは少なくとも毎分約10nmで堆積を実施することによって、約1.0mΩ・cm以下のシリコン膜抵抗値を達成し得ることを実証する。図6Bに例示するように、ドーピングされたシリコン膜の成長速度は、トリシランの流量の実質的に一次関数である。図3および図6は、トリシランを使用すると、比較的高速度の堆積を可能にし、これが驚くべき高濃度の置換型ドーピングを可能にすることを実証する。図3および図6の類似性は、炭素とヒ素の既知の差にもかかわらず、本明細書に教示されるトリシランを用いる堆積方法は、ドーパントまたはドーパント前駆体の性質に比較的敏感でないことを実証する。したがって、本明細書に記載のトリシランを用いる比較的高速度の堆積方法は、各種のドーパント(例えば、炭素、ゲルマニウムおよび電気的に活性なドーパント)に、およびこれらのドーパントを各種のSi含有材料(例えば、Si、Si:C、SiGe、SiGeC等)への取込みに適用可能である。適した速い堆積速度の例には、約5nm/分以上、好ましくは約10nm/分以上の堆積速度が含まれる。さらに速い堆積速度、例えば、約20nm/分以上、好ましくは約50nm/分以上、さらにより好ましくは約100nm/分以上を使用してもよい。特定のSi含有材料に適用可能な高速度の堆積条件を選択するためにルーチンの実験を使用することができる。
いくつかの実施形態では、本明細書に記載の炭素などの置換型ドーパントのひずみを改変する量を含む単結晶シリコン膜の厚さは、好ましくは臨界膜厚未満である。当業者であれば、臨界膜厚は、ある特定のセットの条件の下でひずませた膜が緩和する膜厚であることを理解しよう。置換型ドーパントの濃度が増加すると、臨界厚さは一般に減少する。臨界厚さ未満の厚さを有する膜は、典型的には、これらの条件下でひずんだままである。例えば、約1.8原子%の置換型炭素を含む単結晶シリコン膜は、550℃で200nmの臨界厚さを有し得、一方、3.5原子%の置換型炭素を含む他の点では同様の膜は、同じ温度で約25〜30nmの臨界厚さを有し得る。その膜に対する臨界厚未満である厚さを有する膜は、十分乱され(例えば、緩和を引き起こすのに十分な熱に暴露する)なければまたは乱されるまではひずんだままである傾向にある。
図7は、1.8原子%炭素で置換的にドーピングされた結晶性シリコン膜(200nm厚さ)に対するFTIRスペクトルの一部を示す。波数約605における強い吸収は、シリコン膜中の置換型炭素の存在を証明する。波数約450〜500における広い吸収帯の欠如は、このシリコン膜は、非置換型炭素を(もし、あれば)ほとんど含んでいないことを証明する。即ち、ある実施形態では、2.4原子%以上の置換型炭素、好ましくは約2.7原子%以上の置換型炭素、より好ましくは約3.0原子%置換型炭素を含む単結晶Si含有膜であって、約0.3原子%未満の非置換型炭素、好ましくは約0.25原子%未満の非置換型炭素、より好ましくは約0.20原子%未満の非置換型炭素、さらにより好ましくは約0.15原子%未満の非置換型炭素を含む膜が提供される。
当技術分野で知られているように、単結晶シリコンに対する格子定数は、約5.431Åであり、一方、単結晶ゲルマニウムは、ゲルマニウム原子のより大きなサイズのために5.657の格子定数を有する。置換型ゲルマニウムの取込みから生じるシリコンの生来の格子定数からの偏差は、半導体中の電気的キャリア移動度を有利に改良して、デバイス効率を改良するひずみを導入する。SiGeが、その材料の臨界厚さ未満に堆積される場合、堆積された層は圧縮的にひずみを受けたままであり、正孔移動度がPMOSデバイスに対して改良される。このような場合、堆積されるSiGe層は、選択的に、例えば全アクティブエリア上に形成することができ、且つチャネルを規定することができ、または、これは、圧縮的にひずみを受ける層をその上に形成するための緩和テンプレートとしての機能を果たし、次いで、それ自体がチャネル領域としての機能を果たすことができる。
しかし、図8〜13の実施形態(以下に記載される)では、Si:C層は、陥凹ソース/ドレイン領域20中に選択的に形成され、好ましくは、応力を維持する条件下(厚さ、温度)で堆積される。S/D陥凹を充填するSi:C材料のより小さな格子定数は、これらの間のチャネル領域22に引張りひずみを及ぼす。好ましくは、トリシラン、エッチャントおよび炭素源に加えてドーパント水素化物をプロセスフローに添加する。好ましくは、n型ドーパント、より好ましくはホスフィンまたはアルシンを使用する。置換型炭素を含むSi:C膜を、図14A〜14Cに図示されたのと同様の方法で、ブランケット堆積およびエッチングのシーケンスによってソース/ドレイン領域に形成することも可能である。陥凹ソース/ドレイン領域20におけるSi:Cの選択的堆積に関する下記の方法は、種々のSi含有材料を選択的に堆積させるために、当業者によって本明細書に提供されたガイダンスに照らしてルーチンの実験を用いて適合させることができる。
本明細書に記載の方法は、Si含有膜を種々の基板上に堆積させるために有用であるが、特に、Si含有膜を混合された表面モルホロジーを有する混合基板上に堆積させるのに有用である。上記のように、「混合基板」という用語は、当業者には知られており、米国特許第6900115号を参照。
ある実施形態では、混合基板の単結晶領域(複数可)上に炭素でドーピングされた単結晶Si含有膜を選択的に堆積させる方法が提供される。例えば、米国特許第6821825号に開示されているように、トリシランの使用によって得られた均一性および高品質の膜に加えて、エッチャント、例えば、HCl、ヘキサクロロジシラン(SiCl)または塩素ガス(Cl)などの塩素含有エッチャントと組み合わせてトリシランを使用することによって優れた選択性が得られることが判明している。実験により、選択性は100%であり得る(即ち、酸化ケイ素および窒化ケイ素などの周囲の絶縁体上には0堆積で)ことが示されている。HClを選択的シリコン系堆積方法のためのエッチャントとして供給することが可能であり、ここで、アモルファス(典型的には、絶縁性)表面上の遅い核形成性堆積へのエッチング作用は、露出した半導体表面へのエッチング作用より大きい。HClは、周知のように精製することが困難であり、典型的なHClの市販の供給源は、堆積プロセス中に過剰な水分を導入するので、塩素が好ましい。このような水分は、堆積された膜の導電率を低下させ、且つエピタキシャル堆積中に許容できないレベルの欠陥を生じさせることがある。したがって、トリシラン、炭素源および塩素を含む供給ガスを使用すると、有利には、追加のエッチャント、特にHClなしに、高レベルの選択性を達成する。
好ましくは、この供給ガスは、唯一のシリコン前駆体としてシランの標準的な使用に比較して、比較的高いトリシラン流量および比較的低い水素流量を用いて、水素キャリアガスと共にチャンバに導入される。炭素源の流量は、図1〜5に関して、置換型炭素の取込みについて上記に詳細に論じたように、置換型炭素の取込みの望ましい濃度を達成するように選択される。例えば、好ましい実施形態では、約5mg/分〜2000mg/分、より好ましくは約10mg/分と200mg/分の間のトリシラン流量、および約4sccm〜約500sccmの炭素源流量を用いてEpsilon E2500(商標)、E3000(商標)またはE3200(商標)反応器システム(アリゾナ州、PhoenixのASM America、Inc.から市販されている)中で熱CVDを実施する。トリシラン流量に対する炭素源流量の比率は、好ましくは約0.5scc/mg〜約8.0scc/mg、より好ましくは約0.9scc/mg〜約3.0scc/mgの範囲である。この水素流量は、約40標準リットル毎分(slm)以下、好ましくは約10slm以下、より好ましくは約5slm以下であってよく、この堆積温度は、約450℃〜約700℃、より好ましくは約500℃〜約650℃の範囲であってよい。水素ガス流量は、トリシランおよび塩素含有エッチャントとの堆積中は、好ましくは最小限に抑えられる。エッチャント流量は、好ましくは約20sccm〜約200sccmである。実験は、25〜400mg/分のトリシラン流、0〜4slmのHキャリア流量、および25〜200sccmの塩素流量で実施した。ドーパント前駆体(例えば、炭素源および/または電気的ドーパント前駆体)の流量は、ドーパント源の性質および他の成分の相対流量に応じて、典型的には約5sccm〜約500sccmの範囲である。例えば、リンドーピングでは、ドーパント水素化物(前駆体)流量は、好ましくは10〜200sccmのホスフィン(H中の1%PH)である。
図8は、例示された実施形態における、シリコンウェハを含む基板10を示す概略的横断面図である。基板10は、ウェハまたはSOI基板上に形成されたエピタキシャル層を含むことができる。フィールドアイソレーション領域12は、従来の浅溝素子分離(STI)技術によって形成されており、STI素子の中のウインドウ中にアクティブエリア14を規定している。あるいは、フィールド絶縁材料を規定するために、シリコンの局所的酸化(LOCOS)およびいくつかのLOCOSまたはSTIの変形を含む任意の適当な方法を使用することができる。いくつかのアクティブエリアが、典型的には、基板10を横断してSTIによって同時に規定されること、およびSTIは、トランジスタアクティブエリア14を互いに分離するウェブを形成することが多いと理解される。この基板は、好ましくは、チャネル形成に適した濃度にバックグラウンドドーピングされている。
図9は、アクティブエリア14上にゲート電極16の形成後の基板10を図示する。絶縁スペーサーおよびキャップ層によって囲まれており、ゲート誘電体層18によって下にある基板10から分離されている、伝統的なシリコン電極として例示されているが、このトランジスタゲートスタックは、任意の種々の構成を持ち得るものと理解される。一部のプロセスフローでは、例えば、このスペーサーを省略してよい。例示された実施形態では、ゲート電極16の規定は、アクティブエリア14内のトランジスタゲート電極16のいずれかの側にソースおよびドレイン領域20を規定する。このゲート電極16はまた、ゲート電極16の下で、ソースおよびドレイン領域20の間にチャネル領域22を規定する。
図10は、露出されたシリコンを選択的に除去するエッチングステップの結果を例示する。好ましくは、垂直の側壁境界の明確さおよび露出された酸化物および窒化物材料への最小の損傷を保証するために反応性イオンエッチング(RIE)が使用される。好ましくは、この陥凹の深さは、陥凹中に堆積される層の臨界厚さ未満であるが、チャネルに対するひずみも臨界厚さを超える堆積によって得ることができる。露出されたシリコンは、本質的にアクティブエリア14のソースおよびドレイン(S/D)領域20であるので、このエッチングは、ソース/ドレイン陥凹と称される。いくつかのアレンジメントでは、ソース/ドレイン領域上の薄い誘電体を除去する第1のステップを使用してよいと理解される。
図11は、陥凹S/D領域20を選択的堆積方法で再充填した結果を示す。特に、露出した半導体表面は、例えば、HF蒸気またはHFラストディップで除去されて、その上でのエピタキシーのための新鮮な表面を残す。上記のように、トリシランおよび塩素を置換型ドーパント源と共に導入する。図8〜13の例示された実施形態では、下記により詳細に説明されるように、チャネル領域にひずみを生じさせる、置換的にドーピングされた膜をもたすために、置換型ドーパントは炭素源を含む。好ましくは、ドーパント水素化物が、プロセス蒸気混合物中に含まれる。シリコン含有エピタキシャル層が、S/D領域20に選択的に成長する。有利には、選択的に堆積されたヘテロエピタキシャル膜30(例えば、置換的にドーピングされたSi:Cを含む)は、S/D領域20を充填し、チャネル領域22にひずみを及ぼす。例示された実施形態では、ヘテロエピタキシャル膜30は、チャネル領域22の表面とほぼ揃っている。図示されたように、選択的堆積は、アモルファス領域上、例えば、フィールドアイソレーション領域12(一般に酸化ケイ素の形態)およびゲート電極16上のスペーサーキャップ(典型的には、窒化ケイ素)を含む絶縁体上への堆積を最小限に抑えるかまたは回避する。
図12は、拡張されたヘテロエピタキシャル膜32を有する上昇したS/D領域20を形成するための、必要に応じた選択的堆積の拡張を例示する。チャネル領域22の表面より下に拡張された膜32の部分は、チャネル領域22に横方向の応力を及ぼすので、基板の表面より上の部分は、生来のシリコン格子定数からの格子偏差をそれほどあるいはまったく含む必要がない。したがって、炭素源ガスは、チャネル領域22の表面より上への選択的堆積の部分に対して、漸減するかまたは停止してよく、トリシランおよび塩素フローを継続する。電気的ドーパント源ガス、特に、アルシンまたはホスフィンなどのドーパント水素化物は、好ましくは継続される。
図12の上昇されたS/D構造32は、有利には、基板10の表面の上方にさらなるシリコン材料を提供する。当技術分野で知られているように、その後の処理により、絶縁層が堆積され、コンタクトが絶縁膜を通ってソースおよびドレイン領域20まで作製される。このさらなるシリコン材料は、ケイ化物のコンタクトの形成を促進し、これはコンタクト抵抗を減少させる(オームコンタクトを形成する)。したがって、ニッケル、コバルトまたは他の金属がコンタクトホール中に堆積され、下にあるソース/ドレイン領域のための浅い接合の電気特性を害することなく過剰なシリコンを消費することを可能にする。
図13は、図9の構造が、S/D陥凹ステップを介在することなく、トリシラン、炭素源および塩素を用いて選択的堆積を受ける、他の実施形態を示す。この場合は、この選択的堆積は、ソースおよびドレイン領域を上昇させることにのみ役割を果たし、過剰な炭素でドーピングされたシリコン34が提供されて、浅い接合を破壊することなくコンタクトシリサイド化により消費されるのを可能にする。この堆積には、電気的ドーパント前駆体を場合により含めて、電気的に活性なドーパントでドーピングされたSi:Cを堆積させることが可能である。しかし、すべての過剰なシリコン構造34が、コンタクトケイ化物化によって消費される場合は、このような電気的ドーパントは不必要である。
有利には、トリシラン/塩素処理の選択性によって、フィールド領域上から過剰な堆積を除去するための、その後のパターンおよびエッチングステップが不要になる。不完全な選択性でさえ、高価なマスクステップを必要とせずに、有利には、絶縁表面上の不要な堆積を除去するために時限式ウエットエッチングの使用を可能にする。さらに、比較的速い堆積速度で優れた膜品質が得られ、スループットが改良される。例えば、ある特定のプロセス実施形態が、トリシラン、メチルシラン、B、および塩素を用いて、ホウ素でドーピングされたSiGeCを選択的に堆積させるために使用されて、例えば、ヘテロバイポーラトランジスタ(HBT)の基本構造を形成することが可能である。
Si:C層は、上で論じたように、陥凹ソース/ドレイン領域20中に選択的に形成することが可能である。しかし、このSi:C層はまた、Si:C層のブランケット堆積を含み、続いて単結晶Si:Cが、陥凹ソース/ドレイン領域20中に残されるようにエッチングが行われる方法によって形成することが可能である。このような方法の実施形態は、図14A〜14Cに示すシーケンスによって例示される。図14Aは、図10に示す構造と同じであり、同じ方法で形成してよい。しかし、図11に例示する選択的堆積方法とは対照的に、図14Bは、ブランケット堆積方法の結果を示し、ここで、ヘテロエピタキシャルSi:C膜30がソース/ドレイン領域20を充填し、多結晶性Si:C膜30aが、フィールドアイソレーション領域12およびゲート電極16上に堆積される。置換型炭素を含む単結晶シリコン膜を堆積させるための上記の方法は、単結晶Si:C膜30および多結晶性Si:C膜30aを堆積させるために使用することができる。単結晶Si:C膜30は、好ましくは、応力を維持する条件(厚さ、温度)下で堆積される。上に論じたように、ソース/ドレイン陥凹を充填するSi:C材料のより小さな格子定数により、これらの間のチャネル領域22に引張りひずみを及ぼす。好ましくは、トリシランおよび炭素源に加えて、プロセスフローにドーパント水素化物を添加する。好ましくはアルシンまたはホスフィンを使用する。
図14Cは、描かれた構造が、単結晶材料より多結晶材料の除去に選択的なエッチング条件を用いて、多結晶性Si:C膜30aを除去することから生じること以外は、上記図11と類似している。このようなエッチング条件は当業者には知られている。
図14A〜14Cに例示する方法は、単結晶Si含有領域(例えば、チャネル領域22)に引張応力を及ぼすこと、特に引張応力を受ける領域(チャネル領域22などの、引張応力が加えられる領域)でキャリア移動度を増加することが望ましい種々の状況で使用することができる。好ましくは、このキャリア移動度(例えば、電子移動度)は、引張応力を受けないこと以外は引張応力を受ける領域と実質的に同じである類似の領域に比較して、少なくとも約10%、より好ましくは少なくとも約20%だけ増加される。
即ち、ある実施形態では、第1の単結晶Si含有領域および第2の単結晶Si含有領域を含む集積回路であって、第1の単結晶Si含有領域および第2の単結晶Si含有領域の少なくとも一方(好ましくは両方)が、第1の単結晶Si含有領域および第2の単結晶Si含有領域の間に配置される第3の単結晶Si含有領域に引張応力を及ぼすのに有効な量の置換型炭素を含み、第3の単結晶Si含有領域が、応力を受けていない類似の領域に比較して、少なくとも約10%、より好ましくは少なくとも約20%のキャリア移動度の増加を示す集積回路が提供される。この集積回路は、第1の単結晶Si含有領域がソースを含み、第2の単結晶Si含有領域がドレインを含み、第3の単結晶Si含有領域がチャネルを含む、1つまたは複数のトランジスタを含んでよい。このようなトランジスタの例が図14Cに例示されており、ここで、第1および第2のSi含有領域はソース/ドレイン30を含み、第3の単結晶Si含有領域はチャネル22を含む。
本明細書に記載のように、トリシランを用いるSi含有膜の堆積は、本明細書に記載のように実施される場合は、従来のシリコン源の使用より有意の利点を提供することができる。例えば、トリシランを用いるSi含有膜の堆積は、好ましくは、トリシランの代わりにシランが使用される場合より、所与の堆積温度において有意により速い速度で進行する。好ましい実施形態では、トリシランが約50〜200mg/分の供給速度で基板表面に供給される高速度の堆積方法が提供される。熱CVD条件下では、好ましくは、約500℃〜約800℃の範囲の堆積温度において、この実施形態の実施により、毎分約50Å以上、好ましくは毎分約100Å以上、より好ましくは毎分約200Å以上の速度で、Si含有材料の比較的速い堆積をしばしば生じさせる(他のシリコン源に比較して)。トリシランを用いる堆積は、さらにより速い堆積速度、例えば、毎分約400Å以上、好ましくは毎分約800Å以上、さらにより好ましくは毎分約1000Å以上で実施することができる。好ましくは、表面品質を改良し、且つin situドーピングを提供するために、トリシランおよび炭素源と共にドーパント水素化物源も表面に供給される。
好ましいSi含有膜は、膜の表面に横断して非常に均一な厚さを有する。堆積が本明細書に記載のようにトリシランを用いて実施される場合、得られるSi含有膜に対する厚さ不均一率(%)は、好ましくは約2%以下である。この膜の平均厚さに応じて、下記の表1に示すような厚さ不均一率(%)のさらなる値が好ましいことがある。表1に示す厚さ不均一性の%の各値は、用語「約」が前に付くものと理解される。
Figure 2008530784
一般に、特定の一連のプロセス条件下で堆積された膜に対する膜厚均一性の測定は、約200mm〜約300mmの範囲の直径を有する均一または混合基板上に膜を堆積させることによって実施することができる。膜厚均一性は、無作為に選択される直径に沿って多点厚さ測定を行うこと(ウェハ周辺部の3mm除外区域内では測定値を採用しないで)、種々の厚さ測定値を平均することによって平均厚さを決定すること、および2乗平均平方根(rms)変動を決定することによって決定される。膜厚測定のための好ましい機器は、Thermawave製Optiprobe(商標)を使用し、好ましい測定値方法には、このような機器を用いて、無作為に選択されるウェハ直径に沿って49点での膜厚を測定することが含まれる。実際には、厚さ変動は、このような測定に続いて、典型的には機器から直接得られ、したがって手動で計算する必要はない。比較を容易にするために、この結果は、rms厚さ変動を平均厚さで除算し、結果をパーセントとして表すために100を乗ずることによって計算される不均一性パーセントとして表わすことができる。このような測定が利用不可能な表面を有する膜、例えば、その上に1つまたは複数の追加の層が適用されている膜、または集積回路内に含まれる膜の厚さ均一性を測定する場合は、この膜を横断面に切り電子顕微鏡で検査する。この膜厚を横断面に切った膜の最も薄い部分および最も厚い部分で測定し、これらの2つの点の間の厚さ測定値(例えば、±6Å)の範囲を2つの測定値の合計で除算する。この不均一性は、本明細書ではパーセントとして表される。
さらに、本明細書に記載の方法に従って作製された、他の元素(例えば、ドーピングされたシリコン、Si含有Si:CおよびSiGe合金、およびドーピングされたSi含有合金)を含む好ましい結晶性Si含有膜の組成均一性は、トリシランを使用しないで作製された対応する膜に比較して著しく改良されている。本発明は、いかなる操作の理論にも拘束されないが、Si含有膜は、従来の前駆体、例えば、シラン、ジクロロシラン(DCS)またはトリクロロシラン(TCS)を用いて堆積された対応するSi含有膜より良好な、ある程度の組成均一性を有するものと考えられる。さらに、比較的高濃度の非シリコン元素(複数可)を含む結晶性(例えば、単結晶または多結晶性)Si含有合金は、本明細書に記載の方法によって製造することが可能である。例えば、結晶性Si:Cは、好ましくは、約1原子%と約3.5原子%の間の置換型炭素を含む。
本発明の他の態様によれば、選択的堆積の実施形態では、非水素キャリアガスが、上記のように、置換型ドーパント前駆体(例えば、炭素源)、エッチャントガスおよびトリシランガスと組み合わせて好ましくは使用される。水素ガス(H)は、半導体処理のための蒸着、特にエピタキシャル堆積に使用される最も普及しているキャリアガスである。Hがよく使用されるいくつかの理由が存在する。Hは高純度で提供され得る。さらに、水素の熱的特性は、ウェハ上にさほど大きな熱的影響を有しないようなものである。さらに、水素は還元剤として作用する傾向を有するので、反応チャンバの決して完全でない密閉に起因する自然酸化膜の形成を抑制する。
しかし、本明細書に記載の置換型ドーパント前駆体/トリシラン/塩素堆積系における非水素キャリアガスを使用することから、特定の利点が今回見出された。好ましくは、ヘリウム(He)、アルゴン(Ar)、ネオン(Ne)、キセノン(Xe)または窒素ガス(N)、またはこのような不活性ガスの組合せが、水素の代わりに使用される。例示された実施形態では、Heが使用され、これはHのものに類似した熱的挙動を有するので、Hキャリアガスの使用からの修正のために反応器のより少ない調整しか必要としないためである。
上記のトリシラン/塩素/水素系において、以下を含む多数の可能な反応メカニズムが存在する。
(1)Si(s)+Cl(g)→SiCl(g) エッチング
(2)Si(g)→HSiSiH:(g)+SiH(g) トリシランの解離
(3)HSiSiH:(g)→HSi=SiH(g)
(4)SiH(g)+SiCl(s)→2Si(s)+2HCl(g) 堆積
(5)Si(s)+2HCl⇔SiCl(g)+H(g) 堆積およびエッチングの平衡
(6)2PH(g)→2P(s)+3H(g) ドーピング
(7)PH(g)+6Cl(s)→PCl(g)+3HCl(g)+フリー表面部位
(8)Cl(g)+H(g)→2HCl(g)
比較:SiHCl(g)→SiCl(g)+H(g) DCSの分解
式(1)は、この系におけるエッチング反応を表す。式(1)はまた、エッチング(これは、選択性を維持するために必要である)を提供することに加えて、シリコン堆積を生じさせる傾向にある式(5)の反応物を生じさせる。式(5)は、右への反応(エッチング)と左への反応(堆積)の間のバランスを表す。条件は、絶縁表面上ではエッチングが優位を占め、一方半導体ウインドウ上では堆積が優位を占めるようなものが好ましい。理論によって限定されることは望まないが、堆積を提供するSiClを生み出しながら、選択性のためのエッチングを生じさせるのに十分な濃度の塩素ガスを提供することが望ましい。
しかし、キャリアガスとして(即ち、大量に)遊離のHが存在する場合は、反応(8)が起こり、HClを生成する。この系中のHClの濃度を増加させると、堆積/エッチングの式(4)および(5)を共にエッチングの方向に押し進め、したがって任意の所与の「調整される」プロセスに対する堆積速度を低下させる。調整されるプロセスとは、選択的堆積を達成するために反応物濃度が調整されているものを表す。
式(7)は、Hキャリアガスの存在に起因するHClの生成によって抑制される、さらに別の望ましい反応を示す。式(7)は、ウェハ表面上に吸着された塩化物のゲッタリングを例示する。ドーパント水素化物、例えば、アルシン、ホスフィンおよびジボラン(ホスフィンが示されている)は、表面の塩素原子と反応する傾向にあるので、揮発性副生成物(複数可)を形成して、表面反応部位は堆積のために解放される。しかし、式(4)および(5)と同様に、HCl濃度を上昇させると、式(7)の平衡を左に移すことによって、望ましいゲッタリング反応を抑制する傾向にある。
したがって、非水素キャリアガス(これは、一般に系中の優勢なガスである)の使用は、式(8)によるClの消費を回避して、堆積反応(4)、(5)およびゲッタリング反応(7)を抑制することを回避する。Violetteら、J.Electrochem.Soc.、143巻(1996)、3290〜3296頁およびO’Neillら、J.Electrochem.Soc.、144巻(1997)、3309〜3315頁から再現した図15に示すプロットは、Hキャリアガスの添加が、これらの研究のSi/Cl系中の堆積反応物SiClの濃度をいかに抑制するかを例示する。この方法は、好ましくはHを使用しないが、Hを最小限に抑えることによる効果は、すべてを除外することなく得ることができることに留意されたい。好ましくは、系中のガスの最大の供給源になる主なキャリアガスは非水素である。
図16は、キャリアガス(例示された実施形態ではヘリウム)、炭素源(例示された実施形態ではMMS)、トリシランおよびエッチングガス(例示された実施形態ではCl)を使用する、好ましい反応器システム100を例示する。示されるように、精製器102をキャリアガス源104の下流に配置する。不活性ガス流の一部をバブラー106の形態の気化器に分流し、ここからキャリアガスが気化されたトリシラン108を運ぶ。あるいは、トリシランを単純に加熱して、液体上の空間中のトリシランの蒸気圧を上昇させ、キャリアガスが、この空間中を通過するときにトリシランを拾い上げる。いずれにしても、液体反応物源容器106の下流は、この蒸気を介して音の速度を測定することによって流動ガスの反応物の濃度を決定する分析器110である。この測定値に基づいて、ソフトウエア制御された下流のマスフロー制御器(MFC)112に対する設定値は、分析器110によって変えられる。このような分析器は市販されている。
このMFC112を通るフローは、堆積チャンバ122のための注入マニフォールド120の上流で、主要キャリアガスMFC114を通る主要キャリアガスおよび他の反応物(ガスパネルで)と合流する。エッチャントガス源130はまた必要に応じて、選択的堆積プロセスのために、好ましくはClガスを供給する。例示された実施形態では、炭素源132(MMSとして示されている)およびドーパント水素化物源134(ホスフィンが示されている)も供給される。
図示するように、反応器システム100はまた、システム100の種々の制御可能なコンポーネントに電気的に結合された中央制御器150を含む。この制御器は、反応チャンバ122内に収容された基板上に本明細書に記載の堆積方法を実施するためのガスフロー、温度、圧力等を提供するようにプログラムされる。当業者であれば分かるように、制御器150は、典型的には、メモリおよびマイクロプロセッサを備え、ソフトウエア、ハードワイヤード(hardwired)またはこの2つの組合せによってプログラムされてよく、この制御器の機能は、異なる物理的な場所に配置されたプロセッサに分散してよい。したがって、制御器150は、システム100中に分散された複数の制御器を表してもよい。
この結果、炭素源/トリシランの組合せは、シリコン含有材料、特にエピタキシャル層に対する向上した堆積速度をもたらす。一実施形態では、絶縁材料中の半導体ウインドウ上/中でのSi:Cの選択的堆積を達成するために、このガスの流量は、圧力および温度と組み合わせて選択される。
例示された実施形態では、トリシランおよび塩素と組み合わせた炭素源132により、上記に開示されたように、高い置換型炭素含有量のSi:Cの選択的堆積を達成することができる。他の実施形態では、ドーパント水素化物源134がまた、向上した導電率を有するin situでドーピングされた半導体層をもたらすために、好ましく供給される。好ましくは、Si:Cエピタキシーのためのドーパント水素化物はアルシンまたはホスフィンであり、この層はn型ドーピングされる。より好ましくは、選択的堆積の実施形態では、ドーパント水素化物のための希釈不活性ガスはまた、非水素不活性ガスである。したがって、ホスフィンおよびMMSは、好ましくは、例えばヘリウム中で、これらの供給源容器132、134で貯蔵される。典型的なドーパント水素化物濃度は、アルシンおよびホスフィンに対して、ヘリウム中0.1%〜5%、より典型的にはヘリウム中0.5%〜1.0%である。典型的な炭素源濃度は、ヘリウム中5%〜50%、より典型的にはヘリウム中10%〜30%である。例えば、実験は、ヘリウム中20%MMSで実施される。
炭素源、トリシランおよび塩素ガスと組み合わせた非水素不活性キャリアガスの利点についての前述の考察は、他の半導体化合物にも適用可能である。例えば、トリシラン、ゲルマン、塩素および非水素キャリアガスにより、SiGeに対して同様の向上した選択的な堆積の利点が得られる。例えば、p型ドーピングされた層は、ヘリウム中の1%ジボランの添加で得ることができる。
8インチのパターン化されていないSi<100>ウェハ基板を、Epsilon E2500(商標)反応器システム中に装填した。次いで、この基板を900℃、20slmの水素流量の反応器システム中に導入し、1分間安定化させた。次いで、基板の温度を550℃に低下させるにつれて、水素流を2slmに減少させた。次いで、この基板を10秒間安定化させ、この時間後、50mg/分のトリシランおよび40sccmのMMSのフローを7.5分間導入した。100sccmホスフィン(H中1%)のフローを同時に供給して、約64Torrの堆積圧で堆積を実施した。約210nm(XRD)の厚さを有する、連続的で均一なリンでドーピングされたSi:C膜が、単結晶基板上に堆積された(約28nm/分の堆積速度)。次いで基板を反応器から取り出してロードロックに戻した。シリコンウェハ上に堆積されたリンでドーピングされたこのSi:C膜は、優れたエピタキシャル品質、0.8mΩ・cm(中心)の抵抗率を有し、約3.5原子%炭素を含んでいた。
単結晶領域および絶縁体(酸化物)領域を有するパターン化した基板を使用したこと以外は、実施例1に記載の通りにリンでドーピングされたSi:C膜を堆積した。リンでドーピングされたSi:C膜は、単結晶および絶縁体領域の両方の上に生じ、両方の上で実質的に同じ厚さ(約200nm)を有していた。
本明細書に言及されたすべての特許、特許出願および論文は、その全体を参照により本明細書に援用する。本発明の範囲から逸脱することなく、上記の方法に種々の省略、追加および修正を加えることができ、すべてのこのような修正および変更は、添付の特許請求の範囲に定義される本発明の範囲に含まれるものとすることを、当業者であれば理解するであろう。
3種の異なるキャリアガス(H)流量についての、堆積圧の関数としてのシリコン膜中の置換型炭素含有量のプロットを示す。 3種の異なるキャリアガス(H)流量についての、堆積圧の関数としての成長速度のグラフを示す。 一定のモノメチルシラン(MMS)流量での、トリシラン流量の関数としてのシリコン膜中の置換型炭素含有量のグラフを示す。 一定のモノメチルシラン(MMS)流量での、堆積速度(成長速度)の関数としてのシリコン膜中の置換型炭素含有量を示す。 MMSに対するトリシランの一定の流量比での、膜成長速度の関数としてのシリコン膜中の置換型炭素含有量のグラフを示す。 トリシラン流量の関数としての成長速度のグラフを示す。 炭素およびヒ素の両方で置換的にドーピングされたシリコン膜についての、成長速度の関数としての置換型炭素含有量のグラフであって、このグラフは、これらの膜の抵抗率も示す(mΩ・cmの単位、これも左軸)。 トリシラン流量の関数としてのこれらの膜の成長速度を示すプロットである。 一定のトリシラン流量(200mg/分)および一定のアルシン流量(100sccmのH中1%のAsH)での、MMS流量の関数としてのヒ素でドーピングされたSi:C膜中の置換型炭素含有量のグラフを示す。 アルシンに対するトリシランの一定の流量比で堆積された一連の膜についての、成長速度の関数としてのヒ素でドーピングされたSi膜抵抗率のグラフを示す。 アルシンに対するトリシランの一定の流量比での、トリシラン流量の関数としての膜堆積(成長)速度のグラフを示す。 好ましい実施形態に従って炭素で置換的にドーピングされたシリコン膜についての、フーリエ変換赤外(FTIR)スペクトルの一部を示す。 露出された絶縁体および半導体表面を残している、フィールド酸化物規定後の半導体基板の概略的横断面図である。 アクティブエリアウインドウ内にトランジスタゲート電極を形成した後の、図8の構造を示す。 ゲート電極のいずれかの側にソースおよびドレイン領域に陥凹を設けた後の、図9の構造を示す。 好ましい実施形態により、陥凹領域内に半導体膜の選択的堆積後の、図10の構造を示す。 選択的堆積を場合により継続して、上昇されたソース/ドレイン構造を形成した後の、図11の構造を示す。 他の好ましい実施形態により、半導体ウインドウを露出させ、選択的堆積を実施して、上昇されたソース/ドレイン構造を形成した後の、図9の構造を示す。 他の好ましい実施形態により、ブランケット堆積およびエッチングによってソース/ドレイン領域を形成する方法を示す、半導体基板の一連の概略的横断面図を示す。 他の好ましい実施形態により、ブランケット堆積およびエッチングによってソース/ドレイン領域を形成する方法を示す、半導体基板の一連の概略的横断面図を示す。 他の好ましい実施形態により、ブランケット堆積およびエッチングによってソース/ドレイン領域を形成する方法を示す、半導体基板の一連の概略的横断面図を示す。 種々の塩素化シリコン化学種を含む系についての、水素キャリアガスの添加のありまたはなしによる、温度の関数としての種々の反応物の熱力学的平衡を示す2つのグラフを示す。 好ましい実施形態によりシリコン含有膜を選択的に堆積させるための、トリシラン、炭素源、エッチャントガス、およびキャリアガスを使用する系に対して構成された反応器の概略図である。

Claims (52)

  1. 置換型炭素を含み、5.38Å以下の格子間隔を有する単結晶シリコン膜。
  2. 前記格子間隔が5.36Å以下である、請求項1に記載の単結晶シリコン膜。
  3. 前記格子間隔が5.34Å以下である、請求項1に記載の単結晶シリコン膜。
  4. リンおよびヒ素からなる群から選択されるドーパントをさらに含む、請求項1から3のいずれか一項に記載の単結晶シリコン膜。
  5. 約1.0mΩ・cm以下の抵抗率を有する、請求項1から4のいずれか一項に記載の単結晶シリコン膜。
  6. 約0.7mΩ・cm以下の抵抗率を有する、請求項1から5のいずれか一項に記載の単結晶シリコン膜。
  7. X線回折法およびベガードの法則によって決定された、2.4原子%以上の置換型炭素を含む単結晶シリコン膜。
  8. 2.7原子%以上の置換型炭素を含む、請求項1から7のいずれか一項に記載の単結晶シリコン膜。
  9. 3.0原子%以上の置換型炭素を含む、請求項1から8のいずれか一項に記載の単結晶シリコン膜。
  10. 約0.3原子%未満の非置換型炭素を含む、請求項1から9のいずれか一項に記載の単結晶シリコン膜。
  11. 約0.2原子%未満の非置換型炭素を含む、請求項1から10のいずれか一項に記載の単結晶シリコン膜。
  12. 約1.0GPa以上の引張応力を有する、請求項1から11のいずれか一項に記載の単結晶シリコン膜。
  13. 約1.5GPa以上の引張応力を有する、請求項1から12のいずれか一項に記載の単結晶シリコン膜。
  14. 約1.7GPa以上の引張応力を有する、請求項1から13のいずれか一項に記載の単結晶シリコン膜。
  15. 約1.85GPa以上の引張応力を有する、請求項1から14のいずれか一項に記載の単結晶シリコン膜。
  16. 約2.0GPa以上の引張応力を有する、請求項1から15のいずれか一項に記載の単結晶シリコン膜。
  17. 臨界膜厚未満である膜厚を有する、請求項1から16のいずれか一項に記載の単結晶シリコン膜。
  18. 約200nm以下の膜厚を有する、請求項1から17のいずれか一項に記載の単結晶シリコン膜。
  19. 下にある単結晶シリコン基板をさらに含む、請求項1から18のいずれか一項に記載の単結晶シリコン膜。
  20. 下にある単結晶シリコン基板が約5.43Åの格子間隔を有する、請求項19に記載の単結晶シリコン膜。
  21. 下にある単結晶シリコン基板中に陥凹した、請求項19または20に記載の単結晶シリコン膜。
  22. チャンバ内に配置された基板を提供すること、
    化学気相成長条件下で、該チャンバにトリシランおよび炭素源を導入すること、および
    X線回折法およびベガードの法則によって決定される、少なくとも約1.0原子%の置換型炭素を含む単結晶シリコン膜を、少なくとも毎分約5nmの堆積速度で該基板上に堆積させること
    を含む単結晶シリコン膜を堆積させる方法。
  23. 単結晶シリコン膜が、1.5原子%以上の置換型炭素を含む、請求項22に記載の方法。
  24. 単結晶シリコン膜が、2.4原子%以上の置換型炭素を含む、請求項22に記載の方法。
  25. 単結晶シリコン膜を、少なくとも毎分約10nmの堆積速度で基板上に堆積させることを含む、請求項22から24のいずれか一項に記載の方法。
  26. 単結晶シリコン膜を、少なくとも毎分約20nmの堆積速度で基板上に堆積させることを含む、請求項22から25のいずれか一項に記載の方法。
  27. 前記基板が単結晶シリコンを含む、請求項22から26のいずれか一項に記載の方法。
  28. 単結晶シリコン膜が、約0.25原子%未満の非置換型炭素を含む、請求項22から27のいずれか一項に記載の方法。
  29. 単結晶シリコン膜が、約0.15原子%未満の非置換型炭素を含む、請求項22から28のいずれか一項に記載の方法。
  30. ドーパント前駆体をチャンバに導入することをさらに含む、請求項22から29のいずれか一項に記載の方法。
  31. 単結晶シリコン膜が、電気的に活性なドーパントを含む、請求項22から30のいずれか一項に記載の方法。
  32. 化学気相成長条件が、約450℃〜約600℃の範囲の温度を含む、請求項22から31のいずれか一項に記載の方法。
  33. 化学気相成長条件が、実質的に物質移動で制御される堆積条件と実質的に反応速度論で制御される堆積条件の間の転移温度付近である温度を含む、請求項22から32のいずれか一項に記載の方法。
  34. 化学気相成長条件が、少なくとも約500mTorrのチャンバ圧力を含む、請求項22から33のいずれか一項に記載の方法。
  35. 化学気相成長条件が、少なくとも約20Torrのチャンバ圧力を含む、請求項22から34のいずれか一項に記載の方法。
  36. 化学気相成長条件が、約20Torr〜約200Torrの範囲のチャンバ圧力を含む、請求項22から35のいずれか一項に記載の方法。
  37. 炭素源が、モノシリルメタン、ジシリルメタン、トリシリルメタンおよびテトラシリルメタン、モノメチルシラン、ジメチルシランおよび1,3−ジシラブタンからなる群から選択される、請求項22から36のいずれか一項に記載の方法。
  38. 炭素源がモノメチルシランである、請求項22から37のいずれか一項に記載の方法。
  39. 炭素源が、式(SiH3−ZC1CH4−x−yCl(式中、xは1〜4の範囲の整数であり、yおよびzは、それぞれ独立に、0または1〜3の範囲の整数であり、但し、x+y≦4であり、yおよびzの少なくとも1つは0でない)のクロロシリルメタンを含む、請求項22から38のいずれか一項に記載の方法。
  40. 炭素源が、式XSiH(C2n+14−a−b(式中、Xはハロゲンであり;nは、1または2であり;aは、1または2であり;bは、0、1または2であり;aとbの合計は4未満である)のアルキルハロシランを含む、請求項22から39のいずれか一項に記載の方法。
  41. 基板上に堆積された単結晶シリコン膜が、ソース領域およびドレイン領域を形成する、請求項22から40のいずれか一項に記載の方法。
  42. 単結晶シリコン膜が引張りひずみを受ける、請求項22から41のいずれか一項に記載の方法。
  43. 基板が、ソース領域とドレイン領域の間に配置されるチャネル領域を含む、請求項41または42に記載の方法。
  44. チャネル領域が引張りひずみを受ける、請求項43に記載の方法。
  45. 基板がゲート電極を含む、請求項22から41のいずれか一項に記載の方法。
  46. 単結晶シリコン膜を堆積させながら、ゲート電極上に多結晶シリコン膜を堆積させることをさらに含む、請求項45に記載の方法。
  47. ゲート電極上から多結晶シリコン膜をエッチングすることをさらに含む、請求項46に記載の方法。
  48. 第1の単結晶Si含有領域および第2の単結晶Si含有領域を含む集積回路であって、第1の単結晶Si含有領域および第2の単結晶Si含有領域の少なくとも一方が、第1の単結晶Si含有領域と第2の単結晶Si含有領域の間に配置される第3の単結晶Si含有領域に引張応力を及ぼすのに有効な置換型炭素の量を含み、第3の単結晶Si含有領域が、応力を受けていない相当する領域に比較して少なくとも約10%のキャリア移動度の増加を示す集積回路。
  49. 第1の単結晶Si含有領域および第2の単結晶Si含有領域の両方が、第3の単結晶Si含有領域に引張応力を及ぼすのに有効な置換型炭素の量を含む、請求項48に記載の集積回路。
  50. 第1の単結晶Si含有領域、第2の単結晶Si含有領域および第3の単結晶Si含有領域を含むトランジスタを含む、請求項48または49に記載の集積回路。
  51. 第1の単結晶Si含有領域がソースを含み、第2の単結晶Si含有領域がドレインを含み、第3の単結晶Si含有領域がチャネルを含む、請求項50に記載の集積回路。
  52. キャリア移動度の増加が電子移動度の増加である、請求項48から51のいずれか一項に記載の集積回路。
JP2007554171A 2005-02-04 2006-01-31 化学気相成長によって置換的に炭素でドーピングされた結晶性Si含有材料を製造する方法 Active JP5571287B2 (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US64999005P 2005-02-04 2005-02-04
US60/649,990 2005-02-04
US66343405P 2005-03-18 2005-03-18
US60/663,434 2005-03-18
US66842005P 2005-04-04 2005-04-04
US60/668,420 2005-04-04
PCT/US2006/003465 WO2006083909A2 (en) 2005-02-04 2006-01-31 Method of making substitutionally carbon-highly doped crystalline si-layers by cvd

Publications (3)

Publication Number Publication Date
JP2008530784A true JP2008530784A (ja) 2008-08-07
JP2008530784A5 JP2008530784A5 (ja) 2009-03-19
JP5571287B2 JP5571287B2 (ja) 2014-08-13

Family

ID=36514645

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2007554163A Pending JP2008530782A (ja) 2005-02-04 2006-01-31 シリコン含有膜の選択的堆積
JP2007554171A Active JP5571287B2 (ja) 2005-02-04 2006-01-31 化学気相成長によって置換的に炭素でドーピングされた結晶性Si含有材料を製造する方法
JP2006025710A Active JP5173140B2 (ja) 2005-02-04 2006-02-02 電気的に活性なドープト結晶性Si含有膜の堆積方法
JP2011265240A Active JP5295344B2 (ja) 2005-02-04 2011-12-02 シリコン含有膜の選択的堆積

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2007554163A Pending JP2008530782A (ja) 2005-02-04 2006-01-31 シリコン含有膜の選択的堆積

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2006025710A Active JP5173140B2 (ja) 2005-02-04 2006-02-02 電気的に活性なドープト結晶性Si含有膜の堆積方法
JP2011265240A Active JP5295344B2 (ja) 2005-02-04 2011-12-02 シリコン含有膜の選択的堆積

Country Status (6)

Country Link
US (5) US7687383B2 (ja)
EP (2) EP1846595A1 (ja)
JP (4) JP2008530782A (ja)
KR (2) KR20070100401A (ja)
TW (2) TWI385714B (ja)
WO (2) WO2006083821A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013511159A (ja) * 2009-12-21 2013-03-28 インテル コーポレイション ドープされたエピタキシャル領域を有する半導体装置およびその製造方法

Families Citing this family (401)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4866534B2 (ja) 2001-02-12 2012-02-01 エーエスエム アメリカ インコーポレイテッド 半導体膜の改良された堆積方法
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
JP3664252B2 (ja) * 2002-11-19 2005-06-22 ソニー株式会社 負極およびそれを用いた電池
US7153772B2 (en) * 2003-06-12 2006-12-26 Asm International N.V. Methods of forming silicide films in semiconductor devices
WO2006044268A1 (en) * 2004-10-13 2006-04-27 Dow Global Technologies Inc. Catalysed diesel soot filter and process for its use
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7687383B2 (en) 2005-02-04 2010-03-30 Asm America, Inc. Methods of depositing electrically active doped crystalline Si-containing films
KR100632463B1 (ko) * 2005-02-07 2006-10-11 삼성전자주식회사 에피택셜 반도체 기판의 제조 방법과 이를 이용한 이미지센서의 제조 방법, 에피택셜 반도체 기판 및 이를 이용한이미지 센서
JP4654710B2 (ja) * 2005-02-24 2011-03-23 信越半導体株式会社 半導体ウェーハの製造方法
KR100641063B1 (ko) * 2005-08-26 2006-11-01 삼성전자주식회사 단결정 구조물 형성 방법 및 이를 이용한 반도체 장치의제조 방법
KR20080089403A (ko) * 2005-12-22 2008-10-06 에이에스엠 아메리카, 인코포레이티드 도핑된 반도체 물질들의 에피택시 증착
US7709391B2 (en) * 2006-01-20 2010-05-04 Applied Materials, Inc. Methods for in-situ generation of reactive etch and growth specie in film formation processes
US7579248B2 (en) * 2006-02-13 2009-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Resolving pattern-loading issues of SiGe stressor
US7598178B2 (en) * 2006-03-24 2009-10-06 Applied Materials, Inc. Carbon precursors for use during silicon epitaxial film formation
KR101074186B1 (ko) * 2006-04-07 2011-10-14 어플라이드 머티어리얼스, 인코포레이티드 에피택셜 필름 형성을 위한 클러스터 툴
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7655542B2 (en) * 2006-06-23 2010-02-02 Applied Materials, Inc. Methods and apparatus for depositing a microcrystalline silicon film for photovoltaic device
US7473594B2 (en) * 2006-07-25 2009-01-06 International Business Machines Corporation Raised STI structure and superdamascene technique for NMOSFET performance enhancement with embedded silicon carbon
DE112007001813T5 (de) * 2006-07-31 2009-07-09 Applied Materials, Inc., Santa Clara Verfahren zum Steuern der Morphologie während der Bildung einer epitaktischen Schicht
CN101496153A (zh) * 2006-07-31 2009-07-29 应用材料股份有限公司 形成含碳外延硅层的方法
JP2008041734A (ja) * 2006-08-02 2008-02-21 Sony Corp 半導体装置および半導体装置の製造方法
US7432559B2 (en) * 2006-09-19 2008-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide formation on SiGe
JP5114919B2 (ja) 2006-10-26 2013-01-09 富士通セミコンダクター株式会社 半導体装置とその製造方法
US7976634B2 (en) * 2006-11-21 2011-07-12 Applied Materials, Inc. Independent radiant gas preheating for precursor disassociation control and gas reaction kinetics in low temperature CVD systems
US7741200B2 (en) * 2006-12-01 2010-06-22 Applied Materials, Inc. Formation and treatment of epitaxial layer containing silicon and carbon
US20080132039A1 (en) * 2006-12-01 2008-06-05 Yonah Cho Formation and treatment of epitaxial layer containing silicon and carbon
US7837790B2 (en) * 2006-12-01 2010-11-23 Applied Materials, Inc. Formation and treatment of epitaxial layer containing silicon and carbon
US7960236B2 (en) * 2006-12-12 2011-06-14 Applied Materials, Inc. Phosphorus containing Si epitaxial layers in N-type source/drain junctions
US7897495B2 (en) * 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
US20080138955A1 (en) * 2006-12-12 2008-06-12 Zhiyuan Ye Formation of epitaxial layer containing silicon
US8394196B2 (en) * 2006-12-12 2013-03-12 Applied Materials, Inc. Formation of in-situ phosphorus doped epitaxial layer containing silicon and carbon
US7528045B2 (en) * 2007-01-31 2009-05-05 United Microelectronics Corp. MOS transistor and manufacturing methods thereof
US9064960B2 (en) * 2007-01-31 2015-06-23 Applied Materials, Inc. Selective epitaxy process control
US8367548B2 (en) * 2007-03-16 2013-02-05 Asm America, Inc. Stable silicide films and methods for making the same
US7833883B2 (en) * 2007-03-28 2010-11-16 Intel Corporation Precursor gas mixture for depositing an epitaxial carbon-doped silicon film
US7553717B2 (en) * 2007-05-11 2009-06-30 Texas Instruments Incorporated Recess etch for epitaxial SiGe
JP2009064996A (ja) * 2007-09-07 2009-03-26 Sony Corp 半導体装置およびその製造方法
US7759199B2 (en) 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US7776698B2 (en) * 2007-10-05 2010-08-17 Applied Materials, Inc. Selective formation of silicon carbon epitaxial layer
US7772074B2 (en) * 2007-10-18 2010-08-10 Applied Materials, Inc. Method of forming conformal silicon layer for recessed source-drain
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US7772097B2 (en) 2007-11-05 2010-08-10 Asm America, Inc. Methods of selectively depositing silicon-containing films
FR2925762A1 (fr) * 2007-12-21 2009-06-26 Soitec Silicon On Insulator Technologies Sa Procede de fabrication d'une heterostructure comprenant un depot epitaxial de silicium, et heterostructure correspondante
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
US7833885B2 (en) 2008-02-11 2010-11-16 Applied Materials, Inc. Microcrystalline silicon thin film transistor
US8076222B2 (en) * 2008-02-11 2011-12-13 Applied Materials, Inc. Microcrystalline silicon thin film transistor
DE102008011185A1 (de) * 2008-02-27 2009-09-03 Osram Opto Semiconductors Gmbh Verfahren zur Herstellung einer dotierten organischen halbleitenden Schicht
JP5343371B2 (ja) * 2008-03-05 2013-11-13 株式会社Sumco シリコン基板とその製造方法
US7947552B2 (en) * 2008-04-21 2011-05-24 Infineon Technologies Ag Process for the simultaneous deposition of crystalline and amorphous layers with doping
KR101266135B1 (ko) * 2008-06-03 2013-05-27 도쿄엘렉트론가부시키가이샤 실리콘 함유 막의 저온 증착
US8471307B2 (en) * 2008-06-13 2013-06-25 Texas Instruments Incorporated In-situ carbon doped e-SiGeCB stack for MOS transistor
US8507337B2 (en) * 2008-07-06 2013-08-13 Imec Method for doping semiconductor structures and the semiconductor device thereof
DE102008035816B4 (de) * 2008-07-31 2011-08-25 GLOBALFOUNDRIES Dresden Module One Ltd. Liability Company & Co. KG, 01109 Leistungssteigerung in PMOS- und NMOS-Transistoren durch Verwendung eines eingebetteten verformten Halbleitermaterials
US7859051B2 (en) * 2008-08-19 2010-12-28 Infineon Technologies Austria Ag Semiconductor device with a reduced band gap and process
WO2010024987A1 (en) 2008-08-27 2010-03-04 S.O.I.Tec Silicon On Insulator Technologies Methods of fabricating semiconductor structures or devices using layers of semiconductor material having selected or controlled lattice parameters
DE102009006886B4 (de) 2009-01-30 2012-12-06 Advanced Micro Devices, Inc. Verringerung von Dickenschwankungen einer schwellwerteinstellenden Halbleiterlegierung durch Verringern der Strukturierungsungleichmäßigkeiten vor dem Abscheiden der Halbleiterlegierung
WO2010086152A1 (en) * 2009-01-30 2010-08-05 Advanced Micro Devices, Inc. Reduction of thickness variations of a threshold adjusting semiconductor alloy by reducing patterning non-uniformities prior to depositing the semiconductor alloy
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8486191B2 (en) 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
JP5381382B2 (ja) 2009-06-19 2014-01-08 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8022488B2 (en) * 2009-09-24 2011-09-20 International Business Machines Corporation High-performance FETs with embedded stressors
JP2011077322A (ja) * 2009-09-30 2011-04-14 Tokyo Electron Ltd 結晶性珪素膜の成膜方法およびプラズマcvd装置
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
CN102741999B (zh) 2009-11-18 2015-07-15 Soitec公司 使用玻璃键合层制造半导体结构和器件的方法,和用所述方法形成的半导体结构和器件
US9117905B2 (en) * 2009-12-22 2015-08-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method for incorporating impurity element in EPI silicon process
US9331174B2 (en) * 2010-04-15 2016-05-03 Globalfoundries Inc. Method for improving device performance using epitaxially grown silicon carbon (SiC) or silicon-germanium (SiGe)
JP2013531899A (ja) 2010-07-02 2013-08-08 マシスン トライ−ガス インコーポレイテッド Si−含有材料および置換的にドーピングされた結晶性si−含有材料の選択エピタキシー
US9011599B2 (en) * 2010-07-14 2015-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of temperature determination for deposition reactors
EP2416350A1 (en) * 2010-08-06 2012-02-08 Imec A method for selective deposition of a semiconductor material
US8685845B2 (en) * 2010-08-20 2014-04-01 International Business Machines Corporation Epitaxial growth of silicon doped with carbon and phosphorus using hydrogen carrier gas
JP5559639B2 (ja) * 2010-08-25 2014-07-23 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
WO2012029661A1 (ja) * 2010-09-01 2012-03-08 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US8551845B2 (en) 2010-09-21 2013-10-08 International Business Machines Corporation Structure and method for increasing strain in a device
US8053344B1 (en) 2010-09-21 2011-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming integrated circuits
US8778767B2 (en) 2010-11-18 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and fabrication methods thereof
US9023721B2 (en) 2010-11-23 2015-05-05 Soitec Methods of forming bulk III-nitride materials on metal-nitride growth template layers, and structures formed by such methods
FR2968678B1 (fr) 2010-12-08 2015-11-20 Soitec Silicon On Insulator Procédés pour former des matériaux a base de nitrure du groupe iii et structures formées par ces procédés
FR2968830B1 (fr) 2010-12-08 2014-03-21 Soitec Silicon On Insulator Couches matricielles ameliorees pour le depot heteroepitaxial de materiaux semiconducteurs de nitrure iii en utilisant des procedes hvpe
US20120190216A1 (en) * 2011-01-20 2012-07-26 International Business Machines Corporation Annealing techniques for high performance complementary metal oxide semiconductor (cmos) device fabrication
US20120187505A1 (en) * 2011-01-25 2012-07-26 International Business Machines Corporation Self-aligned III-V MOSFET fabrication with in-situ III-V epitaxy and in-situ metal epitaxy and contact formation
WO2012102755A1 (en) * 2011-01-28 2012-08-02 Applied Materials, Inc. Carbon addition for low resistivity in situ doped silicon epitaxy
EP2673799B1 (en) * 2011-02-08 2022-08-31 Applied Materials, Inc. Epitaxy of high tensile silicon alloy for tensile strain applications
US10011920B2 (en) * 2011-02-23 2018-07-03 International Business Machines Corporation Low-temperature selective epitaxial growth of silicon for device integration
US20120276695A1 (en) * 2011-04-29 2012-11-01 International Business Machines Corporation Strained thin body CMOS with Si:C and SiGe stressor
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US9218962B2 (en) * 2011-05-19 2015-12-22 Globalfoundries Inc. Low temperature epitaxy of a semiconductor alloy including silicon and germanium employing a high order silane precursor
US9537004B2 (en) 2011-05-24 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain formation and structure
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
WO2013009505A2 (en) 2011-07-13 2013-01-17 Applied Materials, Inc. Methods of manufacturing thin film transistor devices
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN103828061B (zh) 2011-10-07 2018-02-13 应用材料公司 使用氩气稀释来沉积含硅层的方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8674453B2 (en) 2011-12-13 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming stressor regions in a semiconductor device
US8723266B2 (en) 2011-12-13 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. Pinch-off control of gate edge dislocation
US20130193492A1 (en) * 2012-01-30 2013-08-01 International Business Machines Corporation Silicon carbon film structure and method
US9190471B2 (en) 2012-04-13 2015-11-17 Globalfoundries U.S.2 Llc Semiconductor structure having a source and a drain with reverse facets
US9012310B2 (en) 2012-06-11 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation of source and drain regions
JP6019938B2 (ja) * 2012-08-30 2016-11-02 富士電機株式会社 炭化珪素半導体装置の製造方法
US8860040B2 (en) 2012-09-11 2014-10-14 Dow Corning Corporation High voltage power semiconductor devices on SiC
US20140070358A1 (en) * 2012-09-12 2014-03-13 Globalfoundries Inc. Method of tailoring silicon trench profile for super steep retrograde well field effect transistor
KR20140039544A (ko) * 2012-09-24 2014-04-02 삼성전자주식회사 반도체 소자 및 그 제조 방법
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9018639B2 (en) 2012-10-26 2015-04-28 Dow Corning Corporation Flat SiC semiconductor substrate
US9059212B2 (en) 2012-10-31 2015-06-16 International Business Machines Corporation Back-end transistors with highly doped low-temperature contacts
US9099421B2 (en) * 2012-10-31 2015-08-04 Taiwan Semiconductor Manufacturing Company Limited Surface profile for semiconductor region
US8912071B2 (en) 2012-12-06 2014-12-16 International Business Machines Corporation Selective emitter photovoltaic device
US9306106B2 (en) 2012-12-18 2016-04-05 International Business Machines Corporation Monolithic integration of heterojunction solar cells
US8642378B1 (en) 2012-12-18 2014-02-04 International Business Machines Corporation Field-effect inter-digitated back contact photovoltaic device
US8900958B2 (en) 2012-12-19 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation mechanisms of source and drain regions
US9252008B2 (en) 2013-01-11 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial formation mechanisms of source and drain regions
US8853039B2 (en) 2013-01-17 2014-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction for formation of epitaxial layer in source and drain regions
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9738991B2 (en) 2013-02-05 2017-08-22 Dow Corning Corporation Method for growing a SiC crystal by vapor deposition onto a seed crystal provided on a supporting shelf which permits thermal expansion
US9017804B2 (en) 2013-02-05 2015-04-28 Dow Corning Corporation Method to reduce dislocations in SiC crystal growth
US9797064B2 (en) 2013-02-05 2017-10-24 Dow Corning Corporation Method for growing a SiC crystal by vapor deposition onto a seed crystal provided on a support shelf which permits thermal expansion
US9640699B2 (en) 2013-02-08 2017-05-02 International Business Machines Corporation Interdigitated back contact heterojunction photovoltaic device
US9859455B2 (en) 2013-02-08 2018-01-02 International Business Machines Corporation Interdigitated back contact heterojunction photovoltaic device with a floating junction front surface field
US8940640B2 (en) * 2013-03-13 2015-01-27 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure of semiconductor device
US9029226B2 (en) 2013-03-13 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for doping lightly-doped-drain (LDD) regions of finFET devices
US9093468B2 (en) 2013-03-13 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetric cyclic depositon and etch process for epitaxial formation mechanisms of source and drain regions
US8877592B2 (en) 2013-03-14 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial growth of doped film for source and drain regions
US8940614B2 (en) 2013-03-15 2015-01-27 Dow Corning Corporation SiC substrate with SiC epitaxial film
JP6155063B2 (ja) * 2013-03-19 2017-06-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US9293534B2 (en) 2014-03-21 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of FinFET devices
US20150214331A1 (en) * 2014-01-30 2015-07-30 Globalfoundries Inc. Replacement metal gate including dielectric gate material
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299587B2 (en) 2014-04-10 2016-03-29 Taiwan Semiconductor Manufacturing Company, Ltd. Microwave anneal (MWA) for defect recovery
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9279192B2 (en) 2014-07-29 2016-03-08 Dow Corning Corporation Method for manufacturing SiC wafer fit for integration with power device manufacturing technology
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
DE102015100860A1 (de) 2014-08-22 2016-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Metallunempfindliche Epitaxiebildung
US10263108B2 (en) * 2014-08-22 2019-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-insensitive epitaxy formation
US10246325B2 (en) * 2014-09-03 2019-04-02 Infineon Technologies Ag MEMS device and method for manufacturing a MEMS device
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9318318B1 (en) 2015-01-05 2016-04-19 International Business Machines Corporation 3D atomic layer gate or junction extender
US9816180B2 (en) * 2015-02-03 2017-11-14 Asm Ip Holding B.V. Selective deposition
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102311055B1 (ko) 2015-04-10 2021-10-12 어플라이드 머티어리얼스, 인코포레이티드 선택적 에피택셜 성장을 위한 성장률을 증강시키기 위한 방법
JP2017010967A (ja) * 2015-06-16 2017-01-12 株式会社Flosfia 成膜方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US10204790B2 (en) 2015-07-28 2019-02-12 Asm Ip Holding B.V. Methods for thin film deposition
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
WO2017091345A1 (en) * 2015-11-25 2017-06-01 Applied Materials, Inc. New materials for tensile stress and low contact resistance and method of forming
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN109072426B (zh) * 2016-02-26 2021-12-03 弗萨姆材料美国有限责任公司 组合物和使用其沉积含硅膜的方法
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US9853129B2 (en) 2016-05-11 2017-12-26 Applied Materials, Inc. Forming non-line-of-sight source drain extension in an nMOS finFET using n-doped selective epitaxial growth
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US9831124B1 (en) 2016-10-28 2017-11-28 Globalfoundries Inc. Interconnect structures
US9865730B1 (en) * 2016-10-31 2018-01-09 International Business Machines Corporation VTFET devices utilizing low temperature selective epitaxy
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR101960763B1 (ko) * 2016-11-03 2019-03-21 주식회사 유진테크 저온 에피택셜층 형성방법
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10453685B2 (en) 2017-03-31 2019-10-22 Asm Ip Holding B.V. Forming semiconductor device by providing an amorphous silicon core with a hard mask layer
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10290631B2 (en) * 2017-05-05 2019-05-14 Newport Fab, Llc Linearity and lateral isolation in a BiCMOS process through counter-doping of epitaxial silicon region
US10319716B2 (en) 2017-05-05 2019-06-11 Newport Fab, Llc Substrate isolation for low-loss radio frequency (RF) circuits
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
FR3068506B1 (fr) * 2017-06-30 2020-02-21 Soitec Procede pour preparer un support pour une structure semi-conductrice
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) * 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) * 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10453729B2 (en) 2017-09-13 2019-10-22 Toshiba Memory Corporation Substrate treatment apparatus and substrate treatment method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) * 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US20190131454A1 (en) * 2017-11-01 2019-05-02 Qualcomm Incorporated Semiconductor device with strained silicon layers on porous silicon
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
CN110707006B (zh) * 2018-07-09 2023-10-17 日升存储公司 锑掺杂的硅和硅锗膜的原位制备的方法
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11009455B2 (en) * 2018-07-31 2021-05-18 Applied Materials, Inc. Precursor delivery system and methods related thereto
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) * 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
RU2733941C2 (ru) * 2019-04-01 2020-10-08 Федеральное государственное бюджетное образовательное учреждение высшего образования "Кабардино-Балкарский государственный университет им. Х.М. Бербекова" (КБГУ) Способ изготовления полупроводниковой структуры
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) * 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
CN110592665A (zh) * 2019-08-09 2019-12-20 上海新昇半导体科技有限公司 一种半导体薄膜平坦度改善的方法
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
WO2021097424A1 (en) * 2019-11-15 2021-05-20 The Johns Hopkins University Substrate directed synthesis of transition-metal dichalcogenide crystals with tunable dimensionality and optical properties
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
KR20220080543A (ko) 2020-12-07 2022-06-14 삼성전자주식회사 반도체 장치
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07161731A (ja) * 1993-10-05 1995-06-23 Motorola Inc 狭禁止帯幅特性を有する炭素ドーピング・シリコン半導体デバイスとその方法
JPH09129751A (ja) * 1995-09-08 1997-05-16 Max Planck Ges Foerderung Wissenschaft Ev トランジスタ用の半導体構造及び回路
JP2002064105A (ja) * 2000-08-16 2002-02-28 Fujitsu Ltd ヘテロバイポーラトランジスタおよびSiGeC混晶膜の形成方法
JP2002289526A (ja) * 2001-03-26 2002-10-04 Univ Nagoya シリコン・ゲルマニウム・カーボン三元混晶膜の作製方法及びシリコン・ゲルマニウム・カーボン三元混晶膜
WO2004031457A1 (en) * 2002-10-03 2004-04-15 Koninklijke Philips Electronics N.V. Method and apparatus for forming epitaxial layers

Family Cites Families (133)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE393967B (sv) * 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4761269A (en) * 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
GB8711373D0 (en) * 1987-05-14 1987-06-17 Secr Defence Electroluminescent silicon device
JPH0666286B2 (ja) * 1987-08-24 1994-08-24 富士通株式会社 シリコン含有金属膜の形成方法
GB8908509D0 (en) 1989-04-14 1989-06-01 Secr Defence Substitutional carbon in silicon
US5002630A (en) * 1989-06-06 1991-03-26 Rapro Technology Method for high temperature thermal processing with reduced convective heat loss
US5194398A (en) * 1989-06-28 1993-03-16 Mitsui Toatsu Chemicals, Inc. Semiconductor film and process for its production
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
JPH0485818A (ja) * 1990-07-26 1992-03-18 Fujitsu Ltd 半導体装置の製造方法
JPH04252023A (ja) * 1991-01-28 1992-09-08 Nec Corp シリコン結晶の選択的成長方法
US5225032A (en) 1991-08-09 1993-07-06 Allied-Signal Inc. Method of producing stoichiometric, epitaxial, monocrystalline films of silicon carbide at temperatures below 900 degrees centigrade
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US6004683A (en) * 1992-11-04 1999-12-21 C. A. Patents, L.L.C. Plural layered metal repair tape
JPH06168886A (ja) * 1992-11-30 1994-06-14 Tonen Corp プラズマcvdによる薄膜形成方法
US5656531A (en) 1993-12-10 1997-08-12 Micron Technology, Inc. Method to form hemi-spherical grain (HSG) silicon from amorphous silicon
JP2919281B2 (ja) * 1994-11-11 1999-07-12 日本電気株式会社 半導体装置の製造方法
FI97731C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
JPH08213343A (ja) 1995-01-31 1996-08-20 Sony Corp 半導体装置およびその製造方法
US5654589A (en) 1995-06-06 1997-08-05 Advanced Micro Devices, Incorporated Landing pad technology doubled up as local interconnect and borderless contact for deep sub-half micrometer IC application
JPH0992621A (ja) * 1995-09-28 1997-04-04 Oki Electric Ind Co Ltd 半導体薄膜の選択成長方法
US5869389A (en) 1996-01-18 1999-02-09 Micron Technology, Inc. Semiconductor processing method of providing a doped polysilicon layer
US6083368A (en) * 1996-04-20 2000-07-04 Kawaso Electric Industrial Co., Ltd. Probe device for continuous measurements of oxygen in running molten metal
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
KR100236069B1 (ko) 1996-12-26 1999-12-15 김영환 캐패시터 및 그 제조방법
JP3050152B2 (ja) 1997-01-23 2000-06-12 日本電気株式会社 半導体装置の製造方法
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US7176111B2 (en) * 1997-03-28 2007-02-13 Interuniversitair Microelektronica Centrum (Imec) Method for depositing polycrystalline SiGe suitable for micromachining and devices obtained thereof
US6351039B1 (en) * 1997-05-28 2002-02-26 Texas Instruments Incorporated Integrated circuit dielectric and method
US6069068A (en) 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
US5904565A (en) * 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
US6287965B1 (en) * 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
JPH11102870A (ja) * 1997-09-29 1999-04-13 Toshiba Corp 半導体基板の製造方法
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
TW439151B (en) 1997-12-31 2001-06-07 Samsung Electronics Co Ltd Method for forming conductive layer using atomic layer deposition process
US6042654A (en) 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
US5933761A (en) * 1998-02-09 1999-08-03 Lee; Ellis Dual damascene structure and its manufacturing method
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
DE69923436T2 (de) 1998-03-06 2006-01-05 Asm America Inc., Phoenix Verfahren zum beschichten von silizium mit hoher kantenabdeckung
US6181012B1 (en) 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
KR100296117B1 (ko) 1998-05-27 2001-10-26 윤덕용 화학기상증착법에의한코발트다이실리사이드콘택형성방법
US6048790A (en) 1998-07-10 2000-04-11 Advanced Micro Devices, Inc. Metalorganic decomposition deposition of thin conductive films on integrated circuits using reducing ambient
KR100275738B1 (ko) * 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6188134B1 (en) 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6144050A (en) * 1998-08-20 2000-11-07 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with strontium barrier film and process for making same
US6291876B1 (en) 1998-08-20 2001-09-18 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with composite atomic barrier film and process for making same
WO2000011721A1 (en) 1998-08-20 2000-03-02 The Government Of The United States Of America, Represented By The Secretary Of The Navy Electronic devices with barrier film and process for making same
US6077775A (en) 1998-08-20 2000-06-20 The United States Of America As Represented By The Secretary Of The Navy Process for making a semiconductor device with barrier film formation using a metal halide and products thereof
KR100332364B1 (ko) 1998-09-01 2002-09-18 지니텍 주식회사 금속막의형성방법
DE19840238C1 (de) * 1998-09-03 2000-03-16 Siemens Ag Verfahren zur Herstellung einer dotierten Siliziumschicht und mikroelektronische Struktur mit einem leitfähigen Element aus dotiertem Silizium
KR20000022003A (ko) 1998-09-10 2000-04-25 이경수 금속과규소를포함한3성분질화물막의형성방법
KR100566905B1 (ko) 1998-09-11 2006-07-03 에이에스엠지니텍코리아 주식회사 표면 촉매를 이용한 화학 증착방법_
KR100273474B1 (ko) 1998-09-14 2000-12-15 이경수 화학기상 증착장치의 가스 공급장치와 그 제어방법
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
TW382787B (en) * 1998-10-02 2000-02-21 United Microelectronics Corp Method of fabricating dual damascene
KR100327328B1 (ko) * 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
KR100280102B1 (ko) 1998-10-13 2001-03-02 윤덕용 코발트-카본 합금박막을 이용한 단결정 코발트다이실리사이드콘택 형성방법
JP2000150647A (ja) * 1998-11-11 2000-05-30 Sony Corp 配線構造およびその製造方法
US6093638A (en) 1998-12-10 2000-07-25 Texas Instruments Incorporated Method of forming an electrical contact in a substrate
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6844574B1 (en) * 1999-03-12 2005-01-18 Sumitomo Chemical Company, Limited III-V compound semiconductor
KR20000060438A (ko) 1999-03-16 2000-10-16 이경수 산화알루미늄 막의 형성 방법
CA2301313A1 (en) * 1999-03-18 2000-09-18 Yuka Kanamori Curable composition
US6207567B1 (en) 1999-04-12 2001-03-27 United Microelectronics Corp. Fabricating method of glue layer and barrier layer
US6197669B1 (en) 1999-04-15 2001-03-06 Taiwan Semicondcutor Manufacturing Company Reduction of surface defects on amorphous silicon grown by a low-temperature, high pressure LPCVD process
US6037258A (en) 1999-05-07 2000-03-14 Taiwan Semiconductor Manufacturing Company Method of forming a smooth copper seed layer for a copper damascene structure
US6146517A (en) 1999-05-19 2000-11-14 Infineon Technologies North America Corp. Integrated circuits with copper metallization for interconnections
KR20010001543A (ko) 1999-06-05 2001-01-05 김기범 구리 배선 구조를 가지는 반도체 소자 제조 방법
WO2000079576A1 (en) 1999-06-19 2000-12-28 Genitech, Inc. Chemical deposition reactor and method of forming a thin film using the same
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR20010047128A (ko) 1999-11-18 2001-06-15 이경수 액체원료 기화방법 및 그에 사용되는 장치
WO2001045149A1 (en) 1999-12-15 2001-06-21 Genitech Co., Ltd. Method of forming copper interconnections and thin films using chemical vapor deposition with catalyst
US6184128B1 (en) 2000-01-31 2001-02-06 Advanced Micro Devices, Inc. Method using a thin resist mask for dual damascene stop layer etch
TW408653U (en) 2000-02-03 2000-10-11 Hu Hou Fei Ratcheting tool
US6531347B1 (en) * 2000-02-08 2003-03-11 Advanced Micro Devices, Inc. Method of making recessed source drains to reduce fringing capacitance
AU2001245388A1 (en) 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
WO2001078123A1 (en) 2000-04-11 2001-10-18 Genitech Co., Ltd. Method of forming metal interconnects
KR100332363B1 (ko) 2000-04-12 2002-04-12 최승철 화학기계적 연마장치의 연마패드를 위한 컨디셔너와 그컨디셔닝 방법
KR100363088B1 (ko) * 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6593191B2 (en) * 2000-05-26 2003-07-15 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
US6342448B1 (en) 2000-05-31 2002-01-29 Taiwan Semiconductor Manufacturing Company Method of fabricating barrier adhesion to low-k dielectric layers in a copper damascene process
EP1292970B1 (en) 2000-06-08 2011-09-28 Genitech Inc. Thin film forming method
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US6368954B1 (en) 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
JP2004519090A (ja) 2000-08-07 2004-06-24 アンバーウェーブ システムズ コーポレイション 歪み表面チャネル及び歪み埋め込みチャネルmosfet素子のゲート技術
JP2002198525A (ja) 2000-12-27 2002-07-12 Toshiba Corp 半導体装置及びその製造方法
US6444495B1 (en) * 2001-01-11 2002-09-03 Honeywell International, Inc. Dielectric films for narrow gap-fill applications
US6583048B2 (en) * 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
JP4866534B2 (ja) 2001-02-12 2012-02-01 エーエスエム アメリカ インコーポレイテッド 半導体膜の改良された堆積方法
US7026219B2 (en) 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
US7108748B2 (en) * 2001-05-30 2006-09-19 Asm America, Inc. Low temperature load and bake
JP2003068654A (ja) 2001-08-27 2003-03-07 Hoya Corp 化合物単結晶の製造方法
JP2003152177A (ja) * 2001-11-19 2003-05-23 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
US20030124818A1 (en) * 2001-12-28 2003-07-03 Applied Materials, Inc. Method and apparatus for forming silicon containing films
DE10211312A1 (de) 2002-03-14 2003-10-02 Wacker Siltronic Halbleitermat Verfahren und Vorrichtung zur epitaktischen Beschichtung einer Halbleiterscheibe sowie epitaktisch beschichtete Halbleiterscheibe
US7335545B2 (en) 2002-06-07 2008-02-26 Amberwave Systems Corporation Control of strain in device layers by prevention of relaxation
US7307273B2 (en) 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
US7186630B2 (en) * 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
JP2004095639A (ja) * 2002-08-29 2004-03-25 Fujitsu Ltd 半導体装置及びその製造方法
JP4089354B2 (ja) * 2002-08-30 2008-05-28 株式会社Sumco エピタキシャルウェーハとその製造方法
US7540920B2 (en) * 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
JP2004303883A (ja) * 2003-03-31 2004-10-28 Renesas Technology Corp 半導体装置および半導体装置の製造方法
EP1482069A1 (en) * 2003-05-28 2004-12-01 Interuniversitair Microelektronica Centrum Vzw Method for producing polycrystalline silicon germanium suitable for micromachining
US7208362B2 (en) 2003-06-25 2007-04-24 Texas Instruments Incorporated Transistor device containing carbon doped silicon in a recess next to MDD to create strain in channel
TWI270986B (en) 2003-07-29 2007-01-11 Ind Tech Res Inst Strained SiC MOSFET
US7208427B2 (en) 2003-08-18 2007-04-24 Advanced Technology Materials, Inc. Precursor compositions and processes for MOCVD of barrier materials in semiconductor manufacturing
US7132338B2 (en) * 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7273526B2 (en) * 2004-04-15 2007-09-25 Asm Japan K.K. Thin-film deposition apparatus
KR20070006852A (ko) 2004-04-23 2007-01-11 에이에스엠 아메리카, 인코포레이티드 인-시츄 도핑된 에피택셜 막
US7332439B2 (en) 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US20060071213A1 (en) * 2004-10-04 2006-04-06 Ce Ma Low temperature selective epitaxial growth of silicon germanium layers
US7402872B2 (en) * 2004-11-18 2008-07-22 Intel Corporation Method for forming an integrated circuit
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7312128B2 (en) 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7195985B2 (en) * 2005-01-04 2007-03-27 Intel Corporation CMOS transistor junction regions formed by a CVD etching and deposition sequence
US7335959B2 (en) 2005-01-06 2008-02-26 Intel Corporation Device with stepped source/drain region profile
US7259038B2 (en) * 2005-01-19 2007-08-21 Sandisk Corporation Forming nonvolatile phase change memory cell having a reduced thermal contact area
US7235492B2 (en) * 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7687383B2 (en) 2005-02-04 2010-03-30 Asm America, Inc. Methods of depositing electrically active doped crystalline Si-containing films
US8105908B2 (en) * 2005-06-23 2012-01-31 Applied Materials, Inc. Methods for forming a transistor and modulating channel stress
JP2007188976A (ja) 2006-01-11 2007-07-26 Shinko Electric Ind Co Ltd 発光装置の製造方法
US20080026149A1 (en) 2006-05-31 2008-01-31 Asm America, Inc. Methods and systems for selectively depositing si-containing films using chloropolysilanes
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07161731A (ja) * 1993-10-05 1995-06-23 Motorola Inc 狭禁止帯幅特性を有する炭素ドーピング・シリコン半導体デバイスとその方法
JPH09129751A (ja) * 1995-09-08 1997-05-16 Max Planck Ges Foerderung Wissenschaft Ev トランジスタ用の半導体構造及び回路
JP2002064105A (ja) * 2000-08-16 2002-02-28 Fujitsu Ltd ヘテロバイポーラトランジスタおよびSiGeC混晶膜の形成方法
JP2002289526A (ja) * 2001-03-26 2002-10-04 Univ Nagoya シリコン・ゲルマニウム・カーボン三元混晶膜の作製方法及びシリコン・ゲルマニウム・カーボン三元混晶膜
WO2004031457A1 (en) * 2002-10-03 2004-04-15 Koninklijke Philips Electronics N.V. Method and apparatus for forming epitaxial layers

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
JPN7012003722; J. P. Liu and H. J. Osten: 'Substitutional carbon incorporation during Si1-x-yGexCy growth on Si(100) by molecular-beam epitax' Appl. Phys. Lett. 76, 20000612, 3546 *

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013511159A (ja) * 2009-12-21 2013-03-28 インテル コーポレイション ドープされたエピタキシャル領域を有する半導体装置およびその製造方法
TWI564965B (zh) * 2009-12-21 2017-01-01 英特爾公司 具有摻雜磊晶區域之半導體裝置及其製造方法
US10957796B2 (en) 2009-12-21 2021-03-23 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication
US11908934B2 (en) 2009-12-21 2024-02-20 Intel Corporation Semiconductor device having doped epitaxial region and its methods of fabrication

Also Published As

Publication number Publication date
TWI385714B (zh) 2013-02-11
US20060240630A1 (en) 2006-10-26
TW200710950A (en) 2007-03-16
JP2008530782A (ja) 2008-08-07
JP5571287B2 (ja) 2014-08-13
WO2006083821A1 (en) 2006-08-10
US20090026496A1 (en) 2009-01-29
US7687383B2 (en) 2010-03-30
JP5295344B2 (ja) 2013-09-18
WO2006083909A3 (en) 2006-10-19
US20060234504A1 (en) 2006-10-19
US20060205194A1 (en) 2006-09-14
US7648690B2 (en) 2010-01-19
US7816236B2 (en) 2010-10-19
JP2012054613A (ja) 2012-03-15
US7438760B2 (en) 2008-10-21
JP5173140B2 (ja) 2013-03-27
KR20070100401A (ko) 2007-10-10
EP1846595A1 (en) 2007-10-24
US9190515B2 (en) 2015-11-17
US20100140744A1 (en) 2010-06-10
KR20080016988A (ko) 2008-02-25
WO2006083909A2 (en) 2006-08-10
JP2006216955A (ja) 2006-08-17
EP1846596A2 (en) 2007-10-24
TWI466174B (zh) 2014-12-21
TW200633021A (en) 2006-09-16

Similar Documents

Publication Publication Date Title
JP5571287B2 (ja) 化学気相成長によって置換的に炭素でドーピングされた結晶性Si含有材料を製造する方法
KR102648942B1 (ko) 실리콘 함유 에피택셜층을 형성하기 위한 방법 및 관련 반도체 소자 구조체
US20080026149A1 (en) Methods and systems for selectively depositing si-containing films using chloropolysilanes
US7939447B2 (en) Inhibitors for selective deposition of silicon containing films
TWI405248B (zh) 沉積摻雜碳之磊晶半導體層之方法、沉積半導體材料的方法與裝置及在反應腔室中之基板上形成電晶體設備之方法
US8759200B2 (en) Methods and apparatus for selective epitaxy of Si-containing materials and substitutionally doped crystalline Si-containing material
US20120024223A1 (en) Thin films and methods of making them using cyclohexasilane
WO2009061599A1 (en) Methods of selectively depositing silicon-containing films
EP2022083A2 (en) Selective epitaxial formation of semiconductor films

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090128

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090128

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090514

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20090703

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090703

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20091209

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20091209

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110315

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110615

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120321

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120621

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120628

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120723

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20120911

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130111

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20130205

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20130419

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20130726

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140507

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140626

R150 Certificate of patent or registration of utility model

Ref document number: 5571287

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S802 Written request for registration of partial abandonment of right

Free format text: JAPANESE INTERMEDIATE CODE: R311802

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250