DE69923436T2 - Verfahren zum beschichten von silizium mit hoher kantenabdeckung - Google Patents

Verfahren zum beschichten von silizium mit hoher kantenabdeckung Download PDF

Info

Publication number
DE69923436T2
DE69923436T2 DE69923436T DE69923436T DE69923436T2 DE 69923436 T2 DE69923436 T2 DE 69923436T2 DE 69923436 T DE69923436 T DE 69923436T DE 69923436 T DE69923436 T DE 69923436T DE 69923436 T2 DE69923436 T2 DE 69923436T2
Authority
DE
Germany
Prior art keywords
silicon
holes
chamber
substrate
reaction chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
DE69923436T
Other languages
English (en)
Other versions
DE69923436D1 (de
Inventor
Ivo Raaijmakers
François Christophe POMAREDE
Alexander Cornelius VAN DER JEUGD
Alexander Gschwandtner
Andreas Grassl
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM America Inc
Original Assignee
ASM America Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM America Inc filed Critical ASM America Inc
Publication of DE69923436D1 publication Critical patent/DE69923436D1/de
Application granted granted Critical
Publication of DE69923436T2 publication Critical patent/DE69923436T2/de
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45504Laminar flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28525Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising semiconducting material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66083Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices
    • H01L29/66181Conductor-insulator-semiconductor capacitors, e.g. trench capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Fluid Mechanics (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

  • Gebiet der Erfindung
  • Die vorliegende Erfindung betrifft allgemein das Beschichten von Silicium, und insbesondere die chemische Dampfbeschichtung von leitfähig dotiertem Silicium mit einer hohen Stufenabdeckung.
  • Hintergrund der Erfindung
  • Als ein Halbleitermaterial ist Silicium das bekannteste Material, aus welchem Transistoren in integrierten Schaltkreisen hergestellt werden. Durch selektives Dotieren verschiedener Bereiche auf einem Siliciumsubstrat, können Quelle-, Ablauf- und Kanalbereiche unterschiedlich leitfähiger Typen und Potentiale in dem Siliciumsubstrat geformt werden. Typischerweise umfaßt das Substrat monokristalline Siliciumplättchen oder eine darauf gebildete epitaxische Siliciumschicht. Entweder ist das gesamte Substrat (Plättchen oder epitaxische Schicht) oder ein als "Brunnen" bekannter Bereich mit einem relativ niedrigen Niveau an Hintergrunddotierstoff eines ersten leitfähigen Typs (z.B. p-Typ) versehen. Source- und Drainbereiche können dann in diesem Bereich begrenzt werden, indem man sie schwer mit Dotiermitteln eines entgegengesetzt leitfähigen Typs (z.B. n-Typ-Source-/Drainbereiche in p-Well) dotiert. Es werden oft Unterbereiche in dem Transistorbereich mit unterschiedlichen Niveaus, Staffelung und Dotiermitteltypen gebildet, um die elektrischen Merkmale der sich ergebenden elektronischen Vorrichtungen maßzuschneidern.
  • Da Silicium meist die Grundhalbleiterschicht bildet oder Teil davon ist, in welcher Transistoren geformt werden, wird Silicium auch oft bei der Herstellung damit verbundener Schaltkreiselemente verwendet. Besonders wo das Schaltkreiselement einen direkten Kontakt mit dem Siliciumsubstrat hat, ist Silicium ein bevorzugtes Material, da es mit dem Substrat elektrisch kompatibel ist und einen Stromübergang damit bildet. Dagegen können viele Materialien aus Metall die elektrischen Merkmale aktiver Bereiche vergiften oder stören. Andere Vorteile von Silicium, wie etwa sein hoher Schmelzpunkt und daher seine Fähigkeit, späteren Stufen mit hoher Energie standzuhalten, wie etwa dem Glasrückstrom oder der Dotiermittelimplantierung, favorisieren die Verwendung von Silicium noch für andere Anwendungen.
  • Dementsprechend wird Silicium häufig für die Bildung von Transistor-Gate-Elektroden, Kondensator-Elektroden, Metall-Substrat-Kontakten, leitenden Stopfen zwischen Drahtleitungsschichten etc. verwendet. Unglücklicherweise erfordern viele dieser Anwendungen die Abdeckung tiefer Stufen in der Topographie des prozeßintegrierten Schaltkreises. So besitzen zum Beispiel Kondensatoren Gräben oder Kanäle innerhalb des Siliciumsubstrats (Grabenkondensatoren) oder in das Substrat integrierten oder eingeformte Strukturen, die über dem Substrat geformt (Stapelkondensatoren) sind. Kontaktstopfen sind, egal, ob sie zwischen zwei Drahtleitungsschichten oder von einer Draht leitungsschicht zu dem Substrat gebildet sind, in Löcher gebildet, die durch eine Isolierschicht hindurch geätzt sind.
  • Bei jeder dieser Anwendungen setzt sich der Anstieg des Verhältnisses Länge zu Breite fort, da die Dichte der Vorrichtung in Verfolgung immer schnellerer und kleinerer integrierter Schaltkreise erhöht wird. Allgemein gesprochen, je höher das Verhältnis Länge zu Breite, desto schwieriger ist es, vertikale Seitenwände und den Boden der Kontaktöffnung, einen Durchgang oder eine Kanalstruktur gleichmäßig abzudecken. Das vollständige Füllen derartiger Strukturen ist noch schwieriger. Abgeschiedene Schichten neigen dazu, sich schneller an der Lippe von Öffnungen aufzubauen, was die Öffnung verschließt, bevor das Loch gefüllt ist. Dies führt zu Hohlräumen oder Schlüssellöchern in dem Loch.
  • Polykristallines Silicium (Polysilicium oder einfach Poly) kann durch chemische Abscjeodimg aus der Dampfphase (CVD) abgeschieden werden. Die CVD von Silicium wird gegenüber einer anderen physikalischen Abscheidung der Dampfphase (PVD) leitfähiger Materialien, wie etwa die meisten Metalle, aufgrund seiner Stufenabdeckung in Löchern mit einem hohen Länge zu Breite favorisiert. Auf der anderen Seite muß Silicium für die Leitfähigkeit und den Stromübergang dotiert werden, was die Kosten der Bildung von Siliciumschichten erhöht. Diese Kosten sind besonders hoch, wo das Dotieren nach Bildung der Siliciumschicht durchgeführt wird, wie etwa durch Implantation oder Diffusion. Das Dotieren nach Bildung erhöht die Kosten durch eine Verringerung des Durchsatzes aufgrund der für das Dotieren erforderlichen zusätzlichen Stufe oder Stufen. Zusätzliche Kosten kommen durch die Notwendigkeit hinzu, andere bestehende Strukturen vor der Dotiermittelimplantierung oder vor durch hohe Temperatur verlängerten Diffusionsstufen zu schützen. Darüber hinaus ist es häufig technisch schwierig, Siliciumstopfen adäquat zu dotieren, zum Beispiel nachdem die Struktur gebildet wurde.
  • Während Prozesse für das in situ Dotieren von Siliciumschichten (d.h. die Hinzufügung von Dotiermitteln während des Abscheidens des Siliciums) bekannt sind, ist das Dotieren in situ allgemein bei Anwendungen unpraktisch, die hochstufige Bedeckung erfordern. Es wurde herausgefunden, daß das Hinzufügen von Dotiergasen zu den Reaktionspartnern in CVD von Silicium dazu neigt, die Stufenbedeckung zu vermindern. Das Senken der Abscheidegeschwindigkeit kann – als allgemeiner Vorschlag – die Stufenbedeckung zu verbessern helfen, zum Beispiel durch Senken der Temperatur und/oder des Drucks während des Abscheidens. Jedoch sind selbst solche Verbesserungen bei der Stufenbedeckung für die Bedeckung oder das Füllen von Löchern mit einem großen Verhältnis Länge zu Breite heutiger und zukünftiger Generationen integrierter Schaltkreise inadäquat. Darüber hinaus macht die Verringerung des Durchsatzes, die durch das Senken der Abscheidegeschwindigkeiten verursacht wird, diese Option unattraktiv, insbesondere dort, wo zusätzliche Dotierstufen nach dem Abscheiden notwendig sind.
  • Es besteht dementsprechend ein Bedarf an einem Verfahren für das Abscheiden von Silicium in Löchern oder Kanälen großer Länge-zu-Breite-Verhältnisse mit einer guten Stufenbedeckung und annehmbaren Abscheidegeschwindigkeiten. Wünschenswerterweise sollten diese Verfahren das Dotieren des Siliciums in situ erlauben, um die Notwendigkeit weiterer Dotierstufen zu vermeiden.
  • Zusammenfassung der Erfindung
  • Es werden hier Verfahren für das Abscheiden amorpher und/oder polykristalliner Siliciumschichten unter hohen Drücken beschrieben. Vorteilhafterweise kann eine hochstufige Bedeckung von Löchern mit großen Länge-zu-Breite-Verhältnissen erreicht werden, wobei gleichzeitig die Temperaturen hoch genug gehalten werden, um wirtschaftlich annehmbare Abscheidegeschwindigkeiten zu erzielen.
  • Bei der erläuterten Ausführungsform strömen Silan und Wasserstoff in einer Einzelwafer-Verarbeitungskammer unter atmosphärischem Druck. Bei Temperaturen von 650°C können beispielsweise Abscheidegeschwindigkeiten von mehr als 50 nm/min mit dem Dotieren in situ erreicht werden, und mehr als etwa 100 nm/min bei undotiertem Silicium. Solche Abscheidegeschwindigkeiten sind selbst beim Füllen von Verläufen mit extrem großen Länge-zu-Breite-Verhältnissen mit einer ausgezeichneten Stufenbedeckung erreichbar. So wurden zum Beispiel Kondensatorkanäle mit Breiten von 0,25 μm und Tiefen von 7 bis zu 7,5 μm ohne Lücken mit Polysilicium mit die hier beschriebenen Verfahren gefüllt.
  • Erfindungsgemäß wird daher ein Verfahren zum Abscheiden einer nicht-epitaxischen Siliciumschicht auf einem Substrat in einer Reaktionskammer bei einem Druck von mehr als 500 Torr (66,7 kPa) bereitgestellt, bei dem man Prozeßgase durch die Reaktionskammer und über das Substrat bei einer erwünschten Reaktionstemperatur und mit einer Prozeßgas-Verweilzeit in der Reaktionskammer von weniger als 100 Sekunden strömen läßt, wobei die Reaktionskammer eine Gesamtvolumenkapazität von weniger als 100 Liter hat und worin die Prozeßgase ein Siliciumsourcegas umfaßen, worin eine Strömungsgeschwindigkeit des Siliciumsourcegases zwischen 100 sccm und 2000 sccm liegt.
  • Es wird auch ein Prozeß für das Abscheiden einer nicht-epitaxischen Siliciumschicht durch chemische Abscheidung aus der Dampfphase beschrieben. Ein Substrat wird in einer Verarbeitungskammer für einen einzelnen Wafer plaziert. Die Substrattemperatur wird auf eine Reaktionstemperatur zwischen etwa 625°C und 850°C erhöht und es werden Prozeßgase einschließlich eines Siliciumsourcegases und eines Wasserstoffträgergases in die Reaktionskammer eingeführt. Die Prozeßgase strömen über das Substrat während die Reaktionskammer bei einem Druck von über etwa 700 Torr (93,3 kPa) gehalten wird.
  • Es wird auch ein Verfahren zum Abscheiden von Silicium durch chemische Abscheidung aus der Dampfphase beschrieben. Ein Halbleitersubstrat, einschließlich mehrerer Löcher wird in die Reaktionskammer geladen. Die Löcher haben Öffnungen von nicht mehr als etwa 0,5 μm und Länge-zu-Breite-Verhältnisse von mehr als etwa 2 : 1. Die Substrattemperatur wird auf eine gewünschte Reaktionstemperatur gesteigert. Der Kammerdruck wird bei über etwa 700 Torr (93,3 kPa) gehalten und ein Siliciumsourcegas auf Basis von Silan, ein Wasserstoff-Trägergas und ein Dotiersourcegas strömen gleichzeitig über das Substrat innerhalb der Reaktionskammer bei der gewünschten Reaktionstemperatur. Über dem Substrat und in den Löchern wird hierdurch eine in situ leitfähige Siliciumschicht abgeschieden, die eine Stufenabdeckung der Löcher von mehr als 70% aufweist.
  • Es wird auch ein Verfahren zur Bildung eines integrierten Schaltkreises beschrieben. Ein Substrat wird mit einem Loch versehen, das ein Länge-zu-Breite-Verhältnis von mehr als 2 : 1 hat. Das Substrat wird in eine Verarbeitungskammer für einen einzelnen Wafer geladen und Silicium wird in das Loch bei einer Geschwindigkeit von wenigstens etwa 50 nm/min abgeschieden, und zwar mit einer Stufenabdeckung von mehr als 80%.
  • Es wird ebenfalls ein integrierter Kanal-Kondensator mit einer Breite von nicht mehr als etwa 0,25 μm und einem Länge-zu-Breite-Verhältnis von mehr als etwa 20 : 1 beschrieben. Der Kondensator schließt eine dielektrische Schicht, die den Kanal ausfüttert, und eine leitfähig dotierte Polysiliciumschicht ein, die den Kanal füllt.
  • Kurze Beschreibung der Zeichnungen
  • Diese und andere Aspekte der Erfindung werden für den Fachmann leicht aus der folgenden Beschreibung und den beigefügten Zeichnungen ersichtlich, in denen
  • 1 eine schematische Schnittansicht einer beispielhaften Reaktionskammer für einen einzelnen Wafer ist, die einige umgebende Reaktorbestandteile für die Verwendung mit einer bevorzugten Ausführungsform der vorliegenden Erfindung einschließt,
  • 1A eine schematische Schnittansicht einer alternativen Reaktionskammer für einen einzelnen Wafer mit einer Strömungsführung für das Vorantrieben einer laminaren Gasströmung ist,
  • 2 eine perspektivische Ansicht der beispielhaften Reaktionskammer von 1 ist,
  • 3 eine Endquerschnittsansicht der Kammer entlang der Linien 3-3 von 2 ist,
  • 4 eine teilweise Draufsicht auf einen inneren Abschnitt der Kammer ist, die einen Ring zeigt, der einen drehbaren Waferhalter umgibt,
  • 5 eine schematische Schnittdarstellung eines Durchgangs mit einem großen Verhältnis Länge zu Breite in einem teilweise hergestellten integrierten Schaltkreis ist,
  • 6 eine Ansicht der Vertiefung von 5 nach dem Abscheiden einer dünnen Siliciumschicht entsprechend der bevorzugten Ausführungsform ist,
  • 7 eine Ansicht des teilweise hergestellten integrierten Schaltkreises von 6 nach dem fortgesetzten Abscheiden zum Füllen des Durchgangs entsprechend der bevorzugten Ausführungsform ist,
  • 7A eine schematische Ansicht eines Kanalkondensators ist, der mittels eines bevorzugten Verfahrens mit Polysilicium gefüllt worden ist, und
  • 7B ein Mikrobild aktueller Kanalkondensatoren wiedergibt, die gemäß den bevorzugten Verfahren mit Polysilicium gefüllt sind.
  • Detaillierte Beschreibung der bevorzugten Ausführungsform
  • Bevorzugter Reaktor
  • 1 zeigt einen Reaktor 10 für die chemische Abscheidung aus der Dampfphase, einschließlich einer Quarzprozeß- oder Reaktionskammer 12, die entsprechend einer bevorzugten Ausführungsform konstruiert ist und für welche die hier beschriebenen Verfahren eine besondere Nütz lichkeit haben. Obwohl die bevorzugten Ausführungsformen im Kontext mit einem CVD-Reaktor für ein einzelnes Substrat besprochen werden, ist klar, daß die beschriebenen Prozesse Anwendung in CVD-Reaktoren anderen Typs finden, die Reaktionskammern anderer Gestalt haben, welche von den hier beschriebenen abweichen.
  • Außerhalb der Kammer 12 werden mehrere Strahlungsheizungsquellen gehalten, um der Kammer 12 Energie ohne beträchtliche Absorption durch die Wände der Quarzkammer 12 zuzuführen. Obwohl die bevorzugten Ausführungsformen in dem Kontext eines "kaltwandigen" CVD-Reaktors für die Verarbeitung von Halbleiterwafern beschrieben werden, versteht es sich, daß die hier beschriebenen Verarbeitungsverfahren in Verbindung mit anderen/Erhitzungs-/Kühlsystemen nützlich sind, wie etwa solchen, die Induktionserwärmung oder Widerstandserwärmung betreffen. Die Konstruktion der bevorzugten Kammer 12 wird detaillierter unter Bezugnahme auf die 2 und 3 beschrieben.
  • Die dargestellten Strahlungsheizungsquellen umfassen eine obere Erwärmungsanordnung länglicher röhrenartiger Heizungselemente 13. Die oberen Heizungselemente 13 sind bevorzugt in einem Parallelabstand zueinander angeordnet und sind im wesentlichen auch parallel mit dem Reaktionsgasströmungsweg durch die darunter liegende Reaktionskammer 12. Eine untere Heizungsanordnung umfaßt ähnliche längliche röhrenartige Heizungselemente 14 unter der Reaktionskammer 12, die bevorzugt quer zu den oberen länglichen röhrenartigen Heizungselementen 13 angeordnet sind. Wünschenswerterweise wird ein Teil der Strahlungswärme gestreut in die Kammer 12 durch rauhe spiegelnde Reflektorplatten über und unter den oberen und unteren Lampen 13 beziehungsweise 14 reflektiert. Zusätzlich liefern mehrere Punktstrahler 15 konzentrierte Wärme an die Unterseite der (unten beschriebenen) Waferträgerstruktur, um einem Wärmeabfalleffekt entgegenzuwirken, der durch kalte Trägerstrukturen erzeugt wird, die sich durch den Boden der Reaktionskammer 12 hindurch erstrecken.
  • Jedes der länglichen röhrenartigen Heizungselemente 13, 14 ist vorzugsweise eine Wolframglühfadenlampe mit hoher Intensität mit einer transparenten Quarzumhüllung, die ein Halogengas, wie etwa Iod, enthält. Diese Lampen produzieren eine Strahlungswärme mit einem vollen Spektrum, die ohne nennenswerte Absorption durch die Wände der Reaktionskammer 12 hindurch übertragen wird. Wie nach dem Stand der Technik der Halbleiterverarbeitungsausrüstung bekannt, kann die Kraft der verschiedenen Lampen 13, 14, 15 unabhängig oder in gruppierten Bereichen in Reaktion auf Temperatursensoren gesteuert werden.
  • Es wird ein Substrat, bevorzugt eines, das einen Siliciumwafer 16 umfaßt, gezeigt, das in der Reaktionskammer 12 auf einem Substrat oder einer Waferträgerstruktur 18 gehalten wird. Zu beachten ist, daß, obwohl das Substrat der erläuterten Ausführungsform ein einkristalliner Siliciumwafer ist, es klar ist, daß der Begriff "Substrat" sich annähernd auf jede Struktur bezieht, auf welchem eine Schicht abzuscheiden ist. Die hier beschriebenen Abscheideverfahren sind von besonderer Nützlichkeit, wo das Substrat Stufen in der Topographie der Oberfläche einschließt, über welchen Schichten abzuscheiden sind, was aus der weiteren Beschreibung klar werden wird.
  • Die dargestellte Trägerstruktur 18 schließt einen Suszeptor oder Waferhalter 20, auf welchem der Wafer 16 ruht, und ein Trägerdrehkreuz 22 ein. Das Drehkreuz 22 ist auf einem Schaft 24 befestigt, welcher sich nach unten durch eine Röhre 26 hindurch erstreckt, die von der unteren Wand der Kammer 12 herabhängt. Bevorzugt ist die Röhre 26 mit einer Source von Spülgas verbunden, welches während der Verarbeitung strömen kann, was Prozeßgase daran hindert, zu der Rückseite des Wafers 16 zu entweichen. Der bevorzugte Schaft 24 ist mechanisch mit einem (nicht gezeigten) Motor unterhalb der Reaktionskammer 12 (1) verbunden, um den Schaft 24, das Drehkreuz 22, den Waferhalter 20 und letztlich den Wafer 16 zum Drehen zu bringen.
  • Mehrere Temperatursensoren sind in der unmittelbaren Nähe des Wafers 16 positioniert. Die Temperatursensoren können eine aus einer Vielzahl von Formen haben, wie etwa optische Pyrometer oder Wärmekopplungen. Die Anzahl und Positionen der Temperatursensoren sind so gewählt, daß sie Temperaturgleichmäßigkeit unterstützen, was im Lichte der unten folgenden Beschreibung der bevorzugten Temperatursteuereinheit verständlich wird. Jedoch fühlen die Temperatursensoren bevorzugt direkt oder indirekt die Temperatur an Positionen in der Nähe der Wafer.
  • Bei der dargestellten Ausführungsform umfassen die Temperatursensoren Thermoelemente, einschließlich eines ersten oder zentralen Thermoelements 28, die unter dem Waferhalter 20 auf eine geeignete Weise aufgehängt ist. Das dargestellte Thermoelement 28 geht durch das Drehkreuz 22 in der Nähe zu dem Waferhalter 20 hindurch. Der Reaktor 10 schließt ferner mehrere zweite oder periphere Thermoelemente, ebenfalls nahe bei dem Wafer 16, ein, einschließlich einer Führungskante oder vorderen Thermoelements 29, einer Hinterkante oder eines hinteren Thermoelements 30 und eines (nicht gezeigten) seitlichen Thermoelements 31. Jedes der Thermoelemente ist innerhalb eines Rings 32 untergebracht, welcher den Waferhalter 20 und den Wafer 16 umgibt. Jedes der zentralen und peripheren Thermoelemente ist an eine Temperatursteuereinheit angeschlossen, welche die Stärke der verschiedenen Heizungselemente 14 in Reaktion auf die Ablesungen der Thermoelemente festlegt.
  • Zusätzlich zu dem Gehäuse der peripheren Thermoelemente absorbiert der Ring 32 während der Hochtemperaturverarbeitung Strahlungshitze und gibt sie wieder ab, so daß er eine Neigung zu größerem Wärmeverlust oder der Absorption an den Waferkanten kompensiert, ein Phänomen, von dem man weiß, daß es aufgrund eines größeren Verhältnisses Oberflächenbereich zu Volumen in Bereichen nahe solcher Kanten auftritt. Entsprechend unterstützt der Ring 32 während der Verarbeitung die Temperaturgleichmäßigkeit über den Wafer 16 hinweg. Der Ring 32 kann durch jedes geeignete Mittel aufgehängt werden. Zum Beispiel ruht der dargestellte Ring 32 auf Ellenbogen 34, die von einem aufstromigen oder vorderen Kammerteiler 36 und einem abstromigen oder hinteren Kammerteiler 38 herabhängen. Die Teiler 36, 38 sind wünschenswerterweise aus Quarz gebildet. In der dargestellten Kammer 12 begrenzen diese Teiler 36, 38 nicht nur einen oberen Prozeßabschnitt der Kammer 12 sondern stellen auch eine strukturelle Trägerunterstützung bereit, falls die Kammer bei verringerten Drücken betrieben werden soll, was noch eingehender unter Bezugnahme auf die 2 bis 4 besprochen werden wird.
  • Die dargestellte Reaktionskammer 12 schließt eine Einlaßöffnung 40 für die Injektion von Reaktions- und Trägergasen ein, und der Wafer 16 kann auch dadurch hindurch aufgenommen werden. Eine Auslaßöffnung 42 befindet sich auf der gegenüberliegenden Seite der Kammer 12, wobei die Waferträgerstruktur 18 zwischen dem Einlaß 40 und dem Auslaß 42 positioniert ist.
  • Ein Einlaßbauteil 44 ist an der Reaktionskammer angebracht, das daran angepaßt ist, die Einlaßöffnung 40 zu umgehen, und schließt einen horizontal länglichen Schlitz 45 ein, durch welchen hindurch der Wafer 16 eingeführt werden kann. Ein allgemein vertikaler Einlaß 46 nimmt Gase von entfernten Sourcen auf und tauscht diese Gase mit dem Schlitz 45 und der Einlaßöffnung 40 aus. Der Einlaß 46 kann (nicht gezeigte) Gasinjektoren einschließen, wie sie in dem U.S. Patent Nr. 5,221,556, vergeben an Hawkins et al. beschrieben werden, deren Beschreibung hiermit durch Bezugnahme einbezogen ist. Diese Injektoren schließen einstellbare Nadelventile ein, um die Gasströmung für den Reaktor für einen einzelnen Wafer maßzuschneidern, bis eine gleichmäßige Abscheidung erreicht ist.
  • Der Reaktor enthält entfernte Prozeßgaßourcen, die in Verbindung mit dem Einlaß 46 über die Gasleitungen mit begleitenden Sicherheits- und Kontrollventilen sowie Massenflußkontrolleinrichtungen ("MFCs") haben, die so bei einer Gasplatte koordiniert sind, wie durch einen Fachmann verstanden werden wird. Gassourcen enthalten ein siliciumhaltiges Gas, vorzugsweise ein Silan, wie ein Monosilan (SiH4), Disilan (Si2H6), Dichlorsilan (DCS oder SiH2Cl2), Trichlorsilan (TCS oder SiHCl3), oder ein anderes Silan oder Halogensilan als Siliciumsourcen. Die Siliciumsource kann ein Rührwerk und eine Gasleitung zum Rühren von H2 durch eine Flüssigkeitslösung, wie TCS, um wirksamer siliciumhaltige Gasmoleküle zu der Reaktionskammer in gasförmigem Zustand zu transportieren. Der erläuterte Reaktor 10 enthält auch andere Sourcegase, wie beispielsweise als Dotiergase, einschließlich Phosphin (PH3), Arsin (AsH3) und/oder Diboran (B2H6), Ätzmittel für die Reinigung der Reaktorwände (z.B. HCl), eine Germaniumsource für das Dotieren oder Formatieren von SiGe-Filmen, Ammoniak (NH3) usw.
  • Ein Auslaßteil 48 ist an der Verfahrenskammer 12 derart befestigt, daß eine Abgasöffnung 49 mit der Auslaßöffnung 42 fluchtet und zu Abgasleitungen 50 führt. Die Leitung 50 ihrerseits steht in Verbindung mit einer geeigneten Vakuumeinrichtung (nicht gezeigt) für das Abziehen von Prozeßgasen durch die Kammer 12. In einer Ausführungsform werden Prozeßgase durch die Reaktionskammer und einen Abstromkörper ohne Mithilfe einer Pumpe abgezogen. Ein solcher Reaktor ist nicht mit einer Vakuumkammer ausgestattet, so daß der Begriff in der Technik chemischer Dampfabscheidung verstanden wird. Mit der erläuterten verstärkten Kammer 12 jedoch kann eine Pumpe oder ein Gebläse (nicht gezeigt) einbezogen sein, um das Abziehen von Gasen durch die Kammer 12 zu unterstützen und gegebenenfalls den Druck zu reduzieren.
  • Die 2 und 3 erläutern allgemein die dreidimensionale Gestaltung der beispielhalber dargestellten Reaktionskammer 12. Wie ersichtlich, hat die Kammer 12 eine allgemein längliche abgeflachte Gestalt, die im Querschnitt eine allgemein linsenartige Form mit einander gegenüberliegenden bikonvexen Oberflächen hat. Die Erläuterungskammer 12 ist mit einer kreisförmigen Kurve in einer Abmessung und keiner Kurve in einer orthogonalen Abmessung gezeigt. Die Kammer 12 hat eine obere Wand 52 mit einer äußeren konvexen Oberfläche und einer inneren konkaven Oberfläche und einer unteren Wand 54 mit einer äußeren konvexen Oberfläche und einer inneren konkaven Oberfläche. Die Wände 52 und 54 sind durch vertikal kurze Seitenschienen 55 und 56 verbun den. Diese Wände und Seitenschienen sind weiterhin durch einen Aufstromeinlaßendeflansch 57 und einen Abstromauslaßendeflansch 58 verbunden.
  • Aufstromwärts und abstromwärts beziehen sich auf die Richtung des Prozeßgasflusses, welcher abgenommen wird, um eine Längsrichtung zum Zwecke der vorliegenden Beschreibung zu definieren. Der Gasflußweg erstreckt sich natürlich in Längsrichtung zwischen dem Kammereinlaß 40 und dem Auslaß 42. Die seitliche Richtung erstreckt sich somit zwischen den kurzen Seitenschienen 55 und 56. Die Höhe der Kammer 12 ist in einer vertikalen Abmessung senkrecht jeweils zu den Längs- und Seitenachsen definiert.
  • Wie am besten aus 3 ersichtlich ist, umfaßt jede der oberen Wand 52 und der unteren Wand 54 dünne, gekrümmte Elemente mit einer regelmäßigen Krümmung in der seitlichen Abmessung, und sie sind als konform mit zylindrischen Oberflächen erläutert. In der erläuterten Ausführungsform haben die oberen und unteren Wände 52 und 54 einen Krümmungsradius von etwa 24 Inch und eine Dicke zwischen etwa 4 mm und 6 mm und stärker bevorzugt etwa 5 mm. Obwohl Quarz bevorzugt ist, können andere Materialien mit ähnlich erwünschten Eigenschaften stattdessen eingesetzt werden. Solche charakteristischen Eigenschaften sind ein hoher Schmelzpunkt, die Fähigkeit, großen und schnellen Temperaturveränderungen zu widerstehen, chemische Unversehrtheit und eine hohe Transparenz für Strahlungsenergie oder Licht.
  • Jede der Seitenschienen 55, 56 schließt einen verstärkten Hauptkörper mit inneren, oberen und unteren Vertiefungen 59a und 59b ein, welche sich längs entlang der Seitenschienen 55, 56 erstrecken. Diese Vertiefungen 59a, 59b definieren obere, mittlere und untere Kontrollwandsegmente 60a, 60b bzw. 60c. Die oberen und unteren Kontrollwandsegmente 60a, 60c erstrecken sich in die seitlichen Kanten der oberen und unteren Wände 52, 54 und bilden dort ein Paar an längs verlaufenden Schweißverbindungen 61. In der erläuterten Ausführungsform hat der Hauptkörper der Seitenschienen 55, 56 eine Dicke oder Breite von etwa 20 mm und eine Höhe von etwa 21 mm.
  • Die mittleren Kontrollwandsegmente 60b erstrecken sich einwärts und passen mit dem Vorderkammerteiler 36 und dem Hinterkammerteiler 38 (1) zusammen. Nur die Vorderkammerteilerwand 36 ist im Querschnitt von 3 zu sehen. In der erläuterten Ausführungsform sind die mittleren Kontrollwandsegmente 60b an Längsverbindungen 62 miteinander verschweißt. Erwünschtermaßen halbieren die mittleren Kontrollwandsegmente 60b und die Teiler 36, 38 die Kammer 12. Zusammen mit dem Ring 32 und dem Platinenhalter 20 begrenzt dies Prozeßgase gegenüber einem oberen Abschnitt der Kammer. Außerdem überträgt die Symmetrie der oberen Wand 52 oberhalb der Teilerplatte und der unteren Wand 54 unterhalb der Teilerplatte zusammen mit der zusammengepackten Konstruktion der Seitenschienen 55 und 56 vorteilhaft Druck auf die Wände 52, 54 in seitliche Spannungen in die Ebene der Teilerplatten 36, 38. Die Symmetrie vermeidet somit Biege- oder Scherspannungen auf den Teilerplatten 36, 38, und die Kammer 12 wird in die Lage versetzt, Spannungen von vermindertem oder erhöhtem innerem Druck zu widerstehen.
  • Obwohl nicht erläutert, schließt jeder der Endflansche 57, 58 einwärts gerichtete Innenwandvorsprünge ein, die mit der oberen Wand 52 und der unteren 54 zusammenpassen, sowie auch mittige, nach innen gerichtete Vorsprünge, die mit den Teilerplatten 36, 38 zusammenpassen. Die auf einandergepaßten Oberflächen dieser Teile können auch miteinander verschweißt sein. Wie gerade festgestellt, definiert der Auslaßflansch 58 die Auslaßöffnung 42 der Prozeßkammer 12, während der Einlaßflansch 57 die Einlaßöffnung 40 der Kammer 12 definiert.
  • Nun unter Bezugnahme auf 4 definieren die Vorderteilerplatte 36 und die Hinterteilerplatte 38 zusammen mit den mittleren Kontrollwandsegmenten 60b der Seitenschienen 55, 56 eine Öffnung, die so gestaltet ist, daß sie sich an den Ring 32 und einen Platinenhalter 20 anpaßt. Erwünschtermaßen ist der Platinenhalter 20 so ausgebildet, daß er in dem ortsfesten Ring 32 rotiert und vorzugsweise hiervon über einen kleinen Ringspalt von etwa 0,5 mm bis 1,0 mm beabstandet ist. Während er in 4 als allgemein rechteckig mit abgerundeten Kanten erläutert ist, wird er in anderen Anordnungen so verstanden, daß der Ring 32 auch kreisförmig gemacht werden kann und daß in diesem Fall die Öffnung, die durch die Teilerplatten 36, 38 gebildet wird, auch kreisförmig sein sollte. In der erläuterten Ausführungsform hat der Abschnitt des Rings 32 abstromwärts von dem Platinenhalter 20 eine größere Oberfläche als der Abschnitt aufstromwärts von dem Platinenhalter 20. Erwünschtermaßen besitzen beide, der Ring 32 und der Platinenhalter 20, ein Material mit ein hoher Hitzeabsorptionsfähigkeit, das in der Lage ist, thermischen Zyklen zu widerstehen, ebenso wie Graphit oder stärker bevorzugt Siliciumcarbid. Unter anderen Funktionen neigt der Ring 32 dazu, Prozeßgase vorzuerhitzen, bevor sie die Vorderkante des Platinenhalters 20 erreichen und folglich die Vorderkante der Platine 16 erreicht. Erwünschtermaßen paßt der Ring 32 eng mit den Kanten der Teilerplatten 36, 38 zusammen, und die oberen und unteren Oberflächen sind gleich miteinander gemacht. Demnach bietet der Ring 32 keine Unterbrechung für den laminaren Gasfluß, und die oberen und unteren Teile der Kammer 12 sind im wesentlichen gegeneinander abgedichtet.
  • Die erläuterte Gestaltung für die Kammer 12 erlaubt vorteilhafterweise strukturelle Stützung unter selbst gleichmäßigem vermindertem Druck, wobei selbst mit oberen und unteren Wänden 52, 54 mit einer Dicke von etwa nur 5 mm, während die Teilerplatten nur etwa 10 mm dick sein müssen. Andere Kammerdimensionen schließen eine seitliche Breite von etwa 325 mm, eine Länge zwischen den Endflanschen 57, 58 von etwa 600 mm und eine Höhe des Endflansches von etwa 115 mm ein. Diese Abmessungen sind bestimmt zur Verarbeitung von Platinen mit 200 mm Durchmesser. Ein Fachmann wird leicht feststellen, daß diese Abmessungen für das Anpassen von Wafern großer Größe, wie 300 mm oder größer, verändert werden können. Es wird jedoch verständlich sein, daß dies bloß eine Generalisierung ist und daß alternative Ausführungsformen etwas abweichen können von den erläuterten Abmessungen und implizit darin enthaltenen Größenverhältnissen.
  • Die Gesamtvolumenkapazität von Einzelplatinen-Prozeßkammern, die für die Verarbeitung von Platinen von 200 mm bestimmt sind, liegt beispielsweise bevorzugt bei weniger als etwa 30 l, stärker bevorzugt weniger als etwa 20 l, und hat davon gewöhnlich etwa 10 l für die erläuterte Kammer 12. Da diese Kammer 12 durch die Teiler 36, 38, Platinenhalter 20, Ringe 32 und Spülvolumen, d.h. etwa 5,5 l für die nächst erläuterte Kammer 12. Es ist natürlich verständlich, daß das Volumen der Einzelkammer 12 für die Platinenbearbeitung unterschiedlich sein kann, je nach der Größe der Platinen, die darin verarbeitet werden sollen. Beispielsweise hat eine 300 mm Einzelplatinenverarbeitungskammer des erläuterten Typs allgemein eine Kapazität von weniger als etwa 100 l, vor zugsweise weniger als etwa 60 l und am vorteilhaftesten weniger als etwa 30 l. In einer linsenförmigen Kammer, die so geschnitten ist, daß sie 300 mm-Platinen verarbeitet, liegt das Volumen bei etwa 27 l.
  • Exemplarische Substrate
  • 5 erläutert einen Abschnitt des exemplarischen Substrats, auf welchem eine Siliciumschicht gemäß der bevorzugten Ausführungsform abgeschieden wird. Das Substrat schließt steile Stufen ein, die es schwierig machen, konformell mit abgeschiedenem Material zu bedecken. Wie im Hintergrundsabschnitt oben dargelegt, können solche Stufen in teilweise fabrizierten integrierten Schaltungen existieren. Die beschriebenen Abscheidungsverfahren haben besondere Brauchbarkeit, wenn sie in Löchern oder anderen Strukturen mit hohem Längen-zu-Breiten-Verhältnis abgeschieden werden, wie Grabenstrukturen in einer Halbleiterplatine.
  • In der erläuterten Ausführungsform jedoch umfaßt das Substrat die Siliciumplatine 16, die Wege oder Kanäle einschließt, welche in den Strukturen einer teilgewonnenen integrierten Schaltung gefüllt sind. In den 57 ist ein integrierter Transistor in und über Einkristallsilicium gebildet. Die 7a und 7b erläutern andererseits einen Grabenkondensator mit Memory-Schaltung.
  • Der Transistor der 57 besitzt eine Gitterstruktur 82 zwischen den beiden aktiven Bereichen 84, die die Source und die Drainbereiche des Transistors wiedergeben. Die Gitterstruktur 82 schließt eine Gitterdielektrik 86, eine Gitterelektrode 88, vorzugsweise mit Polysilicium, isolierende Seitenwandabstandshalter 90 und eine Schutzkappenschicht 92 ein. Die Gitterdielektrik 86 umfaßt allgemein eine Thermal-Siliciumdioxidschicht, obwohl andere dielektrische Materialien auch in der Technik verwendet werden. Die Gitterelektrode 88 umfaßt vorzugsweise Polysilicium, welches vorteilhaft selbstausgerichtete Formation der Quell- und der Drainbereiche 84 ermöglicht, wie von einem Fachmann verstanden werden wird. Die isolierenden Abstandshalter 90 und die Schutzkappenschicht 92 werden allgemein aus dielektrischem Material gebildet und sind ein Siliciumnitrid in der erläuterten Ausführungsform.
  • Wie festgestellt, können die Source und die Drainbereiche in einer selbstausgerichteten Weise durch Dotiermittelimplantation oder -diffusion gebildet werden, nachdem der Gitterstapel 82 gebildet wurde. In der erläuterten Ausführungsform ist die Platine 16 mit einem niedrigen Wert von Hintergrunds-p-Dotiermitteln derart, daß die aktiven Bereiche 84 durch starkes Dotieren mit n-Typ-Dotiermitteln gebildet werden können. Es wird jedoch verständlich sein, daß die Leitfähigkeitstypen umgekehrt werden können und daß PMOS- oder NMOS-Einrichtungen in unterschiedlichen Regionen der gleichen Platine, aber in alternativen Anordnungen bestehen können. In jedem Fall definiert der stark dotierte aktive Bereich 84 einen Kanalbereich des Transistors dazwischen unter der Transistorgitterelektrode 88.
  • Eine Feldoxidregion 94 ist auch bereits aus 5 bekannt. Es ist bekannt in der Technik, daß Feldoxid durch thermische Oxidation, durch Kanalfüllung oder durch Kombinationen dieser Techniken gebildet werden kann. Das Feldoxid 94 dient der Isolierung elektrischer Einrichtungen gegeneinander.
  • Das Substrat von 5 ist auch mit dicker (z.B. 0,5 μm bis 2,0 μm und etwa 1,6 μm in der erläuterten Ausführungsform) Isolierschicht 96, welche die Platine 16 und die Transistorstruktur bedeckt, gezeigt. Die Isolierschicht 96 umfaßt typischerweise ein Siliciumoxid, wie Borphosphosilikatglas (BPSG).
  • Eine Kontaktöffnung oder Durchgang 98 wurde in der Isolierschicht 96 geöffnet, um einen der aktiven Bereiche 84 freizulegen. Wie in der Technik der Herstellung integrierter Schaltungen bekannt ist, kann eine solche Kontaktöffnung, ein solches Loch oder Durchgang 98 durch ein photolithographisches und Ätzverfahren geöffnet werden. Der erläuterte Kontakt über 98 ist durch allgemein vertikale Seitenwände 99 definiert, die zylindrisch oder rechteckig in der Form sein können, je nach der Form der Maske, die zur Begrenzung der Öffnung 98 verwendet wurde. Es wird also verständlich sein, daß in alternativen Anordnungen die Seitenwände geneigt sein können und nicht vertikal zu sein brauchen.
  • Gemäß den Vorschriften heutiger Ausgestaltungen integrierter Schaltkreise hat der erläuterte Durchgang 98 ein hohes Längen-zu-Breiten-Verhältnis. Vorzugsweise hat die Öffnung des Durchgangs 98 einen Durchmesser von weniger als etwa 1,0 μm und liegt zwischen 0,7 μm und 0,8 μm in der erläuterten Ausführungsform. Es wird jedoch zu verstehen sein, daß die Abscheidung, die darunter durchgeführt wird, besondere Brauchbarkeit beim Füllen von Löchern noch kleinerer Breite haben wird, wo Öffnungen kleiner als 0,5 μm und besonders kleiner als etwa 0,25 μm haben wird. Schaltungsgestaltungen unter Verwendung von Maskenöffnungen (die die Lochbreite bestimmen) von weniger als 0,5 μm sind in der Technik als Verwendung von "Halbmikron"- oder "Subhalbmikron"-Technologie und "Viertelmikron"-Technologie bekannt, wobei diese ähnliche Technologie Maskenöffnungen von 0,25 μm und kleiner verwendet. In Viertelmikron-Technologie liegt ein typischer Gitterabstand von etwa 0,25 μm zugrunde, während Kontakte über Durchgangsleitung etwa 0,40 μm im Durchmesser haben. Das Aspektverhältnis (Tiefe zu Breite) des Via 98 ist somit vorzugsweise größer als 1 : 1, größer als etwa 2 : 1 für die erläuterte Ausführungsform und wird größer als 3 : 1 oder selbst 5 : 1 für künftige Schaltungsgestaltungen sein.
  • Unter Bezugnahme auf die 7A und 7B besitzen Kanäle für die DRAM-Kondensatoren typischerweise Breiten von 0,25 μm oder darunter. DRAM-Schaltungsgestaltungen führen derzeit Kanalöffnungen von etwa 0,18 μm ein, während künftige Schaltungen Merkmale von 0,15 μm, 0,13 μm, 0,10 μm usw. einführen werden. Kombiniert mit den Kanaltiefen größer als etwa 5 μm, vorzugsweise größer als etwa 7 μm und am meisten bevorzugt von mehr als etwa 10 μm können die Aspektverhältnisse von Kondensatorkanälen deutlich größer als jene von Kontaktdurchgängen sein. Vorzugsweise haben DRAM-Kondensatorkanäle Aspektverhältnisse größer als etwa 10 : 1, stärker bevorzugt größer als etwa 20 : 1 und sind so hoch wie 40 : 1 bei derzeitiger Technologie. Wie nachfolgend ausgeführt wird, wurde die Erfindung demonstriert, diese hohen Aspektverhältnisse mit Dotierung in situ mit ausgezeichneter Stufenbedeckung ergibt, zu erfüllen.
  • Bevorzugtes Abscheidungsverfahren
  • Wie zum "Hintergrund" oben angegeben wurde, kann der kommerzielle Erfolg eines Verfahrens für die Abscheidung von Silicium einerseits durch die Qualität und Stufenabdeckung der resultierenden Schicht gemessen werden und andererseits die Abscheidungsrate des Verfahrens gemessen werden. Wenn die Abscheidung in einer einzelnen Platinenkammer durchgeführt wird, wie in der bevorzugten Arbeitskammer 12 (1), ist die Abscheidungsrate besonders wichtig, da sie einen größeren Effekt auf Platinen über die gesamte Abscheidungsrate in Ansatzprozessoren hat und weil die Siliciumabscheidungsraten in Reaktoren mit einer einzigen Platine wichtig sind. Die kommerzielle Lebensfähigkeit hängt von der Beibehaltung annehmbarer Stufenbedeckung und Merkmalsdimensionierung der jetzigen und künftigen Generation ab.
  • Das herkömmliche Verständnis von nicht-epitaktischer (polykristalliner oder amorpher) Siliciumabscheidung ist, daß hohe Stufenbedeckung mit niedrigen Drücken während des Verfahrens erreicht werden kann. So werden selbst "Hochdruck"-Verfahren zur Abscheidung von Silicium bei Drücken erheblich unter Atmosphärendruck durchgeführt. Die US-Patentschriften 5,607,724, 5,614,257 und 5,700,520 beschreiben beispielsweise solche "Hochdruck"-Abscheidungen. Die Abscheidungsrate wurde durch Erhöhung der Temperatur des Verfahrens, aber nur am Ausgang von verschlechterten Stufenabdeckungen erhöht. Außerdem verschlechterte die Einführung von Dotiergasen in das Verfahren traditionell die Abdeckung noch weiter.
  • Es wurde nun jedoch gefunden, daß hohe Abscheidungsraten erreicht werden können bei hohen Temperaturen und hohen Drücken, ohne die gemeinsame Verschlechterung von Stufenabdeckung zu berücksichtigen, die traditionell bei niedrigeren Drücken beobachtet wurde.
  • Gemäß der bevorzugten Ausführungsform wird die Platine 16 einschließlich eines Tiefkontaktlochs 98, wie in 5 gezeigt, in die bevorzugte Reaktorprozessorkammer 12 entladen. Die Platinen werden vorzugsweise aus einer Handhabungskammer (nicht gezeigt) entfernt, die von der umgebenden Atmosphäre isoliert ist, durch den Spalt 45 durch eine Aufnahmeeinrichtung, die in der Handhabungskammer plaziert ist. Während eine Gabel oder ein Paddel als die Handhabungseinrichtungen dienen kann, umfaßt die bevorzugte Aufnahmeeinrichtung eine Wand, die Ströme hoher Geschwindigkeit von Gas bei Winkeln, wie sie in der US-Patentschrift 4,846,102 beschrieben sind und deren Offenbarung hier unter Bezugnahme eingeführt wird, einschießt. Wenn nahe dem oberen Ende einer Platinenoberfläche die Gasströme eine Zone niedrigen Druckes oberhalb der Platine bilden, bewirken sie, daß die Platine angehoben wird. Die Handhabungskammer und die Verarbeitungskammer 12 werden vorzugsweise durch ein Gitterventil (nicht gezeigt) des in der US-Patentschrift 4,828,224 beschriebenen Typs getrennt, deren Beschreibung hiermit in die Bezugnahme eingeführt wird.
  • Nachdem das Gitterventil geschlossen wurde, wird Spülgas vorzugsweise durch die Kammer geführt, um atmosphärische Verunreinigungen zu entfernen. Erwünschtermaßen strömen Wasserstoffgase von der Einlaßöffnung 40 zu der Auslaßöffnung 42 sowie durch die daran hängende Röhre 26 zu der Unterseite des Platinenhalters 20 (siehe 1). Ein exemplarischer Spülwasserstoffstrom hat etwa 45 slm im Verarbeitungsbereich oberhalb der Platine 16, während ein Strom zwi schen etwa 1 slm und 10 slm horizontal unter der Platine 16 hindurchfließt. Gleichzeitig kann zwischen etwa 0,1 slm und 5 slm Spülgas durch die Röhre 26 hindurchgeführt werden.
  • Während des Spülens kann die Temperatur der Platine 16 ansteigen bis zu der erwünschten Verfahrenstemperatur, indem Energieausstoß zu den Lampen 13, 14, 15 zunimmt. Amorphe oder polykristalline Siliciumabscheidung erfolgt zwischen etwa 550°C und 850°C.
  • Speziell, wenn eine amorphe Siliciumschicht erwünscht ist, wird die Temperatur vorzugsweise zwischen etwa 550°C und 650°C und stärker bevorzugt etwa 625°C gesteigert. Für rasche Abscheidung einer Polysiliciumschicht bei dem relativ hohen Druck, den man hier findet, liegt die Temperatur vorzugsweise gleich oder größer als etwa 650°C und stärker bevorzugt bei mehr als oder gleich etwa 70°C. Für undotiertes oder gering dotiertes Silicium können die derzeit beschriebenen Verfahren 100 nm/min Abscheidung bei etwa 650°C erreichen, während schwerlich As-dotiertes Silicium die gleichen Abscheidungsraten bei etwa 680°C erreichen kann. Die Temperatur ist bevorzugt geringer als etwa 850°C in jedem Fall, um epitaktische Abscheidung zu vermeiden. Es wird verständlich sein für den Fachmann, daß Bedingungen auch so ausgewählt werden können, daß ein Gemisch von amorphem und polykristallinem Silicium abgeschieden wird, wenn eine solche Schicht wegen ihrer elektrischen Eigenschaften gewünscht ist.
  • Gleichzeitig kann die Kammer 12 auf einen erwünschten Drucklevel evakuiert werden. Die Kammer 12 wird auf oberhalb 100 Torr (13,3 kPa), vorzugsweise höher als etwa 500 Torr (66,7 kPa), noch stärker höher als etwa 700 Torr (93,3 kPa) gehalten und wird am stärksten bevorzugt auf etwa Atmosphärendruck (760 Torr oder 101,3 kPa) gehalten. In dem erläuterten Reaktor 10 (1), der ohne Vakuumpumpe betrieben werden kann, wird die Siliciumabscheidung bei einer Dosierung auf Atmosphärendruck (typischerweise 700 Torr bis 800 Torr oder 93,3 kPa bis 106,7 kPa) durchgeführt. Ein geringer Druckunterschied infolge des Gasflusses ist ein vernachlässigbarer Effekt.
  • Nachdem die Platine 16 die erwünschte Reaktionstemperatur erreicht hat und die Kammer auf den erwünschten Druck eingestellt ist, werden Prozeßgase dann mit dem Einlaß 46 gemäß den vorprogrammierten Richtungen in eine zentrale Steuereinrichtung eingeführt und in der Verfahrenskammer 12 durch die Injektoren verteilt. Diese Verfahrensgase gehen dann durch den oberen Abschnitt der Verfahrenskammer 12, d.h. über die Platine 16, den Ring 32 und die Teiler 36, 38, und werden dann zu der Auslaßöffnung 45 geführt. Unumgesetzte Verfahrensgase, Träger oder Verdünnungsgase und gasförmige Reaktionsnebenprodukte, die sonst durch die Abgasöffnung 49 abgeblasen werden, werden nun über Leitung 50 abgezogen.
  • Die Verweilzeit der Verfahrensgase in der Verfahrenskammer werden relativ kurz gehalten. Die Verweilzeiten, wie sie hier verwendet werden, sind definiert als der volumetrische Prozeßgasfluß, geteilt durch das Prozeßvolumen bei relevanten Temperaturen. Vorzugsweise ist die Prozeßgasverweilzeit in der Reaktionskammer 12 geringer als etwa 100 Sekunden, stärker bevorzugt geringer als etwa 60 Sekunden und am meisten bevorzugt geringer als etwa 20 Sekunden.
  • Kurze Verweilzeiten werden erleichtert durch die Gestaltung des bevorzugten Reaktors 10, der einen im wesentlichen laminaren Einzeldurchgang des Gasflußbildes über der Platine 16 zeigt.
  • Laminarer Einzeldurchgangsgasdruck ist zu unterscheiden beispielsweise von Reaktoren, die beabsichtigte Rezirkulation von Prozeßgasen benutzen oder eine Rezirkulation als ein Ergebnis von Trägheitseffekten oder Kammerquerschnitten, die nicht wesentlich gleichmäßig sind, wie man entlang eines Gasflußweges sehen kann. Turbulenz kann durch Vorsprünge in dem Gasfluß, die strukturelle Gestaltung der Kammer oder durch Differentialthermoeffekte bei den Gasen in unterschiedlichen Kammerbereichen bewirkt werden. Es wird verstanden werden, daß laminarer Einzeldurchgangsgasfluß durch eine Behandlungskammer erreicht werden kann, die einen Längsquerschnitt hat, der demjenigen ähnelt, welcher in 1 gezeigt ist, ungeachtet der seitlichen Krümmung. Insbesondere wird ein nicht zirkulierender Gasflußweg allgemein parallel zu der Oberfläche des Wafers 16. Bevorzugt wird die Kammer 12 derart unterteilt, daß Prozeßgas nicht unter den Wafer 16 strömen kann. Kurze Verweilzeiten werden auch durch hohe Gasflußraten erleichtert, die man durch einen reichlichen Trägergasfluß bekommt, wie aus den Verfahrensparametern ersichtlich ist, die nachfolgend aufgeführt sind.
  • Unter Bezugnahme auf 1A ist eine alternative Kammer 12A schematisch in einem seitlichen Querschnitt ähnlich dem von 3 erläutert, wobei ausgenommen ist, daß dieser Schnitt quer zu dem Wafer 16 abgenommen ist und ein Fließleiter 52A in der Kammer 12A angeordnet ist. Da die Kammer im übrigen identisch mit jener von 14 ist, werden gleiche Bezugszeichen verwendet, um gleiche Teile zu bezeichnen. Es wurde gefunden, daß die Fließleitung 52A vorteilhaft verwendet werden kann, um die Verweilzeiten der Prozeßgase durch Beschränkung des Volumens, mit welchem die Prozeßgase hindurchfließen, weiter zu reduzieren. Gleichzeitig kann eine solche Struktur die Gleichmäßigkeit der abgeschiedenen Schicht durch weiteres Zuschneiden des Gasflusses gefördert werden. Die erläuterte Fließleitung 52A umfaßt aus Quarz gefertigte Platten. Die Länge der Fließleitung 52A und ihre Position in der Kammer kann so gewählt werden, daß die erwünschten Filmeigenschaften ohne Veränderung des Querschnitts der Hauptkammer 12A abgeglichen werden.
  • Das Trägergas kann eines aus einer Reihe bekannter nicht-reagierender Gase, wie N2, Ar usw. umfassen. Stärker bevorzugt wird jedoch H2 als Trägergas in dem bevorzugten Verfahren verwendet. Die Verwendung von Wasserstoffträgern wurde traditionell für Polysiliciumabscheidung infolge der Sicherheitsüberlegungen vermieden. Geringere Abscheidungsraten im Vergleich mit Verfahren unter Verwendung von N2 zeigten jedoch, daß Wasserstoff vorteilhaft ist, indem er weniger Verunreinigungen in die Kammer 12 und auf den Wafer 16 überführt und außerdem eine bessere Temperatursteuerung und reduzierte Abscheidungen auf Oberflächen der inneren Kammerwand erleichtert. Außerdem wird ohne Bindung an eine bestimmte Theorie angenommen, daß Wasserstoff die Bildung höherer Silane (z.B. SiH2, Si2H8 usw.) hemmt, die sonst zu schnell an und nahe den Öffnungen von Kanälen oder Löchern vor der kompletten Füllung abgeschieden würden.
  • Insbesondere wird für eine Kammer mit einem Gasflußweg von nahezu 2 Inch (5,1 cm) × 10 Inch (25,4 cm) im Querschnitt (für einen Wafer von 200 mm) vorzugsweise mit mehr als etwa 5 slm, vorzugsweise mehr als etwa 10 slm Trägergas und speziell etwa 20 slm bis 60 slm gearbeitet.
  • Die Prozeßgase enthalten wenigstens ein Siliciumsourcegas. Wie oben angegeben, enthalten bevorzugte Siliciumsourcegase irgendeines der Silan- oder Chlorsilangase, die oben aufgeführt sind. Die erläuterte Verwendung von Monosilan in Kombination mit Wasserstoffträgergas erwies sich als besonders vorteilhaft beim Füllen von Hohlräumen mit hohen Längen-zu-Breiten-Verhältnissen und mit ausgezeichneter Stufenabdeckung und hohen Raten. Die Fließrate des Siliciumsourcegases hängt von den Prozeßdrücken ab, ist aber bevorzugt zwischen etwa 100 sccm und 2000 sccm, stärker bevorzugt zwischen etwa 300 sccm und 700 sccm.
  • Am meisten bevorzugt enthält der Verfahrensfluß ein Dotiergas, um eine Abscheidung einer in situ dotierten leitfähigen Siliciumschicht zu bewirken. Für eine Schicht vom n-Typ, um den Kontakt mit der erläuterten aktiven Fläche 84 vom n-Typ (5) herzustellen, wird entweder Arsin (AsH3) oder Phosphin (PH3) zu dem Verfahrensfluß zugesetzt. Wenn eine Schicht vom Typ p erwünscht ist, kann Diboran zu dem Fluß zugegeben werden. Wie für den Fachmann verständlich ist, werden Dotiermittel vorzugsweise in einem Gemisch mit einem nicht-reaktiven Gas eingeführt, d.h. einem Gas, welches nicht mit dem Dotiermittelgas reagiert. Bei der erläuterten Ausführungsform werden die Dotiermittel in ein 1%-iges Gemisch mit H2 eingeführt und dieses Gemisch kann dann zwischen 1 sccm und 200 sccm fließen, je nach anderen Verfahrensparametern, erwünschtem Widerstand und erwünschten Wachstumsraten. Im allgemeinen führt ein höherer Dotiermittelfluß (in Bezug auf Slliciumsourcegasfluß) zu niedrigeren Widerständen und niedrigeren Wachstumsraten (bis zu einem Punkt) und vermindert zusätzlich die Stufenabdeckung. Während LPCVD traditionell der Verschlechterung der Abscheidungsraten um so viel wie eine Größenordnung bei Einführung von Dotiermitteln in die Abscheidung zeigte, ergaben die derzeit beschriebenen atmosphärischen Wasserstoff/Silanabscheidungsverfahren eine Verminderung der Abscheidungsgeschwindigkeiten um einen Faktor von nur 2,5 für Arsendotierung und selbst höhere Abscheidungsraten für Phosphordotierung.
  • 6 erläutert schematisch die als Beispiel angegebene Platine 16, nachdem Silicium durch das bevorzugte Verfahren abgeschieden wurde, was zu einer Siliciumschicht 100 führte. Ein beispielhalber angegebenes Verfahren für Kontaktfüllung schließt einen Fluß von etwa 350 sccm SiH4, 14 slm H2 und 20 sccm des 1%-igen PH3-Gemischs mit auf etwa 650°C erhitztem Substrat ein. Trotz der kleinen Öffnung und relativ großer Tiefe des erläuterten Kontaktlochs 98 zeigt die resultierende Siliciumschicht 100 ausgezeichnete Stufenabdeckung oder konforme Wiedergabe in dem Loch 98. Speziell zeigte die Siliciumschicht 100 86% Stufenabdeckung, wobei die "Stufenabdeckung" als das Verhältnis der Dicke des Silicium 100 gegenüber den Seitenwänden des vertikalen Loches 99 zu der Dicke über der oberen Oberfläche der Isolierschicht 96 gemessen wird.
  • 7 erläutert das Ergebnis einer Fortsetzung der Abscheidung in dem Kontaktloch 98. Wie erläutert, führt das bevorzugte Abscheidungsverfahren zu einem vollständig gefüllten Kontaktloch 98, wobei ein Siliciumkontaktpfropfen 102 und eine darüber liegende Siliciumschicht 104 gebildet wird. Außerdem werden sowohl der Siliciumpfropfen 102 als auch die darüberliegende Schicht 104 in situ derart dotiert, daß eine Nachformatierungsdotierstufe nicht erforderlich ist, um diese Strukturen leitfähig zu machen. In situ-Dotieren hat auch den Vorteil, daß die Dotiermittelkonzentration im wesentlichen gleichmäßig in dem Loch ist, was eine Hochtemperaturdiffusionsstufe vermei det. Die darüberliegende Schicht 104 kann somit als ein Teil einer zwischenverbundenen Schicht sein.
  • Wie oben ausgeführt, sind die bevorzugten Abscheidungsmethoden besonders vorteilhaft für das Füllen von Kanalkondensatoren, wie in den 7A und 7B erläutert ist. Wie gezeigt, können solche Kanäle mit einer dielektrischen Schicht versehen und dann mit leitfähigem Polysilicium nach den bevorzugten Methoden gefüllt werden. Die Öffnungen solcher Kanäle können unter 0,25 μm sein und sind geringer als oder gleich etwa 0,18 μm in der erläuterten Ausführungsform. Wie für den Fachmann verständlich sein wird, können solche engen, tiefen Kanäle schwierig mit leitfähigem Polysilicium ohne Hohlraumbildung gefüllt werden. Die Verfahren nach der vorliegenden Erfindung jedoch können eine solche Füllung bei relativ hohen Abscheidungsraten und guter Stufenabdeckung erreichen und somit Hohlraumbildung vermeiden und die Ausbeute verbessern.
  • 7B erläutert tatsächliche Aspektverhältnisse für Kondensatorkanäle 100, gebildet in einem Halbleitersubstrat 102 und gefüllt mit dotiertem Polysilicium 101 gemäß einem exemplarischen Verfahren nach der Erfindung. Die Tiefe der Kanäle 100 variiert von etwa 7,5 μm bis 8 μm. Die Kanalbreite war etwa 330 nm nahe der Oberfläche des Substrats 102, verbreiterte sich etwas vor der Verjüngung auf etwa 150 nm nahe dem Boden. Die Kanäle werden mit einem dünnen Kondensatordielektrikum 104 vor dem Befüllen ausgekleidet. Tabelle 1 nachfolgend zeigt die Verfahrensrezeptur, wie sie tatsächlich verwendet wurde, um die Kanäle von 7B vollständig zu füllen.
  • Tabelle 1
    Figure 00160001
  • Zusätzlich zu den obigen Parametern wurde die Entleerung über 1 slm horizontal unterhalb des Wafers 16 zum Strömen gebracht, und etwa 1 slm des Entleerungsgases wurde durch die Röhre 26 (siehe 1) über den Prozeß hinweg zum Strömen gebracht. Der Waferhalter 20 drehte sich auch in einer Geschwindigkeit von etwa 30 U/min.
  • Die dotierte Polystufe erzeugte eine stark dotierte Polysiliciumschicht von anfangs etwa 50 nm. Die anschließende undotierte Polystufe vervollständigte die Abscheidung zur Gesamtabscheidung von etwa 300 nm. Wie oben bemerkt, kann undotiertes Polysilicium schneller als in situ dotiertes Polysilicium abgeschieden werden, wodurch der Gesamtprozeß beschleunigt wird. Anschließende (nicht gezeigte) Auskühlstufen dienten dazu, sowohl den Schichtwiderstand zu stabiliseren als auch das starke Profil des Dotierstoffes von dem anfänglichen Poly über die Polysiliciumfüllung hinweg zu verteilen. So kann zum Beispiel die abgeschiedene Schicht bei etwa 1050°C für etwa 40 Sekunden in einer O2-Atmosphäre zum Auskühlen gebracht werden.
  • Weitere beispielhafte Prozesse und die theoretische Analyse der vorliegenden atmosphärischen, Wasserstoff-/Silan-Polysilicium-Abscheideprozesse werden in C. Pomarède et al.; "Trench and Contact Fill With In-Situ Doped Polysilicin Using An Atmospheric Pressure RTCVD Process," Proc. Of the 6th inter. Conf. On Adv. Therm. Proc. Of Semicond. – RTP98 (1998), veröffentlicht von T. Hori et al., S. 120–125 ff. bereitgestellt. Die Beschreibung dieses Artikels wird hier unter Bezugnahme ausdrücklich einbezogen.
  • Im allgemeinen führen der hohe Druck und die Prozesse der bevorzugten Ausführungsform bei hohem Druck zu einer außerordentlich hohen Stufenbedeckung, während gleichzeitig wirtschaftlich annehmbare Abscheidegeschwindigkeiten erzielt werden. Darüber hinaus kann die schnelle Abscheidung bei hoher Qualität selbst bei in situ-Dotieren für die Leitfähigkeit beibehalten werden, was so die Notwendigkeit für das Dotieren nach dem Abscheiden erspart und allgemein bessere Dotierstoffverteilungen erlaubt. Besonders werden Dotiergeschwindigkeiten bevorzugt bei über etwa 50 nm/min., bevorzugt hoher als etwa 60 nm/min., und wurden mit Abscheidegeschwindigkeiten höher als etwa 100 nm/min. vorgeführt. Die Stufenbedeckung mit den bevorzugten Prozessen ist vorzugsweise größer als etwa 70%, noch bevorzugter größer als etwa 80%, und am meisten bevorzugt größer als etwa 85%. Wie unten in der Tabelle II dargestellt, kann eine Stufenabdeckung von größer sogar als 90% durch die beschriebenen Prozesse bewerkstelligt werden, während gleichzeitig Abscheidegeschwindigkeiten von größer als 50 nm/Min. beibehalten werden.
  • Die folgende Tabelle II erläutert eine Vielzahl an Parametervariationen und Abscheideergebnissen über Kanalstrukturen in Halbleiterwafern. Besonders Kanäle, wie etwa jene, die man üblicherweise für die Bildung von Kondensatoren in dynamischen Rams (DRAMs) verwendet, wurden durch die beschriebenen Prozesse mit Silicium von 200 nm bis 300 nm ausgefüllt. Die Kanäle waren etwa 10 μm tief und hatten eine Breite in dem Bereich von 0,3 μm bis 1,8 μm. Bei vielen Abscheideparametereinstellungen wurden Abscheidegeschwindigkeiten und Leitungswiderstände aus einem Wafer mit der vorgegebenen Parametereinstellung erzielt und die Stufenbedeckung wurde an einem anderen Wafer (mit Löchern) mit der gleichen Parametereinstellung bestimmt. Diese Datenpunkte wurden zur Bequemlichkeit in Tabelle II kombiniert. Entsprechend geben die Reihen in Tabelle II, die Abscheidegeschwindigkeiten und/oder Leitungswiderstände als auch Bedeckung zeigen, in Wahrheit Daten von zwei separaten Wafern wieder.
  • Tabelle II
    Figure 00180001
  • Figure 00190001
  • Aus der obigen Tabelle kann ein Fachmann leicht die folgenden generalisierten Schlußfolgerungen ziehen, wobei andere Parameter konstant gehalten werden: (1) Das Verringern von Druck verschlechtert sowohl die Abscheidegeschwindigkeit als auch die Stufenbedeckung, (2) die Erhöhung der Arsinströmung verringert die Abscheidegeschwindigkeit als auch die Stufenbedeckung, (3) hohe Strömungsgeschwindigkeiten verbessern die Abscheidegeschwindigkeit ohne die Stufenbedeckung zu verschlechtern, und (4) eine gute Stufenbedeckung und hohe Abscheidegeschwindigkeiten können bei hohen Temperaturen (z.B. 700°C) und hohen Strömungsgeschwindigkeiten erzielt werden. Ein durchschnittlicher Fachmann kann diese Lehren leicht nachvollziehen, um im Lichte der hier vorgelegten Beschreibung zu vorteilhaften Abscheideparametern für ein vorgegebenes Bündel an Notwendigkeiten zu kommen. So kann ein Fachmann zum Beispiel minimal akzeptable Stufenbedeckungsanforderungen und den Grad an erforderlichen Leitungswiderstand festlegen und die Temperatur, den Druck und die Strömungsgeschwindigkeiten bestimmen, mit welchen diese Anforderungen mit der höchsten Abscheidegeschwindigkeit bewerkstelligt werden wird.
  • Obwohl die vorstehende Erfindung in Bezug auf bevorzugte Ausführungsformen beschrieben worden ist, werden andere Ausführungsformen den Fachleuten bei Betrachtung der vorliegenden Beschreibung offensichtlich werden. Dementsprechend ist die vorliegende Erfindung nicht darauf ausgerichtet, durch den Vortrag bevorzugter Ausführungsformen beschränkt zu werden, sondern soll einzig und allein durch die Bezugnahme auf die beigefügten Ansprüche definiert werden.

Claims (26)

  1. Verfahren zum Abscheiden einer nicht-epitaxischen Siliciumschicht auf einem Substrat in einer Reaktionskammer bei einem Druck von mehr als 500 Torr (66,7 kPa), bei dem man Prozeßgase durch die Reaktionskammer und über das Substrat bei einer erwünschten Reaktionstemperatur und mit einer Prozeßgas-Verweilzeit in der Reaktionskammer von weniger als 100 Sekunden strömen läßt, wobei die Reaktionskammer eine Gesamtvolumenkapazität von weniger als 100 Liter hat und worin die Prozeßgase ein Siliciumsourcegas umfassen, worin eine Strömungsgeschwindigkeit des Siliciumsourcegases zwischen 100 sccm und 2000 sccm liegt.
  2. Verfahren nach Anspruch 1, bei dem die Verweilzeit geringer als 60 Sekunden ist.
  3. Verfahren nach Anspruch 2, bei dem die Verweilzeit geringer als 20 Sekunden ist.
  4. Verfahren nach Anspruch 1, bei dem der Druck größer als 700 Torr (93,3 kPA) ist.
  5. Verfahren nach Anspruch 1, bei dem die Reaktionskammer eine horizontale Reaktionskammer für einen einzelnen Wafer und mit einer konstanten Höhe oberhalb des Wafers in einem Querschnitt, der entlang einer Gasströmungsachse genommen wird, umfaßt.
  6. Verfahren nach Anspruch 5, bei dem die Reaktionskammer vertikal durch eine horizontale Raumteilerplatte aufstromwärts und abstromwärts von dem Substrat geteilt wird, wobei die Raumteilerplatte etwa in der Ebene des Substrats liegt.
  7. Verfahren nach Anspruch 1, bei dem die Prozeßgase Silan und Wasserstoff umfassen.
  8. Verfahren nach Anspruch 1, bei dem die Reaktionskammer eine Prozeßreaktionskammer für einen Wafer ist.
  9. Verfahren nach Anspruch 8, das zusätzlich eine Steigerung der Temperatur des Substrats auf eine Reaktionstemperatur zwischen 625°C und 850°C umfaßt.
  10. Verfahren nach Anspruch 9, bei dem Polysilicium mit einer Geschwindigkeit von wenigstens 50 nm/Min. abgeschieden wird.
  11. Verfahren nach Anspruch 1, bei dem das Substrat eine Vielzahl von Löchern darin einschließt, wobei die Löcher Öffnungen von nicht mehr als 0,5 μm haben und das Verhältnis Länge zu Breite größer als 2 : 1 ist und die Siliciumschicht eine größere als 70%-ige Stufenbedeckung der Löcher hat.
  12. Verfahren nach Anspruch 11, bei dem die Prozeßgase ein Siliciumsourcegas auf Silanbasis, ein Wasserstoffträgergas und ein Dotiersourcegas umfassen, die gleichzeitig über dem Substrat in der Reaktionskammer bei einer erwünschten Reaktionstemperatur vorliegen und dadurch über dem Substrat und in den Löchern eine in situ leitfähig dotierte Siliciumschicht abscheiden.
  13. Verfahren nach Anspruch 12, bei dem die Siliciumschicht eine mehr als 80%-ige Stufenabdeckung der Löcher hat.
  14. Verfahren nach Anspruch 12, bei dem die Siliciumschicht eine mehr als 85%-ige Stufenabdeckung der Löcher hat.
  15. Verfahren nach Anspruch 12, bei dem die Siliciumschicht eine mehr als 90%-ige Stufenabdeckung der Löcher hat.
  16. Verfahren nach Anspruch 12, bei dem die erwünschte Reaktionstemperatur höher als 650°C ist.
  17. Verfahren nach Anspruch 12, bei dem die erwünschte Reaktionstemperatur höher als 700°C ist.
  18. Verfahren nach Anspruch 12, bei dem das Siliciumsourcegas Monosilan umfaßt.
  19. Verfahren nach Anspruch 12, bei dem die Löcher ein Verhältnis von Länge zu Breite größer als 5 : 1 haben.
  20. Verfahren nach Anspruch 12, bei dem die Löcher ein Verhältnis von Länge zu Breite größer als 10 : 1 haben.
  21. Verfahren nach Anspruch 12, bei dem die Löcher ein Verhältnis von Länge zu Breite größer als 20 : 1 haben.
  22. Verfahren nach Anspruch 12, bei dem die Löcher ein Verhältnis von Länge zu Breite größer als 40 : 1 haben.
  23. Verfahren nach Anspruch 12, bei dem die abgeschiedene Siliciumschicht Arsen eingebettet enthält.
  24. Verfahren nach Anspruch 12, bei dem die Abscheidung der Siliciumschicht ein Aufwachsen der Schicht mit mehr als 50 nm/Min. umfaßt.
  25. Verfahren nach Anspruch 11, bei dem die Abscheidung der Siliciumschicht ein Aufwachsen der Schicht mit mehr als 100 nm/Min. umfaßt.
  26. Verfahren nach Anspruch 1, bei dem die Abscheidung von Silicium ein Aufrechterhalten der Kammer etwa bei Atmosphärendruck umfaßt.
DE69923436T 1998-03-06 1999-03-05 Verfahren zum beschichten von silizium mit hoher kantenabdeckung Expired - Fee Related DE69923436T2 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US7708298P 1998-03-06 1998-03-06
US77082P 1998-03-06
PCT/US1999/004946 WO1999045167A1 (en) 1998-03-06 1999-03-05 Method of depositing silicon with high step coverage

Publications (2)

Publication Number Publication Date
DE69923436D1 DE69923436D1 (de) 2005-03-03
DE69923436T2 true DE69923436T2 (de) 2006-01-05

Family

ID=22135972

Family Applications (1)

Application Number Title Priority Date Filing Date
DE69923436T Expired - Fee Related DE69923436T2 (de) 1998-03-06 1999-03-05 Verfahren zum beschichten von silizium mit hoher kantenabdeckung

Country Status (6)

Country Link
US (4) US6232196B1 (de)
EP (1) EP1060287B1 (de)
JP (1) JP2002505532A (de)
KR (1) KR100652909B1 (de)
DE (1) DE69923436T2 (de)
WO (1) WO1999045167A1 (de)

Families Citing this family (77)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100652909B1 (ko) * 1998-03-06 2006-12-01 에이에스엠 아메리카, 인코포레이티드 하이 스텝 커버리지를 갖는 실리콘 증착 방법
US6451217B1 (en) * 1998-06-09 2002-09-17 Speedfam-Ipec Co., Ltd. Wafer etching method
US6207460B1 (en) * 1999-01-14 2001-03-27 Extraction Systems, Inc. Detection of base contaminants in gas samples
US6596079B1 (en) * 2000-03-13 2003-07-22 Advanced Technology Materials, Inc. III-V nitride substrate boule and method of making and using the same
WO2002019363A2 (en) * 2000-08-28 2002-03-07 Applied Materials, Inc. Pre-polycoating of glass substrates
US6869515B2 (en) * 2001-03-30 2005-03-22 Uri Cohen Enhanced electrochemical deposition (ECD) filling of high aspect ratio openings
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6740601B2 (en) * 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6559039B2 (en) * 2001-05-15 2003-05-06 Applied Materials, Inc. Doped silicon deposition process in resistively heated single wafer chamber
US7001854B1 (en) 2001-08-03 2006-02-21 Novellus Systems, Inc. Hydrogen-based phosphosilicate glass process for gap fill of high aspect ratio structures
US6596654B1 (en) * 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US6846745B1 (en) 2001-08-03 2005-01-25 Novellus Systems, Inc. High-density plasma process for filling high aspect ratio structures
US7067440B1 (en) * 2001-08-24 2006-06-27 Novellus Systems, Inc. Gap fill for high aspect ratio structures
KR100406500B1 (ko) 2001-12-24 2003-11-19 동부전자 주식회사 반도체소자의 제조방법
JP2003243531A (ja) * 2002-02-13 2003-08-29 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6576565B1 (en) * 2002-02-14 2003-06-10 Infineon Technologies, Ag RTCVD process and reactor for improved conformality and step-coverage
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
KR100467018B1 (ko) * 2002-06-27 2005-01-24 삼성전자주식회사 콘텍홀을 갖는 반도체 소자의 형성방법
US6982214B2 (en) * 2002-10-01 2006-01-03 Applied Materials, Inc. Method of forming a controlled and uniform lightly phosphorous doped silicon film
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US7122485B1 (en) 2002-12-09 2006-10-17 Novellus Systems, Inc. Deposition profile modification through process chemistry
US6812150B2 (en) * 2002-12-26 2004-11-02 Micron Technology, Inc. Methods for making semiconductor device structures with capacitor containers and contact apertures having increased aspect ratios
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US6903031B2 (en) * 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US7132338B2 (en) 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US8501594B2 (en) * 2003-10-10 2013-08-06 Applied Materials, Inc. Methods for forming silicon germanium layers
US7476621B1 (en) 2003-12-10 2009-01-13 Novellus Systems, Inc. Halogen-free noble gas assisted H2 plasma etch process in deposition-etch-deposition gap fill
US7344996B1 (en) 2005-06-22 2008-03-18 Novellus Systems, Inc. Helium-based etch process in deposition-etch-deposition gap fill
US7163896B1 (en) 2003-12-10 2007-01-16 Novellus Systems, Inc. Biased H2 etch process in deposition-etch-deposition gap fill
US7078302B2 (en) * 2004-02-23 2006-07-18 Applied Materials, Inc. Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal
US20050260356A1 (en) * 2004-05-18 2005-11-24 Applied Materials, Inc. Microcontamination abatement in semiconductor processing
US7229931B2 (en) * 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7183227B1 (en) 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
US7087536B2 (en) * 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
US7217658B1 (en) 2004-09-07 2007-05-15 Novellus Systems, Inc. Process modulation to prevent structure erosion during gap fill
US7176039B1 (en) 2004-09-21 2007-02-13 Novellus Systems, Inc. Dynamic modification of gap fill process characteristics
JP4824296B2 (ja) * 2004-11-04 2011-11-30 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US7381451B1 (en) 2004-11-17 2008-06-03 Novellus Systems, Inc. Strain engineering—HDP thin film with tensile stress for FEOL and other applications
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7109097B2 (en) 2004-12-14 2006-09-19 Applied Materials, Inc. Process sequence for doped silicon fill of deep trenches
US7205187B2 (en) 2005-01-18 2007-04-17 Tokyo Electron Limited Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7687383B2 (en) * 2005-02-04 2010-03-30 Asm America, Inc. Methods of depositing electrically active doped crystalline Si-containing films
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
TW200713455A (en) * 2005-09-20 2007-04-01 Applied Materials Inc Method to form a device on a SOI substrate
DE102005056320A1 (de) * 2005-11-25 2007-06-06 Aixtron Ag CVD-Reaktor mit einem Gaseinlassorgan
DE102006003464A1 (de) * 2006-01-25 2007-07-26 Degussa Gmbh Verfahren zur Erzeugung einer Siliciumschicht auf einer Substratoberfläche durch Gasphasenabscheidung
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US7482245B1 (en) 2006-06-20 2009-01-27 Novellus Systems, Inc. Stress profile modulation in STI gap fill
DE112007001814T5 (de) * 2006-07-31 2009-06-04 Applied Materials, Inc., Santa Clara Verfahren zum Bilden kohlenstoffhaltiger Siliziumepitaxieschichten
JP5175285B2 (ja) * 2006-07-31 2013-04-03 アプライド マテリアルズ インコーポレイテッド エピタキシャル層形成中の形態制御方法
US7473986B2 (en) * 2006-09-22 2009-01-06 Taiwan Semiconductor Manufacturing Co., Ltd. Positive-intrinsic-negative (PIN) diode semiconductor devices and fabrication methods thereof
US20080138955A1 (en) * 2006-12-12 2008-06-12 Zhiyuan Ye Formation of epitaxial layer containing silicon
US7897495B2 (en) * 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
US9064960B2 (en) * 2007-01-31 2015-06-23 Applied Materials, Inc. Selective epitaxy process control
JP4933399B2 (ja) * 2007-10-25 2012-05-16 株式会社ニューフレアテクノロジー 半導体製造方法および半導体製造装置
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
KR101436564B1 (ko) * 2008-05-07 2014-09-02 한국에이에스엠지니텍 주식회사 비정질 실리콘 박막 형성 방법
US8133797B2 (en) * 2008-05-16 2012-03-13 Novellus Systems, Inc. Protective layer to enable damage free gap fill
DE102008035235B4 (de) * 2008-07-29 2014-05-22 Ivoclar Vivadent Ag Vorrichtung zur Erwärmung von Formteilen, insbesondere dentalkeramischen Formteilen
JP5276387B2 (ja) * 2008-09-04 2013-08-28 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5107185B2 (ja) * 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
US8895107B2 (en) * 2008-11-06 2014-11-25 Veeco Instruments Inc. Chemical vapor deposition with elevated temperature gas injection
US8361875B2 (en) * 2009-03-12 2013-01-29 International Business Machines Corporation Deep trench capacitor on backside of a semiconductor substrate
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9837271B2 (en) 2014-07-18 2017-12-05 Asm Ip Holding B.V. Process for forming silicon-filled openings with a reduced occurrence of voids
US9443730B2 (en) 2014-07-18 2016-09-13 Asm Ip Holding B.V. Process for forming silicon-filled openings with a reduced occurrence of voids
US10460932B2 (en) 2017-03-31 2019-10-29 Asm Ip Holding B.V. Semiconductor device with amorphous silicon filled gaps and methods for forming
US11649560B2 (en) 2019-06-20 2023-05-16 Applied Materials, Inc. Method for forming silicon-phosphorous materials

Family Cites Families (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3900597A (en) * 1973-12-19 1975-08-19 Motorola Inc System and process for deposition of polycrystalline silicon with silane in vacuum
JPS5193874A (en) * 1975-02-15 1976-08-17 Handotaisochino seizohoho
US4217374A (en) * 1978-03-08 1980-08-12 Energy Conversion Devices, Inc. Amorphous semiconductors equivalent to crystalline semiconductors
US4237150A (en) * 1979-04-18 1980-12-02 The United States Of America As Represented By The United States Department Of Energy Method of producing hydrogenated amorphous silicon film
US4379020A (en) * 1980-06-16 1983-04-05 Massachusetts Institute Of Technology Polycrystalline semiconductor processing
US4341818A (en) * 1980-06-16 1982-07-27 Bell Telephone Laboratories, Incorporated Method for producing silicon dioxide/polycrystalline silicon interfaces
US4444812A (en) * 1980-07-28 1984-04-24 Monsanto Company Combination gas curtains for continuous chemical vapor deposition production of silicon bodies
JPS5767020A (en) * 1980-10-15 1982-04-23 Agency Of Ind Science & Technol Thin silicon film and its manufacture
JPS5772318A (en) * 1980-10-24 1982-05-06 Seiko Epson Corp Vapor growth method
DE3137804A1 (de) * 1981-09-23 1983-03-31 Basf Ag, 6700 Ludwigshafen Verfahren zur herstellung von 3-alkyl-3-acyloxy-4-hydroxy-1-butenen
JPS58204527A (ja) * 1982-05-24 1983-11-29 Semiconductor Energy Lab Co Ltd 繊維構造を有する半導体およびその作製方法
US4634605A (en) * 1984-05-23 1987-01-06 Wiesmann Harold J Method for the indirect deposition of amorphous silicon and polycrystalline silicone and alloys thereof
US4592933A (en) * 1984-06-29 1986-06-03 International Business Machines Corporation High efficiency homogeneous chemical vapor deposition
JPS61191015A (ja) * 1985-02-20 1986-08-25 Hitachi Ltd 半導体の気相成長方法及びその装置
US5607511A (en) * 1992-02-21 1997-03-04 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
US5082696A (en) * 1986-10-03 1992-01-21 Dow Corning Corporation Method of forming semiconducting amorphous silicon films from the thermal decomposition of dihalosilanes
US4834020A (en) * 1987-12-04 1989-05-30 Watkins-Johnson Company Atmospheric pressure chemical vapor deposition apparatus
US4963506A (en) * 1989-04-24 1990-10-16 Motorola Inc. Selective deposition of amorphous and polycrystalline silicon
US5037666A (en) * 1989-08-03 1991-08-06 Uha Mikakuto Precision Engineering Research Institute Co., Ltd. High-speed film forming method by microwave plasma chemical vapor deposition (CVD) under high pressure
US5314845A (en) * 1989-09-28 1994-05-24 Applied Materials, Inc. Two step process for forming void-free oxide layer over stepped surface of semiconductor wafer
US5198387A (en) * 1989-12-01 1993-03-30 Texas Instruments Incorporated Method and apparatus for in-situ doping of deposited silicon
US5075749A (en) 1989-12-29 1991-12-24 At&T Bell Laboratories Optical device including a grating
US5250452A (en) * 1990-04-27 1993-10-05 North Carolina State University Deposition of germanium thin films on silicon dioxide employing interposed polysilicon layer
US5080933A (en) * 1990-09-04 1992-01-14 Motorola, Inc. Selective deposition of polycrystalline silicon
US5065273A (en) * 1990-12-04 1991-11-12 International Business Machines Corporation High capacity DRAM trench capacitor and methods of fabricating same
US5593727A (en) * 1991-03-12 1997-01-14 Virginia Tech Intellectual Properties, Inc. Production of films of SiO2 by chemical vapor deposition
US5254369A (en) * 1991-04-17 1993-10-19 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming a silicon diffusion and/or overlay coating on the surface of a metallic substrate by chemical vapor deposition
US5192708A (en) * 1991-04-29 1993-03-09 International Business Machines Corporation Sub-layer contact technique using in situ doped amorphous silicon and solid phase recrystallization
JP3121131B2 (ja) * 1991-08-09 2000-12-25 アプライド マテリアルズ インコーポレイテッド 低温高圧のシリコン蒸着方法
US5695819A (en) * 1991-08-09 1997-12-09 Applied Materials, Inc. Method of enhancing step coverage of polysilicon deposits
US5614257A (en) * 1991-08-09 1997-03-25 Applied Materials, Inc Low temperature, high pressure silicon deposition method
JPH05234900A (ja) * 1992-02-19 1993-09-10 Nec Corp 半導体装置の製造方法
US5324684A (en) * 1992-02-25 1994-06-28 Ag Processing Technologies, Inc. Gas phase doping of semiconductor material in a cold-wall radiantly heated reactor under reduced pressure
US5256588A (en) * 1992-03-23 1993-10-26 Motorola, Inc. Method for forming a transistor and a capacitor for use in a vertically stacked dynamic random access memory cell
US5290358A (en) * 1992-09-30 1994-03-01 International Business Machines Corporation Apparatus for directional low pressure chemical vapor deposition (DLPCVD)
EP0606751B1 (de) * 1993-01-13 2002-03-06 Applied Materials, Inc. Verfahren zur Abscheidung von Polysiliziumschichten mit einer verbesserten Uniformität und dazugehörige Vorrichtung
US6022806A (en) * 1994-03-15 2000-02-08 Kabushiki Kaisha Toshiba Method of forming a film in recess by vapor phase growth
US5487783A (en) * 1994-04-14 1996-01-30 International Business Machines Corporation Method and apparatus for preventing rupture and contamination of an ultra-clean APCVD reactor during shutdown
US5627092A (en) * 1994-09-26 1997-05-06 Siemens Aktiengesellschaft Deep trench dram process on SOI for low leakage DRAM cell
JPH08186081A (ja) * 1994-12-29 1996-07-16 F T L:Kk 半導体装置の製造方法及び半導体装置の製造装置
US5665622A (en) * 1995-03-15 1997-09-09 International Business Machines Corporation Folded trench and rie/deposition process for high-value capacitors
JPH0936230A (ja) * 1995-05-15 1997-02-07 Sony Corp 半導体装置の製造方法
US5905279A (en) * 1996-04-09 1999-05-18 Kabushiki Kaisha Toshiba Low resistant trench fill for a semiconductor device
US5888876A (en) * 1996-04-09 1999-03-30 Kabushiki Kaisha Toshiba Deep trench filling method using silicon film deposition and silicon migration
US5863598A (en) * 1996-04-12 1999-01-26 Applied Materials, Inc. Method of forming doped silicon in high aspect ratio openings
US5888906A (en) * 1996-09-16 1999-03-30 Micron Technology, Inc. Plasmaless dry contact cleaning method using interhalogen compounds
US6057216A (en) * 1997-12-09 2000-05-02 International Business Machines Corporation Low temperature diffusion process for dopant concentration enhancement
US6066566A (en) * 1998-01-28 2000-05-23 International Business Machines Corporation High selectivity collar oxide etch processes
KR100652909B1 (ko) * 1998-03-06 2006-12-01 에이에스엠 아메리카, 인코포레이티드 하이 스텝 커버리지를 갖는 실리콘 증착 방법
TW429613B (en) * 1999-10-21 2001-04-11 Mosel Vitelic Inc Dynamic random access memory with trench type capacitor

Also Published As

Publication number Publication date
DE69923436D1 (de) 2005-03-03
US20030129811A1 (en) 2003-07-10
WO1999045167A8 (en) 2000-01-27
US20080003763A1 (en) 2008-01-03
EP1060287A1 (de) 2000-12-20
US6232196B1 (en) 2001-05-15
EP1060287B1 (de) 2005-01-26
KR100652909B1 (ko) 2006-12-01
KR20010041680A (ko) 2001-05-25
WO1999045167A1 (en) 1999-09-10
US20010020712A1 (en) 2001-09-13
JP2002505532A (ja) 2002-02-19

Similar Documents

Publication Publication Date Title
DE69923436T2 (de) Verfahren zum beschichten von silizium mit hoher kantenabdeckung
DE102008050511B4 (de) Selektive Bildung einer Silizium-Kohlenstoff-Epitaxialschicht
DE102017117795B4 (de) Fets und verfahren zu deren herstellung
DE10066433B4 (de) Verfahren zur Herstellung eines Halbleitersubstrats
DE3727264A1 (de) Chemisches dampf-ablagerungsverfahren und vorrichtung zur durchfuehrung derselben
DE112014002916B4 (de) Vorrichtung zum Ausbilden eines Siliciumcarbidhalbleiterfilms und Filmbildungsverfahren, welches diese verwendet
DE1544329A1 (de) Verfahren zur Herstellung epitaxialer Schichten bestimmter Form
DE4138121C2 (de) Verfahren zur Herstellung einer Solarzelle
DE69722700T2 (de) Verfahren und Vorrichtung zum Herstellen von Siliciumschichten
DE60105941T2 (de) Verfahren und Vorrichtung zur Herstellung von Siliziumkarbidkristallen unter Verwendung von Quellgasen
DE102005040228A1 (de) Transistor und Verfahren zur Herstellung desselben
DE102019200725A1 (de) FinFET mit High-k-Abstandshalter und selbstausgerichteter Kontaktdeckschicht
DE19606226A1 (de) Dampfphasen-Wachstumsvorrichtung und Verbindungshalbleitervorrichtung, die durch diese hergestellt wird
DE2422508C3 (de) Verfahren zum epitaktischen Aufwachsen einer kristallinen Schicht
CH657632A5 (de) Verfahren zur chemischdampfablagerung von filmen und einrichtung zur durchfuehrung des verfahrens.
DE112020006238T5 (de) Resistive speicherzellen mit wahlfreiem zugriff, integriert mit vertikalen feldeffekttransistoren mit gemeinsam genutztem gate
DE102011002145A1 (de) Vorrichtung und Verfahren zum großflächigen Abscheiden von Halbleiterschichten mit gasgetrennter HCI-Einspeisung
DE102014119637A1 (de) Halbleitervorrichtungsstruktur und Herstellungsverfahren dafür
DE1901752A1 (de) Verfahren zur Herstellung eines Einkristalles in einem nichtmonokristallinem Substrat
WO2003010826A2 (de) Verfahren zur herstellung eines vertikaltransistors in einem graben
DE102020134585A1 (de) Eingebettete stressoren in epitaxie-source/drain-bereichen
EP0811702A2 (de) Verfahren und Vorrichtung zum Erzeugen einer Schicht durch CVD
DE102016101559A1 (de) Verfahren zum herstellen von halbleitervorrichtungen, einschliesslich einer abscheidung von kristallinem silizium in gräben
DE102019101555B4 (de) Metall-gate-schneideform
DE102019133704A1 (de) Anlage zur chemischen sic-gasphasenabscheidung

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
8339 Ceased/non-payment of the annual fee