JP2005536054A - アモルファスケイ素含有膜の堆積 - Google Patents
アモルファスケイ素含有膜の堆積 Download PDFInfo
- Publication number
- JP2005536054A JP2005536054A JP2004529111A JP2004529111A JP2005536054A JP 2005536054 A JP2005536054 A JP 2005536054A JP 2004529111 A JP2004529111 A JP 2004529111A JP 2004529111 A JP2004529111 A JP 2004529111A JP 2005536054 A JP2005536054 A JP 2005536054A
- Authority
- JP
- Japan
- Prior art keywords
- source
- film
- amorphous
- crystalline
- containing film
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C30—CRYSTAL GROWTH
- C30B—SINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
- C30B29/00—Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
- C30B29/02—Elements
- C30B29/06—Silicon
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/24—Deposition of silicon only
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/32—Carbides
- C23C16/325—Silicon carbide
-
- C—CHEMISTRY; METALLURGY
- C30—CRYSTAL GROWTH
- C30B—SINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
- C30B25/00—Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
- C30B25/02—Epitaxial-layer growth
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02367—Substrates
- H01L21/0237—Materials
- H01L21/02373—Group 14 semiconducting materials
- H01L21/02378—Silicon carbide
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02367—Substrates
- H01L21/0237—Materials
- H01L21/02373—Group 14 semiconducting materials
- H01L21/02381—Silicon, silicon germanium, germanium
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02521—Materials
- H01L21/02524—Group 14 semiconducting materials
- H01L21/02529—Silicon carbide
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02521—Materials
- H01L21/02524—Group 14 semiconducting materials
- H01L21/02532—Silicon, silicon germanium, germanium
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/0262—Reduction or decomposition of gaseous compounds, e.g. CVD
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Microelectronics & Electronic Packaging (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Organic Chemistry (AREA)
- Metallurgy (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Crystallography & Structural Chemistry (AREA)
- Inorganic Chemistry (AREA)
- Mechanical Engineering (AREA)
- Chemical Vapour Deposition (AREA)
- Recrystallisation Techniques (AREA)
Abstract
Description
発明の分野
本願は、一般的に、ケイ素含有材料の堆積に関する。より詳細には、本願は、トリシランを使用してのアモルファスケイ素含有膜の堆積、および混合支持体(mixed substrates)を含む種々の支持体上で結晶性ケイ素含有膜を形成させるためのこのような膜のアニーリングに関する。このような堆積方法は、種々の用途(例えば、半導体製造)において有用である。
表面上に材料を堆積させる種々の方法が、半導体製造業において使用されている。例えば、最も広く使用される方法の1つは、化学蒸着(“CVD”)であり、ここで、蒸気に含まれる原子または分子が、表面上に堆積し、そして積み重なって膜を形成する。従来のケイ素源および堆積方法を使用してのケイ素含有(“Si含有”)材料の堆積は、いくつかの別個の段階で進行すると考えられる(Peter Van Zant, “Microchip Fabrication, ” 4th Ed., McGraw Hill, New York, (2000), pp. 364-365を参照のこと)。核形成(第一段階)は、非常に重要であり、そして支持体表面の性質および品質によって大きく影響される。第1の僅かな原子または分子が表面上に堆積しそして核を形成する際に、核形成が生じる。第2段階の間、点在する核は小さな島(islands)を形成し、それはより大きな島へと成長する。第3段階において、成長している島は、連続膜へと一体化し始める。この時点で、該膜は、典型的に、数百オングストロームの厚みを有し、そして“トランジション(transition)”膜として知られている。それは、一般的に、該トランジション膜が形成された後に成長し始めるより厚いバルク膜(bulk film)と異なる化学的および物理的性質を有する。
好ましい実施形態は、チャンバ内に配置された支持体を提供すること(該支持体は、第1表面形態を有する第1表面と該第1表面形態とは異なる第2表面形態を有する第2表面とを備える);化学蒸着条件下で該チャンバへトリシランを導入すること;ならびに、該支持体上の該第1表面および該第2表面の両方の上にアモルファスSi含有膜を堆積することを包含する、堆積方法を提供する。
核形成現象に遥かに感受性が低い堆積プロセスが、ここで発見された。これらのプロセスは、ケイ素源としてトリシラン(H3SiSiH2SiH3)を使用して、種々の支持体(混合支持体(mixed substrates)を含む)上における高品質のSi含有膜の堆積を可能にする。図1は、ケイ素源としてシランを使用する典型的な従来技術の堆積プロセスによって得られる結果を示しており、一方、図2〜5は、トリシランを使用する堆積から得られる好ましい構造を図示している。図2〜5は、本明細書で記載されるトリシラン堆積方法が、アニールして種々の支持体(混合支持体を含む)上に高品質単結晶および/または多結晶性膜を生じ得る、アモルファスSi含有膜を提供するために使用され得ることを示している。図2〜5において示される好ましい実施形態は、以下でより詳細に議論される。
この実施例は、混合形態支持体上におけるアモルファスケイ素膜の堆積を示す。
この実施例は、混合支持体上にアモルファスシリコン膜をアニーリングして、単結晶領域および滑らかで連続的な多結晶性領域を有する結晶性膜を作製することを示す。
この実施例は、上層のアモルファスシリコン膜をアニールして、固相エピタキシー(SPE)により下層の結晶Si<100>表面上に単結晶領域を、そしてELO(ラテラル固相エピタキシー(Lateral Solid Phase Epitaxy ; LSPE)としても公知)により下層の誘電性SiO2表面上に単結晶領域を有する結晶性膜を作製することを示す。
Claims (36)
- 以下:
チャンバ内に配置された支持体を提供すること(該支持体は、第1表面形態を有する第1表面と該第1表面形態とは異なる第2表面形態を有する第2表面とを備える)
化学蒸着条件下で該チャンバへトリシランを導入すること;ならびに
該支持体上の該第1表面および該第2表面の両方の上にアモルファスSi含有膜を堆積すること、
を包含する、堆積方法。 - 前記アモルファスSi含有膜が、T1:T2が約1.2:1〜約1:1.2の範囲内となるように、前記第1表面上に第1厚みT1および前記第2表面上に第2厚みT2を有する、請求項1に記載の方法。
- 前記化学蒸着条件が約350℃〜約530℃の範囲の温度を含む、請求項1に記載の方法。
- 前記化学蒸着条件が約1Torr〜約350Torrの範囲の圧力を含む、請求項1に記載の方法。
- 前記チャンバへトリシランを導入することが、約50sccm以上の流量で行われる、請求項1に記載の方法。
- 前記第1表面形態が単結晶性である、請求項1に記載の方法。
- 前記第2表面形態が、アモルファス、多結晶性、あるいはアモルファスおよび結晶性材料の混合物である、請求項6に記載の方法。
- 前記第1表面が半導体材料を含み、そして前記第2表面が誘電材料を含む、請求項7に記載の方法。
- 前記チャンバへ前駆体を導入することを更に包含し、該前駆体が、ゲルマニウム源、ケイ素源、炭素源、ホウ素源、ガリウム源、インジウム源、砒素源、リン源、アンチモン源、窒素源および酸素源からなる群から選択される、請求項1に記載の方法。
- 前記前駆体がゲルマニウム源である、請求項9に記載の方法。
- 前記アモルファスSi含有膜がSiGe膜である、請求項10に記載の方法。
- 前記前駆体が、インジウム源、砒素源、リン源、およびアンチモン源からなる群から選択される、請求項9に記載の方法。
- 前記前駆体がケイ素源である、請求項9に記載の方法。
- 前記ケイ素源がシランまたはジシランである、請求項13に記載の方法。
- 前記アモルファスSi含有膜が約300Å以下の平均厚みを有する、請求項1に記載の方法。
- 前記アモルファスSi含有膜をアニールして結晶性Si含有膜を形成させることを更に包含する、請求項1に記載の方法。
- 前記アニーリングが約500℃〜約1100℃の範囲内の温度で行われる、請求項16に記載の方法。
- 前記第1表面上の結晶性Si含有膜が単結晶である、請求項17に記載の方法。
- 前記第2表面上の結晶性Si含有膜が多結晶性である、請求項18に記載の方法。
- 前記アニーリングが約500℃〜約750℃の範囲内の温度で行われる、請求項16に記載の方法。
- 前記結晶性Si含有膜が前記第1表面および前記第2表面の両方の上において単結晶である、請求項20に記載の方法。
- 前記結晶性Si含有膜がケイ素、ドープトケイ素、SiC、ドープトSiC、SiGe、ドープトSiGe、SiGeC、およびドープトSiGeCからなる群から選択される、請求項16に記載の方法。
- 以下:
トリシランを含む供給ガスを使用して、支持体上にアモルファスSi含有膜を堆積させること;および
該アモルファスSi含有膜をアニールして結晶性Si含有膜を形成させること、
を包含する、堆積方法。 - 前記結晶性Si含有膜が、エピタキシャル結晶性膜である、請求項23に記載の方法。
- 前記結晶性Si含有膜が多結晶性膜である、請求項23に記載の方法。
- 前記堆積が約350℃〜約500℃の範囲内の温度で行われる、請求項23に記載の方法。
- 前記アニーリングが約500℃〜約1100℃の範囲内の温度で行われる、請求項23に記載の方法。
- 前記堆積が約350℃〜約500℃の範囲内の温度で行われ、そして前記アニーリングが約500℃〜約750℃の範囲内の温度で行われる、請求項23に記載の方法。
- 前記支持体が結晶性である、請求項28に記載の方法。
- 前記支持体が単結晶である、請求項28に記載の方法。
- 前記結晶性Si含有膜がケイ素、ドープトケイ素、SiC、ドープトSiC、SiGe、ドープトSiGe、SiGeC、およびドープトSiGeCからなる群から選択される、請求項23に記載の方法。
- 前記支持体がアモルファスである、請求項31に記載の方法。
- SiGe膜を前記結晶性Si含有膜上に堆積させることを更に包含する、請求項32に記載の方法。
- 前記SiGe膜がドーパントを含む、請求項33に記載の方法。
- 前記供給ガスが、ゲルマニウム源、ケイ素源、炭素源、ホウ素源、ガリウム源、インジウム源、砒素源、リン源、アンチモン源、窒素源および酸素源からなる群から選択される前駆体を更に含む、請求項23に記載の方法。
- 前記前駆体がシランおよびジシランからなる群から選択されるケイ素源である、請求項35に記載の方法。
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/219,687 US7186630B2 (en) | 2002-08-14 | 2002-08-14 | Deposition of amorphous silicon-containing films |
PCT/US2003/022976 WO2004017365A2 (en) | 2002-08-14 | 2003-07-24 | Deposition of amorphous silicon-containing films |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2005536054A true JP2005536054A (ja) | 2005-11-24 |
JP2005536054A5 JP2005536054A5 (ja) | 2006-09-07 |
Family
ID=31714781
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2004529111A Pending JP2005536054A (ja) | 2002-08-14 | 2003-07-24 | アモルファスケイ素含有膜の堆積 |
Country Status (3)
Country | Link |
---|---|
US (2) | US7186630B2 (ja) |
JP (1) | JP2005536054A (ja) |
WO (1) | WO2004017365A2 (ja) |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2010258481A (ja) * | 2010-08-17 | 2010-11-11 | Semiconductor Technology Academic Research Center | 半導体装置の製造方法 |
US8963124B2 (en) | 2008-03-18 | 2015-02-24 | Semiconductor Technology Academic Research Center | Semiconductor device including a plurality of different functional elements and method of manufacturing the same |
Families Citing this family (65)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7026219B2 (en) | 2001-02-12 | 2006-04-11 | Asm America, Inc. | Integration of high k gate dielectric |
EP1421607A2 (en) * | 2001-02-12 | 2004-05-26 | ASM America, Inc. | Improved process for deposition of semiconductor films |
US7186630B2 (en) * | 2002-08-14 | 2007-03-06 | Asm America, Inc. | Deposition of amorphous silicon-containing films |
TWI242796B (en) * | 2002-09-04 | 2005-11-01 | Canon Kk | Substrate and manufacturing method therefor |
JP2004103600A (ja) * | 2002-09-04 | 2004-04-02 | Canon Inc | 基板及びその製造方法 |
JP2004103855A (ja) * | 2002-09-10 | 2004-04-02 | Canon Inc | 基板及びその製造方法 |
JP2004103946A (ja) * | 2002-09-11 | 2004-04-02 | Canon Inc | 基板及びその製造方法 |
US7540920B2 (en) | 2002-10-18 | 2009-06-02 | Applied Materials, Inc. | Silicon-containing layer deposition with silicon compounds |
JP4065516B2 (ja) * | 2002-10-21 | 2008-03-26 | キヤノン株式会社 | 情報処理装置及び情報処理方法 |
US7132338B2 (en) * | 2003-10-10 | 2006-11-07 | Applied Materials, Inc. | Methods to fabricate MOSFET devices using selective deposition process |
US7166528B2 (en) | 2003-10-10 | 2007-01-23 | Applied Materials, Inc. | Methods of selective deposition of heavily doped epitaxial SiGe |
US8501594B2 (en) * | 2003-10-10 | 2013-08-06 | Applied Materials, Inc. | Methods for forming silicon germanium layers |
US7078302B2 (en) * | 2004-02-23 | 2006-07-18 | Applied Materials, Inc. | Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal |
US7314513B1 (en) | 2004-09-24 | 2008-01-01 | Kovio, Inc. | Methods of forming a doped semiconductor thin film, doped semiconductor thin film structures, doped silane compositions, and methods of making such compositions |
WO2006044268A1 (en) * | 2004-10-13 | 2006-04-27 | Dow Global Technologies Inc. | Catalysed diesel soot filter and process for its use |
US20060084283A1 (en) * | 2004-10-20 | 2006-04-20 | Paranjpe Ajit P | Low temperature sin deposition methods |
US7682940B2 (en) | 2004-12-01 | 2010-03-23 | Applied Materials, Inc. | Use of Cl2 and/or HCl during silicon epitaxial film formation |
US7560352B2 (en) * | 2004-12-01 | 2009-07-14 | Applied Materials, Inc. | Selective deposition |
US7312128B2 (en) * | 2004-12-01 | 2007-12-25 | Applied Materials, Inc. | Selective epitaxy process with alternating gas supply |
KR100611108B1 (ko) * | 2005-01-13 | 2006-08-09 | 삼성전자주식회사 | 박막 형성 방법 |
US7235492B2 (en) * | 2005-01-31 | 2007-06-26 | Applied Materials, Inc. | Low temperature etchant for treatment of silicon-containing surfaces |
US7438760B2 (en) * | 2005-02-04 | 2008-10-21 | Asm America, Inc. | Methods of making substitutionally carbon-doped crystalline Si-containing materials by chemical vapor deposition |
US7651955B2 (en) * | 2005-06-21 | 2010-01-26 | Applied Materials, Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
US7648927B2 (en) * | 2005-06-21 | 2010-01-19 | Applied Materials, Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
US20060286774A1 (en) * | 2005-06-21 | 2006-12-21 | Applied Materials. Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
WO2007035660A1 (en) * | 2005-09-20 | 2007-03-29 | Applied Materials, Inc. | Method to form a device on a soi substrate |
US20070065578A1 (en) * | 2005-09-21 | 2007-03-22 | Applied Materials, Inc. | Treatment processes for a batch ALD reactor |
JP4542492B2 (ja) * | 2005-10-07 | 2010-09-15 | セイコーエプソン株式会社 | 電気光学装置及びその製造方法、電子機器、並びに半導体装置 |
JP2009521801A (ja) * | 2005-12-22 | 2009-06-04 | エーエスエム アメリカ インコーポレイテッド | ドープされた半導体物質のエピタキシャル堆積 |
FR2895419B1 (fr) * | 2005-12-27 | 2008-02-22 | Commissariat Energie Atomique | Procede de realisation simplifiee d'une structure epitaxiee |
US7964514B2 (en) * | 2006-03-02 | 2011-06-21 | Applied Materials, Inc. | Multiple nitrogen plasma treatments for thin SiON dielectrics |
US20070238267A1 (en) * | 2006-03-28 | 2007-10-11 | International Business Machines Corporation | Epitaxy of Silicon-Carbon Substitutional Solid Solutions by Ultra-Fast Annealing of Amorphous Material |
US7674337B2 (en) * | 2006-04-07 | 2010-03-09 | Applied Materials, Inc. | Gas manifolds for use during epitaxial film formation |
FR2900277B1 (fr) * | 2006-04-19 | 2008-07-11 | St Microelectronics Sa | Procede de formation d'une portion monocristalline a base de silicium |
US8278176B2 (en) | 2006-06-07 | 2012-10-02 | Asm America, Inc. | Selective epitaxial formation of semiconductor films |
DE112007001813T5 (de) * | 2006-07-31 | 2009-07-09 | Applied Materials, Inc., Santa Clara | Verfahren zum Steuern der Morphologie während der Bildung einer epitaktischen Schicht |
KR101160930B1 (ko) * | 2006-07-31 | 2012-06-29 | 어플라이드 머티어리얼스, 인코포레이티드 | 카본-함유 실리콘 에피택셜 층을 형성하는 방법 |
US20080246101A1 (en) * | 2007-04-05 | 2008-10-09 | Applied Materials Inc. | Method of poly-silicon grain structure formation |
US7939447B2 (en) * | 2007-10-26 | 2011-05-10 | Asm America, Inc. | Inhibitors for selective deposition of silicon containing films |
US7655543B2 (en) * | 2007-12-21 | 2010-02-02 | Asm America, Inc. | Separate injection of reactive species in selective formation of films |
US7947552B2 (en) * | 2008-04-21 | 2011-05-24 | Infineon Technologies Ag | Process for the simultaneous deposition of crystalline and amorphous layers with doping |
KR101436564B1 (ko) * | 2008-05-07 | 2014-09-02 | 한국에이에스엠지니텍 주식회사 | 비정질 실리콘 박막 형성 방법 |
US8486191B2 (en) * | 2009-04-07 | 2013-07-16 | Asm America, Inc. | Substrate reactor with adjustable injectors for mixing gases within reaction chamber |
US8367528B2 (en) * | 2009-11-17 | 2013-02-05 | Asm America, Inc. | Cyclical epitaxial deposition and etch |
US8809170B2 (en) | 2011-05-19 | 2014-08-19 | Asm America Inc. | High throughput cyclical epitaxial deposition and etch process |
US9128218B2 (en) * | 2011-12-29 | 2015-09-08 | Visera Technologies Company Limited | Microlens structure and fabrication method thereof |
US9064745B2 (en) | 2012-08-29 | 2015-06-23 | International Business Machines Corporation | Sublithographic width finFET employing solid phase epitaxy |
US9018108B2 (en) | 2013-01-25 | 2015-04-28 | Applied Materials, Inc. | Low shrinkage dielectric films |
US9354508B2 (en) | 2013-03-12 | 2016-05-31 | Applied Materials, Inc. | Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor |
US9632411B2 (en) | 2013-03-14 | 2017-04-25 | Applied Materials, Inc. | Vapor deposition deposited photoresist, and manufacturing and lithography systems therefor |
US9417515B2 (en) | 2013-03-14 | 2016-08-16 | Applied Materials, Inc. | Ultra-smooth layer ultraviolet lithography mirrors and blanks, and manufacturing and lithography systems therefor |
US9612521B2 (en) | 2013-03-12 | 2017-04-04 | Applied Materials, Inc. | Amorphous layer extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor |
US20140272684A1 (en) | 2013-03-12 | 2014-09-18 | Applied Materials, Inc. | Extreme ultraviolet lithography mask blank manufacturing system and method of operation therefor |
US20150187563A1 (en) * | 2013-12-26 | 2015-07-02 | Applied Materials, Inc. | Photo-assisted deposition of flowable films |
CN105609406B (zh) * | 2014-11-19 | 2018-09-28 | 株式会社日立国际电气 | 半导体器件的制造方法、衬底处理装置、气体供给系统 |
TWI715645B (zh) * | 2015-10-22 | 2021-01-11 | 美商應用材料股份有限公司 | 正形及縫隙填充非晶矽薄膜的沉積 |
KR102312824B1 (ko) | 2016-03-17 | 2021-10-13 | 어플라이드 머티어리얼스, 인코포레이티드 | 고 종횡비 구조들에서의 갭충전을 위한 방법들 |
US9984940B1 (en) * | 2017-01-30 | 2018-05-29 | International Business Machines Corporation | Selective and conformal passivation layer for 3D high-mobility channel devices |
US10460932B2 (en) * | 2017-03-31 | 2019-10-29 | Asm Ip Holding B.V. | Semiconductor device with amorphous silicon filled gaps and methods for forming |
CN110476239B (zh) | 2017-04-07 | 2023-10-13 | 应用材料公司 | 使用反应性退火的间隙填充 |
US10276379B2 (en) | 2017-04-07 | 2019-04-30 | Applied Materials, Inc. | Treatment approach to improve film roughness by improving nucleation/adhesion of silicon oxide |
US10559465B2 (en) | 2017-07-24 | 2020-02-11 | Applied Materials, Inc. | Pre-treatment approach to improve continuity of ultra-thin amorphous silicon film on silicon oxide |
EP3503163A1 (en) * | 2017-12-21 | 2019-06-26 | EpiGan NV | A method for forming a silicon carbide film onto a silicon substrate |
US11572620B2 (en) * | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11133178B2 (en) | 2019-09-20 | 2021-09-28 | Applied Materials, Inc. | Seamless gapfill with dielectric ALD films |
Citations (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH01268064A (ja) * | 1988-04-20 | 1989-10-25 | Hitachi Ltd | 多結晶シリコン薄膜の形成方法 |
JPH0391239A (ja) * | 1989-09-04 | 1991-04-16 | Hitachi Ltd | 半導体装置の製造方法 |
JPH0521378A (ja) * | 1990-08-31 | 1993-01-29 | Hitachi Ltd | 半導体装置の製造方法 |
JPH0845838A (ja) * | 1994-07-26 | 1996-02-16 | Toyota Central Res & Dev Lab Inc | Soi構造の製造方法 |
JPH08139032A (ja) * | 1994-11-11 | 1996-05-31 | Nec Corp | 半導体薄膜形成方法 |
JPH0982651A (ja) * | 1995-09-14 | 1997-03-28 | Toshiba Corp | 半導体装置の製造方法 |
JPH10223913A (ja) * | 1990-11-16 | 1998-08-21 | Seiko Epson Corp | 薄膜半導体装置の製造方法 |
JPH10335496A (ja) * | 1997-05-30 | 1998-12-18 | Sharp Corp | 半導体記憶素子およびその製造方法 |
JP2000323689A (ja) * | 1999-05-14 | 2000-11-24 | Toshiba Corp | 半導体エピタキシャル基板及びその製造方法 |
Family Cites Families (313)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS49121488U (ja) | 1973-02-15 | 1974-10-17 | ||
US3900597A (en) | 1973-12-19 | 1975-08-19 | Motorola Inc | System and process for deposition of polycrystalline silicon with silane in vacuum |
SE393967B (sv) | 1974-11-29 | 1977-05-31 | Sateko Oy | Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket |
JPS6047202B2 (ja) | 1976-01-13 | 1985-10-21 | 東北大学金属材料研究所長 | 超硬高純度の配向多結晶質窒化珪素 |
US4217374A (en) | 1978-03-08 | 1980-08-12 | Energy Conversion Devices, Inc. | Amorphous semiconductors equivalent to crystalline semiconductors |
US4200666A (en) | 1978-08-02 | 1980-04-29 | Texas Instruments Incorporated | Single component monomer for silicon nitride deposition |
US4223048A (en) | 1978-08-07 | 1980-09-16 | Pacific Western Systems | Plasma enhanced chemical vapor processing of semiconductive wafers |
FI57975C (fi) | 1979-02-28 | 1980-11-10 | Lohja Ab Oy | Foerfarande och anordning vid uppbyggande av tunna foereningshinnor |
US4237150A (en) | 1979-04-18 | 1980-12-02 | The United States Of America As Represented By The United States Department Of Energy | Method of producing hydrogenated amorphous silicon film |
US4363828A (en) * | 1979-12-12 | 1982-12-14 | International Business Machines Corp. | Method for depositing silicon films and related materials by a glow discharge in a disiland or higher order silane gas |
US4379020A (en) | 1980-06-16 | 1983-04-05 | Massachusetts Institute Of Technology | Polycrystalline semiconductor processing |
US4444812A (en) | 1980-07-28 | 1984-04-24 | Monsanto Company | Combination gas curtains for continuous chemical vapor deposition production of silicon bodies |
JPS57209810A (en) | 1981-06-17 | 1982-12-23 | Asahi Chem Ind Co Ltd | Preparation of silicon nitride |
US4452875A (en) | 1982-02-15 | 1984-06-05 | Canon Kabushiki Kaisha | Amorphous photoconductive member with α-Si interlayers |
JPH0635323B2 (ja) | 1982-06-25 | 1994-05-11 | 株式会社日立製作所 | 表面処理方法 |
US4737379A (en) | 1982-09-24 | 1988-04-12 | Energy Conversion Devices, Inc. | Plasma deposited coatings, and low temperature plasma method of making same |
JPS5958819A (ja) * | 1982-09-29 | 1984-04-04 | Hitachi Ltd | 薄膜形成方法 |
JPS6015967Y2 (ja) | 1982-10-22 | 1985-05-18 | トヨタ自動車株式会社 | 差動制限装置 |
JPS5978919A (ja) | 1982-10-26 | 1984-05-08 | Mitsui Toatsu Chem Inc | アモルフアスシリコン膜の形成方法 |
JPS5978918A (ja) | 1982-10-26 | 1984-05-08 | Mitsui Toatsu Chem Inc | 広バンドギャップアモルファスシリコン膜の形成方法 |
JPS5989407A (ja) * | 1982-11-15 | 1984-05-23 | Mitsui Toatsu Chem Inc | アモルフアスシリコン膜の形成方法 |
JPS6043485A (ja) | 1983-08-19 | 1985-03-08 | Mitsui Toatsu Chem Inc | アモルフアスシリコン膜の形成方法 |
JPS6043485U (ja) | 1983-08-27 | 1985-03-27 | 日野自動車株式会社 | ル−ムプレツシヤリリ−スバルブ |
JPS60109982U (ja) | 1983-12-28 | 1985-07-25 | ぺんてる株式会社 | キヤツプ式シヤ−プペンシル |
US4557794A (en) * | 1984-05-07 | 1985-12-10 | Rca Corporation | Method for forming a void-free monocrystalline epitaxial layer on a mask |
US4578142A (en) * | 1984-05-10 | 1986-03-25 | Rca Corporation | Method for growing monocrystalline silicon through mask layer |
US4634605A (en) | 1984-05-23 | 1987-01-06 | Wiesmann Harold J | Method for the indirect deposition of amorphous silicon and polycrystalline silicone and alloys thereof |
GB8413324D0 (en) | 1984-05-24 | 1984-06-27 | Westinghouse Brake & Signal | Vehicle protection system |
US4592933A (en) | 1984-06-29 | 1986-06-03 | International Business Machines Corporation | High efficiency homogeneous chemical vapor deposition |
US4707197A (en) | 1984-08-02 | 1987-11-17 | American Telephone And Telegraph Company, At&T Bell Laboratories | Method of producing a silicide/Si heteroepitaxial structure, and articles produced by the method |
JPS6195535U (ja) | 1984-11-30 | 1986-06-19 | ||
US4631804A (en) | 1984-12-10 | 1986-12-30 | At&T Bell Laboratories | Technique for reducing substrate warpage springback using a polysilicon subsurface strained layer |
JPS61153277A (ja) | 1984-12-27 | 1986-07-11 | Agency Of Ind Science & Technol | 微結晶シリコン薄膜の製造方法 |
JPS61191015A (ja) | 1985-02-20 | 1986-08-25 | Hitachi Ltd | 半導体の気相成長方法及びその装置 |
US4615762A (en) * | 1985-04-30 | 1986-10-07 | Rca Corporation | Method for thinning silicon |
US4695331A (en) | 1985-05-06 | 1987-09-22 | Chronar Corporation | Hetero-augmentation of semiconductor materials |
JPS61194823U (ja) | 1985-05-28 | 1986-12-04 | ||
US5769950A (en) | 1985-07-23 | 1998-06-23 | Canon Kabushiki Kaisha | Device for forming deposited film |
JPH0650730B2 (ja) | 1985-09-30 | 1994-06-29 | 三井東圧化学株式会社 | 半導体薄膜の製造方法 |
US4891092A (en) * | 1986-01-13 | 1990-01-02 | General Electric Company | Method for making a silicon-on-insulator substrate |
EG18056A (en) | 1986-02-18 | 1991-11-30 | Solarex Corp | Dispositif feedstock materials useful in the fabrication of hydrogenated amorphous silicon alloys for photo-voltaic devices and other semiconductor devices |
US4704186A (en) * | 1986-02-19 | 1987-11-03 | Rca Corporation | Recessed oxide method for making a silicon-on-insulator substrate |
JPS62253771A (ja) | 1986-04-28 | 1987-11-05 | Hitachi Ltd | 薄膜形成方法 |
US4755481A (en) * | 1986-05-15 | 1988-07-05 | General Electric Company | Method of making a silicon-on-insulator transistor |
US4761269A (en) | 1986-06-12 | 1988-08-02 | Crystal Specialties, Inc. | Apparatus for depositing material on a substrate |
US4747367A (en) | 1986-06-12 | 1988-05-31 | Crystal Specialties, Inc. | Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition |
JPS633414A (ja) | 1986-06-24 | 1988-01-08 | Agency Of Ind Science & Technol | シリコン膜の製造方法 |
JP2592238B2 (ja) | 1986-06-24 | 1997-03-19 | セイコー電子工業株式会社 | 薄膜トランジスタの製造方法 |
US4684542A (en) * | 1986-08-11 | 1987-08-04 | International Business Machines Corporation | Low pressure chemical vapor deposition of tungsten silicide |
US4720395A (en) | 1986-08-25 | 1988-01-19 | Anicon, Inc. | Low temperature silicon nitride CVD process |
JPS6351680U (ja) | 1986-09-22 | 1988-04-07 | ||
US5082696A (en) | 1986-10-03 | 1992-01-21 | Dow Corning Corporation | Method of forming semiconducting amorphous silicon films from the thermal decomposition of dihalosilanes |
KR900007686B1 (ko) * | 1986-10-08 | 1990-10-18 | 후지쓰 가부시끼가이샤 | 선택적으로 산화된 실리콘 기판상에 에피택셜 실리콘층과 다결정 실리콘층을 동시에 성장시키는 기상 증착방법 |
JPS63166502A (ja) | 1986-12-27 | 1988-07-09 | 新日本製鐵株式会社 | 型造物用枠体 |
JPS63166502U (ja) | 1987-04-20 | 1988-10-31 | ||
US4854263B1 (en) | 1987-08-14 | 1997-06-17 | Applied Materials Inc | Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films |
US4902645A (en) | 1987-08-24 | 1990-02-20 | Fujitsu Limited | Method of selectively forming a silicon-containing metal layer |
JPH01134932A (ja) | 1987-11-19 | 1989-05-26 | Oki Electric Ind Co Ltd | 基板清浄化方法及び基板清浄化装置 |
JPH076952Y2 (ja) | 1987-12-22 | 1995-02-22 | 株式会社タカラ | 玩具における部材の折畳み、展開構造 |
JPH0613313Y2 (ja) | 1987-12-23 | 1994-04-06 | 未来工業株式会社 | 合成樹脂製床材 |
JP2835723B2 (ja) | 1988-02-26 | 1998-12-14 | 富士通株式会社 | キャパシタ及びキャパシタの製造方法 |
JPH01128428U (ja) | 1988-02-26 | 1989-09-01 | ||
JPH01296611A (ja) * | 1988-05-25 | 1989-11-30 | Canon Inc | 半導体薄膜堆積法 |
US4933206A (en) | 1988-08-17 | 1990-06-12 | Intel Corporation | UV-vis characteristic writing in silicon nitride and oxynitride films |
US5091761A (en) * | 1988-08-22 | 1992-02-25 | Hitachi, Ltd. | Semiconductor device having an arrangement of IGFETs and capacitors stacked thereover |
US4894352A (en) | 1988-10-26 | 1990-01-16 | Texas Instruments Inc. | Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride |
JPH02225399A (ja) | 1988-11-11 | 1990-09-07 | Fujitsu Ltd | エピタキシャル成長方法および成長装置 |
JPH02155225A (ja) | 1988-12-08 | 1990-06-14 | Mitsui Toatsu Chem Inc | 非晶質半導体薄膜の形成方法 |
DE3909031A1 (de) | 1989-03-18 | 1990-09-27 | Pfeiffer Erich Gmbh & Co Kg | Austragvorrichtung fuer medien |
US4963506A (en) | 1989-04-24 | 1990-10-16 | Motorola Inc. | Selective deposition of amorphous and polycrystalline silicon |
US5194398A (en) * | 1989-06-28 | 1993-03-16 | Mitsui Toatsu Chemicals, Inc. | Semiconductor film and process for its production |
JPH03193880A (ja) | 1989-08-03 | 1991-08-23 | Mikakutou Seimitsu Kogaku Kenkyusho:Kk | 高圧力下でのマイクロ波プラズマcvdによる高速成膜方法及びその装置 |
US5214002A (en) | 1989-10-25 | 1993-05-25 | Agency Of Industrial Science And Technology | Process for depositing a thermal CVD film of Si or Ge using a hydrogen post-treatment step and an optional hydrogen pre-treatment step |
JPH03139824A (ja) | 1989-10-25 | 1991-06-14 | Agency Of Ind Science & Technol | 半導体薄膜の堆積方法 |
US5068124A (en) | 1989-11-17 | 1991-11-26 | International Business Machines Corporation | Method for depositing high quality silicon dioxide by pecvd |
JPH0737823Y2 (ja) | 1989-11-27 | 1995-08-30 | 株式会社藤本撚糸製造所 | 墨 壷 |
US5198387A (en) | 1989-12-01 | 1993-03-30 | Texas Instruments Incorporated | Method and apparatus for in-situ doping of deposited silicon |
JPH03185817A (ja) | 1989-12-15 | 1991-08-13 | Seiko Epson Corp | 半導体膜の形成方法 |
JPH03187215A (ja) | 1989-12-15 | 1991-08-15 | Sharp Corp | シリコン薄膜の製造方法 |
JPH03205830A (ja) | 1990-01-06 | 1991-09-09 | Fujitsu Ltd | 半導体装置及び多結晶ゲルマニウムの製造方法 |
US4992299A (en) | 1990-02-01 | 1991-02-12 | Air Products And Chemicals, Inc. | Deposition of silicon nitride films from azidosilane sources |
JP3469251B2 (ja) * | 1990-02-14 | 2003-11-25 | 株式会社東芝 | 半導体装置の製造方法 |
JP2917392B2 (ja) | 1990-04-10 | 1999-07-12 | セイコーエプソン株式会社 | 半導体装置の製造方法 |
US5316844A (en) | 1990-04-16 | 1994-05-31 | Hoya Electronics Corporation | Magnetic recording medium comprising an aluminum alloy substrate, now magnetic underlayers, magnetic layer, protective layer, particulate containing protective layer and lubricant layer |
US5250452A (en) | 1990-04-27 | 1993-10-05 | North Carolina State University | Deposition of germanium thin films on silicon dioxide employing interposed polysilicon layer |
US5071670A (en) | 1990-06-11 | 1991-12-10 | Kelly Michael A | Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means |
KR100209856B1 (ko) * | 1990-08-31 | 1999-07-15 | 가나이 쓰도무 | 반도체장치의 제조방법 |
US5080933A (en) | 1990-09-04 | 1992-01-14 | Motorola, Inc. | Selective deposition of polycrystalline silicon |
US5504704A (en) | 1990-10-29 | 1996-04-02 | Nec Corporation | Semiconductor memory device |
JP3486421B2 (ja) | 1990-11-16 | 2004-01-13 | セイコーエプソン株式会社 | 薄膜半導体装置の製造方法 |
US5372958A (en) | 1990-11-16 | 1994-12-13 | Seiko Epson Corporation | Process for fabricating a thin film semiconductor device |
US5849601A (en) * | 1990-12-25 | 1998-12-15 | Semiconductor Energy Laboratory Co., Ltd. | Electro-optical device and method for manufacturing the same |
JPH0485818U (ja) | 1990-11-27 | 1992-07-27 | ||
US5110757A (en) * | 1990-12-19 | 1992-05-05 | North American Philips Corp. | Formation of composite monosilicon/polysilicon layer using reduced-temperature two-step silicon deposition |
JP3161707B2 (ja) | 1990-12-20 | 2001-04-25 | 株式会社半導体エネルギー研究所 | 電気光学装置 |
US5112773A (en) | 1991-04-10 | 1992-05-12 | Micron Technology, Inc. | Methods for texturizing polysilicon utilizing gas phase nucleation |
JPH04299515A (ja) | 1991-03-27 | 1992-10-22 | Shin Etsu Chem Co Ltd | X線リソグラフィ−マスク用x線透過膜およびその製造方法 |
JP3200863B2 (ja) | 1991-04-23 | 2001-08-20 | セイコーエプソン株式会社 | 半導体装置の製造方法 |
JPH04332115A (ja) | 1991-05-02 | 1992-11-19 | Shin Etsu Chem Co Ltd | X線リソグラフィ−マスク用x線透過膜 |
WO1992020833A1 (en) | 1991-05-17 | 1992-11-26 | Lam Research Corporation | A PROCESS FOR DEPOSITING A SIOx FILM HAVING REDUCED INTRINSIC STRESS AND/OR REDUCED HYDROGEN CONTENT |
JP2508948B2 (ja) * | 1991-06-21 | 1996-06-19 | 日本電気株式会社 | 半導体装置の製造方法 |
JPH07187892A (ja) | 1991-06-28 | 1995-07-25 | Internatl Business Mach Corp <Ibm> | シリコン及びその形成方法 |
JP3507072B2 (ja) | 1991-07-16 | 2004-03-15 | セイコーエプソン株式会社 | 化学気相推積装置及び半導体膜形成方法と薄膜半導体装置の製造方法 |
US5614257A (en) * | 1991-08-09 | 1997-03-25 | Applied Materials, Inc | Low temperature, high pressure silicon deposition method |
JP3121131B2 (ja) * | 1991-08-09 | 2000-12-25 | アプライド マテリアルズ インコーポレイテッド | 低温高圧のシリコン蒸着方法 |
US5695819A (en) * | 1991-08-09 | 1997-12-09 | Applied Materials, Inc. | Method of enhancing step coverage of polysilicon deposits |
JP3181357B2 (ja) * | 1991-08-19 | 2001-07-03 | 株式会社東芝 | 半導体薄膜の形成方法および半導体装置の製造方法 |
JPH0562911A (ja) | 1991-09-04 | 1993-03-12 | Fujitsu Ltd | 半導体超格子の製造方法 |
JPH0562911U (ja) | 1991-09-25 | 1993-08-20 | 松下電工株式会社 | 照明器具用反射板 |
JPH0621494Y2 (ja) | 1991-09-27 | 1994-06-08 | 株式会社ジョリーブ | 磁気治療器用磁性体 |
US5231056A (en) | 1992-01-15 | 1993-07-27 | Micron Technology, Inc. | Tungsten silicide (WSix) deposition process for semiconductor manufacture |
US5352636A (en) * | 1992-01-16 | 1994-10-04 | Applied Materials, Inc. | In situ method for cleaning silicon surface and forming layer thereon in same chamber |
US5485019A (en) * | 1992-02-05 | 1996-01-16 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device and method for forming the same |
US5324684A (en) | 1992-02-25 | 1994-06-28 | Ag Processing Technologies, Inc. | Gas phase doping of semiconductor material in a cold-wall radiantly heated reactor under reduced pressure |
JP3209789B2 (ja) | 1992-03-28 | 2001-09-17 | 鐘淵化学工業株式会社 | ポリシリコン薄膜堆積物およびその製法 |
JP2881069B2 (ja) | 1992-03-27 | 1999-04-12 | 大同ほくさん株式会社 | 半導体デバイスの製法 |
JP3156878B2 (ja) | 1992-04-30 | 2001-04-16 | 株式会社東芝 | 半導体装置およびその製造方法 |
US5306666A (en) | 1992-07-24 | 1994-04-26 | Nippon Steel Corporation | Process for forming a thin metal film by chemical vapor deposition |
US5242847A (en) | 1992-07-27 | 1993-09-07 | North Carolina State University At Raleigh | Selective deposition of doped silion-germanium alloy on semiconductor substrate |
US5461250A (en) * | 1992-08-10 | 1995-10-24 | International Business Machines Corporation | SiGe thin film or SOI MOSFET and method for making the same |
JP2740087B2 (ja) | 1992-08-15 | 1998-04-15 | 株式会社東芝 | 半導体集積回路装置の製造方法 |
US6004683A (en) | 1992-11-04 | 1999-12-21 | C. A. Patents, L.L.C. | Plural layered metal repair tape |
JPH06204138A (ja) | 1992-12-28 | 1994-07-22 | Canon Inc | 薄膜形成方法および薄膜形成装置および半導体素子 |
US5563093A (en) * | 1993-01-28 | 1996-10-08 | Kawasaki Steel Corporation | Method of manufacturing fet semiconductor devices with polysilicon gate having large grain sizes |
JPH06302526A (ja) | 1993-04-16 | 1994-10-28 | Kokusai Electric Co Ltd | アモルファスシリコン膜の形成方法 |
JPH06310493A (ja) | 1993-04-23 | 1994-11-04 | Kawasaki Steel Corp | 半導体装置の製造装置 |
JP2508581B2 (ja) | 1993-05-28 | 1996-06-19 | 日本電気株式会社 | 化学気相成長法 |
DE4419074C2 (de) * | 1993-06-03 | 1998-07-02 | Micron Semiconductor Inc | Verfahren zum gleichmäßigen Dotieren von polykristallinem Silizium mit halbkugelförmiger Körnung |
US5648293A (en) * | 1993-07-22 | 1997-07-15 | Nec Corporation | Method of growing an amorphous silicon film |
US5471330A (en) | 1993-07-29 | 1995-11-28 | Honeywell Inc. | Polysilicon pixel electrode |
JP2641385B2 (ja) | 1993-09-24 | 1997-08-13 | アプライド マテリアルズ インコーポレイテッド | 膜形成方法 |
JPH07131007A (ja) | 1993-11-02 | 1995-05-19 | Tadahiro Omi | 半導体装置 |
US6083810A (en) * | 1993-11-15 | 2000-07-04 | Lucent Technologies | Integrated circuit fabrication process |
US5413813A (en) | 1993-11-23 | 1995-05-09 | Enichem S.P.A. | CVD of silicon-based ceramic materials on internal surface of a reactor |
US5656531A (en) * | 1993-12-10 | 1997-08-12 | Micron Technology, Inc. | Method to form hemi-spherical grain (HSG) silicon from amorphous silicon |
JPH07249618A (ja) | 1994-03-14 | 1995-09-26 | Fujitsu Ltd | 半導体装置の製造方法 |
US6162667A (en) * | 1994-03-28 | 2000-12-19 | Sharp Kabushiki Kaisha | Method for fabricating thin film transistors |
JP2630257B2 (ja) | 1994-06-03 | 1997-07-16 | 日本電気株式会社 | 半導体装置の製造方法 |
CN1052116C (zh) | 1994-06-15 | 2000-05-03 | 精工爱普生株式会社 | 薄膜半导体器件的制造方法 |
US6121081A (en) * | 1994-11-15 | 2000-09-19 | Micron Technology, Inc. | Method to form hemi-spherical grain (HSG) silicon |
JP3331787B2 (ja) | 1994-11-25 | 2002-10-07 | ソニー株式会社 | シリコン量子ドットの製造方法 |
FI100409B (fi) | 1994-11-28 | 1997-11-28 | Asm Int | Menetelmä ja laitteisto ohutkalvojen valmistamiseksi |
FI97731C (fi) | 1994-11-28 | 1997-02-10 | Mikrokemia Oy | Menetelmä ja laite ohutkalvojen valmistamiseksi |
JPH08213343A (ja) | 1995-01-31 | 1996-08-20 | Sony Corp | 半導体装置およびその製造方法 |
US5677236A (en) | 1995-02-24 | 1997-10-14 | Mitsui Toatsu Chemicals, Inc. | Process for forming a thin microcrystalline silicon semiconductor film |
JP4067589B2 (ja) | 1995-02-28 | 2008-03-26 | 株式会社半導体エネルギー研究所 | 薄膜太陽電池の作製方法 |
US5698771A (en) | 1995-03-30 | 1997-12-16 | The United States Of America As Represented By The United States National Aeronautics And Space Administration | Varying potential silicon carbide gas sensor |
JPH08279462A (ja) | 1995-04-07 | 1996-10-22 | Toshiba Corp | 気相成長方法 |
JPH08306688A (ja) | 1995-04-28 | 1996-11-22 | Sanyo Electric Co Ltd | 半導体装置の製造方法 |
JP3169337B2 (ja) | 1995-05-30 | 2001-05-21 | キヤノン株式会社 | 光起電力素子及びその製造方法 |
US5654589A (en) | 1995-06-06 | 1997-08-05 | Advanced Micro Devices, Incorporated | Landing pad technology doubled up as local interconnect and borderless contact for deep sub-half micrometer IC application |
EP0786819B1 (en) | 1995-08-04 | 2003-05-07 | Seiko Epson Corporation | Process for preparing thin-film transistor, process for preparing active matrix substrate, and liquid crystal display |
US6161498A (en) | 1995-09-14 | 2000-12-19 | Tokyo Electron Limited | Plasma processing device and a method of plasma process |
JP3305929B2 (ja) * | 1995-09-14 | 2002-07-24 | 株式会社東芝 | 半導体装置及びその製造方法 |
JP3432059B2 (ja) | 1995-09-25 | 2003-07-28 | キヤノン株式会社 | 光起電力素子の形成方法 |
US5893949A (en) | 1995-12-26 | 1999-04-13 | Xerox Corporation | Solid phase epitaxial crystallization of amorphous silicon films on insulating substrates |
JPH09191117A (ja) | 1996-01-09 | 1997-07-22 | Mitsui Toatsu Chem Inc | 半導体薄膜 |
US5869389A (en) * | 1996-01-18 | 1999-02-09 | Micron Technology, Inc. | Semiconductor processing method of providing a doped polysilicon layer |
JP3109570B2 (ja) | 1996-01-27 | 2000-11-20 | 株式会社半導体エネルギー研究所 | 半導体装置作製方法 |
US5786027A (en) * | 1996-02-14 | 1998-07-28 | Micron Technology, Inc. | Method for depositing polysilicon with discontinuous grain boundaries |
US6599790B1 (en) * | 1996-02-15 | 2003-07-29 | Semiconductor Energy Laboratory Co., Ltd | Laser-irradiation method and laser-irradiation device |
US5789030A (en) * | 1996-03-18 | 1998-08-04 | Micron Technology, Inc. | Method for depositing doped amorphous or polycrystalline silicon on a substrate |
JP4093604B2 (ja) | 1996-03-25 | 2008-06-04 | 純一 半那 | 導電性パターンの形成方法 |
JPH09270421A (ja) * | 1996-04-01 | 1997-10-14 | Mitsubishi Electric Corp | 表面処理装置および表面処理方法 |
US5863598A (en) | 1996-04-12 | 1999-01-26 | Applied Materials, Inc. | Method of forming doped silicon in high aspect ratio openings |
JP2795313B2 (ja) * | 1996-05-08 | 1998-09-10 | 日本電気株式会社 | 容量素子及びその製造方法 |
US5930106A (en) | 1996-07-11 | 1999-07-27 | Micron Technology, Inc. | DRAM capacitors made from silicon-germanium and electrode-limited conduction dielectric films |
JPH1041321A (ja) | 1996-07-26 | 1998-02-13 | Sony Corp | バイポーラトランジスタの製造方法 |
US5731238A (en) | 1996-08-05 | 1998-03-24 | Motorola Inc. | Integrated circuit having a jet vapor deposition silicon nitride film and method of making the same |
US5916365A (en) | 1996-08-16 | 1999-06-29 | Sherman; Arthur | Sequential chemical vapor deposition |
JP2954039B2 (ja) | 1996-09-05 | 1999-09-27 | 日本電気株式会社 | SiGe薄膜の成膜方法 |
US5763021A (en) | 1996-12-13 | 1998-06-09 | Cypress Semiconductor Corporation | Method of forming a dielectric film |
KR100236069B1 (ko) | 1996-12-26 | 1999-12-15 | 김영환 | 캐패시터 및 그 제조방법 |
JPH10203895A (ja) | 1997-01-20 | 1998-08-04 | Sony Corp | シリコンゲルマニウム混晶の成膜方法 |
JP3050152B2 (ja) | 1997-01-23 | 2000-06-12 | 日本電気株式会社 | 半導体装置の製造方法 |
US5879459A (en) | 1997-08-29 | 1999-03-09 | Genus, Inc. | Vertically-stacked process reactor and cluster tool system for atomic layer deposition |
DE19718167C1 (de) | 1997-04-29 | 1998-06-18 | Siemens Ag | MOS-Transistor und Verfahren zu dessen Herstellung |
US5906951A (en) | 1997-04-30 | 1999-05-25 | International Business Machines Corporation | Strained Si/SiGe layers on insulator |
JP3084395B2 (ja) | 1997-05-15 | 2000-09-04 | 工業技術院長 | 半導体薄膜の堆積方法 |
US6351039B1 (en) | 1997-05-28 | 2002-02-26 | Texas Instruments Incorporated | Integrated circuit dielectric and method |
US6069068A (en) | 1997-05-30 | 2000-05-30 | International Business Machines Corporation | Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity |
FR2765394B1 (fr) | 1997-06-25 | 1999-09-24 | France Telecom | Procede d'obtention d'un transistor a grille en silicium-germanium |
JPH1174485A (ja) | 1997-06-30 | 1999-03-16 | Toshiba Corp | 半導体装置およびその製造方法 |
US5904565A (en) | 1997-07-17 | 1999-05-18 | Sharp Microelectronics Technology, Inc. | Low resistance contact between integrated circuit metal levels and method for same |
US6287965B1 (en) | 1997-07-28 | 2001-09-11 | Samsung Electronics Co, Ltd. | Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor |
US6100184A (en) | 1997-08-20 | 2000-08-08 | Sematech, Inc. | Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer |
JP3529989B2 (ja) | 1997-09-12 | 2004-05-24 | 株式会社東芝 | 成膜方法及び半導体装置の製造方法 |
JPH1197667A (ja) | 1997-09-24 | 1999-04-09 | Sharp Corp | 超微粒子あるいは超細線の形成方法およびこの形成方法による超微粒子あるいは超細線を用いた半導体素子 |
JP3727449B2 (ja) | 1997-09-30 | 2005-12-14 | シャープ株式会社 | 半導体ナノ結晶の製造方法 |
KR100274603B1 (ko) | 1997-10-01 | 2001-01-15 | 윤종용 | 반도체장치의제조방법및그의제조장치 |
US6228181B1 (en) | 1997-10-02 | 2001-05-08 | Shigeo Yamamoto | Making epitaxial semiconductor device |
KR100268936B1 (ko) | 1997-12-16 | 2000-10-16 | 김영환 | 반도체 소자의 양자점 형성 방법 |
TW439151B (en) | 1997-12-31 | 2001-06-07 | Samsung Electronics Co Ltd | Method for forming conductive layer using atomic layer deposition process |
US6027705A (en) * | 1998-01-08 | 2000-02-22 | Showa Denko K.K. | Method for producing a higher silane |
EP1044291B1 (en) | 1998-01-09 | 2003-04-02 | ASM America, Inc. | In situ growth of oxide and silicon layers |
US6042654A (en) | 1998-01-13 | 2000-03-28 | Applied Materials, Inc. | Method of cleaning CVD cold-wall chamber and exhaust lines |
GB2337158B (en) | 1998-02-07 | 2003-04-02 | United Semiconductor Corp | Method of fabricating dual voltage mos transistors |
US5933761A (en) | 1998-02-09 | 1999-08-03 | Lee; Ellis | Dual damascene structure and its manufacturing method |
US6303523B2 (en) | 1998-02-11 | 2001-10-16 | Applied Materials, Inc. | Plasma processes for depositing low dielectric constant films |
JP4147608B2 (ja) | 1998-03-06 | 2008-09-10 | 東京エレクトロン株式会社 | 熱処理装置 |
JP3854731B2 (ja) | 1998-03-30 | 2006-12-06 | シャープ株式会社 | 微細構造の製造方法 |
US5970352A (en) * | 1998-04-23 | 1999-10-19 | Kabushiki Kaisha Toshiba | Field effect transistor having elevated source and drain regions and methods for manufacturing the same |
US6181012B1 (en) | 1998-04-27 | 2001-01-30 | International Business Machines Corporation | Copper interconnection structure incorporating a metal seed layer |
KR100296117B1 (ko) | 1998-05-27 | 2001-10-26 | 윤덕용 | 화학기상증착법에의한코발트다이실리사이드콘택형성방법 |
EP1100978A1 (en) | 1998-07-09 | 2001-05-23 | Applied Materials, Inc. | Method and apparatus for forming amorphous and polycrystalline silicon germanium alloy films |
JP4364438B2 (ja) | 1998-07-10 | 2009-11-18 | アプライド マテリアルズ インコーポレイテッド | 高膜品質で水素含有量の低い窒化ケイ素を堆積するプラズマプロセス |
US6048790A (en) | 1998-07-10 | 2000-04-11 | Advanced Micro Devices, Inc. | Metalorganic decomposition deposition of thin conductive films on integrated circuits using reducing ambient |
KR100275738B1 (ko) | 1998-08-07 | 2000-12-15 | 윤종용 | 원자층 증착법을 이용한 박막 제조방법 |
WO2000011721A1 (en) | 1998-08-20 | 2000-03-02 | The Government Of The United States Of America, Represented By The Secretary Of The Navy | Electronic devices with barrier film and process for making same |
US6144050A (en) | 1998-08-20 | 2000-11-07 | The United States Of America As Represented By The Secretary Of The Navy | Electronic devices with strontium barrier film and process for making same |
US6291876B1 (en) | 1998-08-20 | 2001-09-18 | The United States Of America As Represented By The Secretary Of The Navy | Electronic devices with composite atomic barrier film and process for making same |
US6188134B1 (en) | 1998-08-20 | 2001-02-13 | The United States Of America As Represented By The Secretary Of The Navy | Electronic devices with rubidium barrier film and process for making same |
US6077775A (en) | 1998-08-20 | 2000-06-20 | The United States Of America As Represented By The Secretary Of The Navy | Process for making a semiconductor device with barrier film formation using a metal halide and products thereof |
JP3259690B2 (ja) | 1998-08-26 | 2002-02-25 | 日本電気株式会社 | 電界効果型トランジスタ及びその製造方法 |
US6027975A (en) | 1998-08-28 | 2000-02-22 | Lucent Technologies Inc. | Process for fabricating vertical transistors |
JP2000077658A (ja) | 1998-08-28 | 2000-03-14 | Toshiba Corp | 半導体装置の製造方法 |
WO2000013227A2 (en) | 1998-08-31 | 2000-03-09 | Koninklijke Philips Electronics N.V. | Method of manufacturing a semiconductor device with a bipolar transistor |
KR100332364B1 (ko) | 1998-09-01 | 2002-09-18 | 지니텍 주식회사 | 금속막의형성방법 |
KR20000022003A (ko) | 1998-09-10 | 2000-04-25 | 이경수 | 금속과규소를포함한3성분질화물막의형성방법 |
US6319782B1 (en) | 1998-09-10 | 2001-11-20 | Matsushita Electric Industrial Co., Ltd. | Semiconductor device and method of fabricating the same |
KR100566905B1 (ko) | 1998-09-11 | 2006-07-03 | 에이에스엠지니텍코리아 주식회사 | 표면 촉매를 이용한 화학 증착방법_ |
KR100273474B1 (ko) | 1998-09-14 | 2000-12-15 | 이경수 | 화학기상 증착장치의 가스 공급장치와 그 제어방법 |
KR100287180B1 (ko) | 1998-09-17 | 2001-04-16 | 윤종용 | 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법 |
TW382787B (en) | 1998-10-02 | 2000-02-21 | United Microelectronics Corp | Method of fabricating dual damascene |
US6268068B1 (en) | 1998-10-06 | 2001-07-31 | Case Western Reserve University | Low stress polysilicon film and method for producing same |
KR100327328B1 (ko) | 1998-10-13 | 2002-05-09 | 윤종용 | 부분적으로다른두께를갖는커패시터의유전막형성방버뵤 |
KR100280102B1 (ko) | 1998-10-13 | 2001-03-02 | 윤덕용 | 코발트-카본 합금박막을 이용한 단결정 코발트다이실리사이드콘택 형성방법 |
JP2000150647A (ja) | 1998-11-11 | 2000-05-30 | Sony Corp | 配線構造およびその製造方法 |
US6107147A (en) | 1998-12-18 | 2000-08-22 | Texas Instruments Incorporated | Stacked poly/amorphous silicon gate giving low sheet resistance silicide film at submicron linewidths |
EP1173893A4 (en) | 1999-01-15 | 2007-08-01 | Univ California | POLYCRYSTALLINE SILICON GERMANIUM FILMS FOR THE MANUFACTURE OF MICROELECTROCHEMICAL SYSTEMS |
KR100363083B1 (ko) | 1999-01-20 | 2002-11-30 | 삼성전자 주식회사 | 반구형 그레인 커패시터 및 그 형성방법 |
US6235568B1 (en) * | 1999-01-22 | 2001-05-22 | Intel Corporation | Semiconductor device having deposited silicon regions and a method of fabrication |
JP3869572B2 (ja) | 1999-02-10 | 2007-01-17 | シャープ株式会社 | 量子細線の製造方法 |
JP4731655B2 (ja) | 1999-02-12 | 2011-07-27 | 株式会社半導体エネルギー研究所 | 半導体装置の作製方法 |
JPH11317530A (ja) | 1999-02-22 | 1999-11-16 | Semiconductor Energy Lab Co Ltd | 半導体装置 |
US6153541A (en) | 1999-02-23 | 2000-11-28 | Vanguard International Semiconductor Corporation | Method for fabricating an oxynitride layer having anti-reflective properties and low leakage current |
US6281559B1 (en) | 1999-03-03 | 2001-08-28 | Advanced Micro Devices, Inc. | Gate stack structure for variable threshold voltage |
US6200893B1 (en) | 1999-03-11 | 2001-03-13 | Genus, Inc | Radical-assisted sequential CVD |
KR20000060438A (ko) | 1999-03-16 | 2000-10-16 | 이경수 | 산화알루미늄 막의 형성 방법 |
US6365465B1 (en) * | 1999-03-19 | 2002-04-02 | International Business Machines Corporation | Self-aligned double-gate MOSFET by selective epitaxy and silicon wafer bonding techniques |
JP3443379B2 (ja) | 1999-03-23 | 2003-09-02 | 松下電器産業株式会社 | 半導体膜の成長方法及び半導体装置の製造方法 |
US6207567B1 (en) | 1999-04-12 | 2001-03-27 | United Microelectronics Corp. | Fabricating method of glue layer and barrier layer |
US6197669B1 (en) * | 1999-04-15 | 2001-03-06 | Taiwan Semicondcutor Manufacturing Company | Reduction of surface defects on amorphous silicon grown by a low-temperature, high pressure LPCVD process |
US6037258A (en) | 1999-05-07 | 2000-03-14 | Taiwan Semiconductor Manufacturing Company | Method of forming a smooth copper seed layer for a copper damascene structure |
US6346732B1 (en) * | 1999-05-14 | 2002-02-12 | Kabushiki Kaisha Toshiba | Semiconductor device with oxide mediated epitaxial layer |
JP2000323420A (ja) | 1999-05-14 | 2000-11-24 | Sony Corp | 半導体装置の製造方法 |
US6146517A (en) | 1999-05-19 | 2000-11-14 | Infineon Technologies North America Corp. | Integrated circuits with copper metallization for interconnections |
JP2000340684A (ja) | 1999-05-31 | 2000-12-08 | Sony Corp | 半導体装置の製造方法 |
KR20010001543A (ko) | 1999-06-05 | 2001-01-05 | 김기범 | 구리 배선 구조를 가지는 반도체 소자 제조 방법 |
JP2001007301A (ja) | 1999-06-17 | 2001-01-12 | Sony Corp | 半導体装置およびその製造方法 |
JP4726369B2 (ja) | 1999-06-19 | 2011-07-20 | エー・エス・エムジニテックコリア株式会社 | 化学蒸着反応炉及びこれを利用した薄膜形成方法 |
EP1965431A2 (en) | 1999-06-22 | 2008-09-03 | Matsushita Electric Industrial Co., Ltd. | Heterojunction bipolar transistor and method for fabricating the same |
JP2001015736A (ja) | 1999-06-29 | 2001-01-19 | Sony Corp | 半導体装置の製造方法 |
US6252264B1 (en) * | 1999-07-30 | 2001-06-26 | International Business Machines Corporation | Integrated circuit chip with features that facilitate a multi-chip module having a number of the chips |
US6391785B1 (en) | 1999-08-24 | 2002-05-21 | Interuniversitair Microelektronica Centrum (Imec) | Method for bottomless deposition of barrier layers in integrated circuit metallization schemes |
JP4192353B2 (ja) | 1999-09-21 | 2008-12-10 | 株式会社デンソー | 炭化珪素半導体装置及びその製造方法 |
US6727169B1 (en) | 1999-10-15 | 2004-04-27 | Asm International, N.V. | Method of making conformal lining layers for damascene metallization |
US6203613B1 (en) | 1999-10-19 | 2001-03-20 | International Business Machines Corporation | Atomic layer deposition with nitrate containing precursors |
KR20010047128A (ko) | 1999-11-18 | 2001-06-15 | 이경수 | 액체원료 기화방법 및 그에 사용되는 장치 |
US6373112B1 (en) | 1999-12-02 | 2002-04-16 | Intel Corporation | Polysilicon-germanium MOSFET gate electrodes |
US6252284B1 (en) * | 1999-12-09 | 2001-06-26 | International Business Machines Corporation | Planarized silicon fin device |
JP3925780B2 (ja) | 1999-12-15 | 2007-06-06 | エー・エス・エムジニテックコリア株式会社 | 触媒及び化学気相蒸着法を用いて銅配線及び薄膜を形成する方法 |
US6235566B1 (en) * | 1999-12-23 | 2001-05-22 | United Microelectronics Corp. | Two-step silicidation process for fabricating a semiconductor device |
US6184128B1 (en) | 2000-01-31 | 2001-02-06 | Advanced Micro Devices, Inc. | Method using a thin resist mask for dual damascene stop layer etch |
TW408653U (en) | 2000-02-03 | 2000-10-11 | Hu Hou Fei | Ratcheting tool |
WO2001066832A2 (en) | 2000-03-07 | 2001-09-13 | Asm America, Inc. | Graded thin films |
WO2001078123A1 (en) | 2000-04-11 | 2001-10-18 | Genitech Co., Ltd. | Method of forming metal interconnects |
KR100332363B1 (ko) | 2000-04-12 | 2002-04-12 | 최승철 | 화학기계적 연마장치의 연마패드를 위한 컨디셔너와 그컨디셔닝 방법 |
KR100363088B1 (ko) | 2000-04-20 | 2002-12-02 | 삼성전자 주식회사 | 원자층 증착방법을 이용한 장벽 금속막의 제조방법 |
US6630413B2 (en) | 2000-04-28 | 2003-10-07 | Asm Japan K.K. | CVD syntheses of silicon nitride materials |
US6482733B2 (en) | 2000-05-15 | 2002-11-19 | Asm Microchemistry Oy | Protective layers prior to alternating layer deposition |
US6969875B2 (en) | 2000-05-26 | 2005-11-29 | Amberwave Systems Corporation | Buried channel strained silicon FET using a supply layer created through ion implantation |
US6342448B1 (en) | 2000-05-31 | 2002-01-29 | Taiwan Semiconductor Manufacturing Company | Method of fabricating barrier adhesion to low-k dielectric layers in a copper damascene process |
EP2293322A1 (en) | 2000-06-08 | 2011-03-09 | Genitech, Inc. | Method for forming a metal nitride layer |
US6444512B1 (en) | 2000-06-12 | 2002-09-03 | Motorola, Inc. | Dual metal gate transistors for CMOS process |
US6252295B1 (en) * | 2000-06-19 | 2001-06-26 | International Business Machines Corporation | Adhesion of silicon carbide films |
US6368954B1 (en) | 2000-07-28 | 2002-04-09 | Advanced Micro Devices, Inc. | Method of copper interconnect formation using atomic layer copper deposition |
US6274463B1 (en) * | 2000-07-31 | 2001-08-14 | Hewlett-Packard Company | Fabrication of a photoconductive or a cathoconductive device using lateral solid overgrowth method |
US20020011612A1 (en) * | 2000-07-31 | 2002-01-31 | Kabushiki Kaisha Toshiba | Semiconductor device and method for manufacturing the same |
US6583015B2 (en) | 2000-08-07 | 2003-06-24 | Amberwave Systems Corporation | Gate technology for strained surface channel and strained buried channel MOSFET devices |
JP4710187B2 (ja) | 2000-08-30 | 2011-06-29 | ソニー株式会社 | 多結晶シリコン層の成長方法および単結晶シリコン層のエピタキシャル成長方法 |
US6365479B1 (en) * | 2000-09-22 | 2002-04-02 | Conexant Systems, Inc. | Method for independent control of polycrystalline silicon-germanium in a silicon-germanium HBT and related structure |
JP4044276B2 (ja) * | 2000-09-28 | 2008-02-06 | 株式会社東芝 | 半導体装置及びその製造方法 |
US6372559B1 (en) * | 2000-11-09 | 2002-04-16 | International Business Machines Corporation | Method for self-aligned vertical double-gate MOSFET |
US6613695B2 (en) * | 2000-11-24 | 2003-09-02 | Asm America, Inc. | Surface preparation prior to deposition |
US6444495B1 (en) | 2001-01-11 | 2002-09-03 | Honeywell International, Inc. | Dielectric films for narrow gap-fill applications |
US6583048B2 (en) | 2001-01-17 | 2003-06-24 | Air Products And Chemicals, Inc. | Organosilicon precursors for interlayer dielectric films with low dielectric constants |
EP1421607A2 (en) | 2001-02-12 | 2004-05-26 | ASM America, Inc. | Improved process for deposition of semiconductor films |
US7026219B2 (en) | 2001-02-12 | 2006-04-11 | Asm America, Inc. | Integration of high k gate dielectric |
US6812179B2 (en) | 2001-04-25 | 2004-11-02 | Syntroleum Corporation | Process for regenerating a slurry fischer-tropsch catalyst |
KR20040008193A (ko) | 2001-05-30 | 2004-01-28 | 에이에스엠 아메리카, 인코포레이티드 | 저온 로딩 및 소성 |
JP2003068654A (ja) | 2001-08-27 | 2003-03-07 | Hoya Corp | 化合物単結晶の製造方法 |
US7307273B2 (en) | 2002-06-07 | 2007-12-11 | Amberwave Systems Corporation | Control of strain in device layers by selective relaxation |
US7335545B2 (en) | 2002-06-07 | 2008-02-26 | Amberwave Systems Corporation | Control of strain in device layers by prevention of relaxation |
US7186630B2 (en) | 2002-08-14 | 2007-03-06 | Asm America, Inc. | Deposition of amorphous silicon-containing films |
US7540920B2 (en) | 2002-10-18 | 2009-06-02 | Applied Materials, Inc. | Silicon-containing layer deposition with silicon compounds |
US7005160B2 (en) | 2003-04-24 | 2006-02-28 | Asm America, Inc. | Methods for depositing polycrystalline films with engineered grain structures |
US7208362B2 (en) | 2003-06-25 | 2007-04-24 | Texas Instruments Incorporated | Transistor device containing carbon doped silicon in a recess next to MDD to create strain in channel |
TWI270986B (en) | 2003-07-29 | 2007-01-11 | Ind Tech Res Inst | Strained SiC MOSFET |
US7132338B2 (en) | 2003-10-10 | 2006-11-07 | Applied Materials, Inc. | Methods to fabricate MOSFET devices using selective deposition process |
KR20070006852A (ko) | 2004-04-23 | 2007-01-11 | 에이에스엠 아메리카, 인코포레이티드 | 인-시츄 도핑된 에피택셜 막 |
US7682940B2 (en) | 2004-12-01 | 2010-03-23 | Applied Materials, Inc. | Use of Cl2 and/or HCl during silicon epitaxial film formation |
US7560352B2 (en) | 2004-12-01 | 2009-07-14 | Applied Materials, Inc. | Selective deposition |
US7195985B2 (en) | 2005-01-04 | 2007-03-27 | Intel Corporation | CMOS transistor junction regions formed by a CVD etching and deposition sequence |
US7235492B2 (en) | 2005-01-31 | 2007-06-26 | Applied Materials, Inc. | Low temperature etchant for treatment of silicon-containing surfaces |
US7438760B2 (en) | 2005-02-04 | 2008-10-21 | Asm America, Inc. | Methods of making substitutionally carbon-doped crystalline Si-containing materials by chemical vapor deposition |
US8105908B2 (en) | 2005-06-23 | 2012-01-31 | Applied Materials, Inc. | Methods for forming a transistor and modulating channel stress |
JP2007188976A (ja) | 2006-01-11 | 2007-07-26 | Shinko Electric Ind Co Ltd | 発光装置の製造方法 |
JP2007319735A (ja) * | 2006-05-30 | 2007-12-13 | Fuji Xerox Co Ltd | マイクロリアクター装置及び微小流路の洗浄方法 |
US20080026149A1 (en) | 2006-05-31 | 2008-01-31 | Asm America, Inc. | Methods and systems for selectively depositing si-containing films using chloropolysilanes |
US8278176B2 (en) | 2006-06-07 | 2012-10-02 | Asm America, Inc. | Selective epitaxial formation of semiconductor films |
JP5453858B2 (ja) | 2009-03-12 | 2014-03-26 | 株式会社リコー | 画像合成装置、及び画像合成プログラム |
JP5389570B2 (ja) | 2009-08-25 | 2014-01-15 | 株式会社不二工機 | 多方切換弁 |
-
2002
- 2002-08-14 US US10/219,687 patent/US7186630B2/en not_active Expired - Lifetime
-
2003
- 2003-07-24 JP JP2004529111A patent/JP2005536054A/ja active Pending
- 2003-07-24 WO PCT/US2003/022976 patent/WO2004017365A2/en active Application Filing
-
2007
- 2007-01-24 US US11/626,730 patent/US8921205B2/en active Active
Patent Citations (9)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH01268064A (ja) * | 1988-04-20 | 1989-10-25 | Hitachi Ltd | 多結晶シリコン薄膜の形成方法 |
JPH0391239A (ja) * | 1989-09-04 | 1991-04-16 | Hitachi Ltd | 半導体装置の製造方法 |
JPH0521378A (ja) * | 1990-08-31 | 1993-01-29 | Hitachi Ltd | 半導体装置の製造方法 |
JPH10223913A (ja) * | 1990-11-16 | 1998-08-21 | Seiko Epson Corp | 薄膜半導体装置の製造方法 |
JPH0845838A (ja) * | 1994-07-26 | 1996-02-16 | Toyota Central Res & Dev Lab Inc | Soi構造の製造方法 |
JPH08139032A (ja) * | 1994-11-11 | 1996-05-31 | Nec Corp | 半導体薄膜形成方法 |
JPH0982651A (ja) * | 1995-09-14 | 1997-03-28 | Toshiba Corp | 半導体装置の製造方法 |
JPH10335496A (ja) * | 1997-05-30 | 1998-12-18 | Sharp Corp | 半導体記憶素子およびその製造方法 |
JP2000323689A (ja) * | 1999-05-14 | 2000-11-24 | Toshiba Corp | 半導体エピタキシャル基板及びその製造方法 |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8963124B2 (en) | 2008-03-18 | 2015-02-24 | Semiconductor Technology Academic Research Center | Semiconductor device including a plurality of different functional elements and method of manufacturing the same |
JP2010258481A (ja) * | 2010-08-17 | 2010-11-11 | Semiconductor Technology Academic Research Center | 半導体装置の製造方法 |
Also Published As
Publication number | Publication date |
---|---|
US7186630B2 (en) | 2007-03-06 |
WO2004017365A2 (en) | 2004-02-26 |
US20040033674A1 (en) | 2004-02-19 |
WO2004017365A3 (en) | 2004-04-15 |
US8921205B2 (en) | 2014-12-30 |
US20070117359A1 (en) | 2007-05-24 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US7186630B2 (en) | Deposition of amorphous silicon-containing films | |
US6900115B2 (en) | Deposition over mixed substrates | |
JP5295344B2 (ja) | シリコン含有膜の選択的堆積 | |
TWI405248B (zh) | 沉積摻雜碳之磊晶半導體層之方法、沉積半導體材料的方法與裝置及在反應腔室中之基板上形成電晶體設備之方法 | |
US7005160B2 (en) | Methods for depositing polycrystalline films with engineered grain structures | |
US20080026149A1 (en) | Methods and systems for selectively depositing si-containing films using chloropolysilanes | |
EP1887617B1 (en) | Deposition method over mixed substrates using trisilane | |
CN115369377A (zh) | 沉积含硼硅锗层的方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20060719 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20060719 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20090729 |
|
RD02 | Notification of acceptance of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7422 Effective date: 20090807 |
|
RD03 | Notification of appointment of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7423 Effective date: 20090807 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20091023 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20091030 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20091125 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20091202 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A821 Effective date: 20091207 |
|
RD04 | Notification of resignation of power of attorney |
Free format text: JAPANESE INTERMEDIATE CODE: A7424 Effective date: 20091207 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20091228 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20100108 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20100129 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A821 Effective date: 20100201 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20100525 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20100927 |
|
A911 | Transfer to examiner for re-examination before appeal (zenchi) |
Free format text: JAPANESE INTERMEDIATE CODE: A911 Effective date: 20101109 |
|
A912 | Re-examination (zenchi) completed and case transferred to appeal board |
Free format text: JAPANESE INTERMEDIATE CODE: A912 Effective date: 20110415 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20120905 |
|
A602 | Written permission of extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20120910 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20121005 |