JP4417625B2 - トリシランを用いる混合基板への成膜方法、および、ベース構造の製造方法 - Google Patents

トリシランを用いる混合基板への成膜方法、および、ベース構造の製造方法 Download PDF

Info

Publication number
JP4417625B2
JP4417625B2 JP2002565349A JP2002565349A JP4417625B2 JP 4417625 B2 JP4417625 B2 JP 4417625B2 JP 2002565349 A JP2002565349 A JP 2002565349A JP 2002565349 A JP2002565349 A JP 2002565349A JP 4417625 B2 JP4417625 B2 JP 4417625B2
Authority
JP
Japan
Prior art keywords
film
substrate
trisilane
thickness
forming method
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2002565349A
Other languages
English (en)
Other versions
JP2005503000A (ja
Inventor
マイケル エイ. トッド
Original Assignee
エーエスエム アメリカ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエム アメリカ インコーポレイテッド filed Critical エーエスエム アメリカ インコーポレイテッド
Publication of JP2005503000A publication Critical patent/JP2005503000A/ja
Application granted granted Critical
Publication of JP4417625B2 publication Critical patent/JP4417625B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02422Non-crystalline insulating materials, e.g. glass, polymers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/02428Structure
    • H01L21/0243Surface structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/0251Graded layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02595Microstructure polycrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02598Microstructure monocrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • H01L21/2257Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides the applied layer being silicon or silicide or SIPOS, e.g. polysilicon, porous silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28525Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising semiconducting material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/84Electrodes with an enlarged surface, e.g. formed by texturisation being a rough surface, e.g. using hemispherical grains
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/122Single quantum well structures
    • H01L29/127Quantum box structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66083Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices
    • H01L29/66181Conductor-insulator-semiconductor capacitors, e.g. trench capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66234Bipolar junction transistors [BJT]
    • H01L29/66242Heterojunction transistors [HBT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic Table
    • H01L31/182Special manufacturing methods for polycrystalline Si, e.g. Si ribbon, poly Si ingots, thin films of polycrystalline Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/20Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof such devices or parts thereof comprising amorphous semiconductor materials
    • H01L31/202Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof such devices or parts thereof comprising amorphous semiconductor materials including only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/546Polycrystalline silicon PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/547Monocrystalline silicon PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/933Germanium or silicon or Ge-Si on III-V

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Nanotechnology (AREA)
  • Electromagnetism (AREA)
  • Composite Materials (AREA)
  • Theoretical Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Bipolar Transistors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Separation Using Semi-Permeable Membranes (AREA)
  • Led Devices (AREA)
  • Physical Vapour Deposition (AREA)

Description

本発明は、一般的にはシリコンを含む材料の成膜に関し、さらに詳細には混合基板上へのシリコンを含むフィルムの化学気相成長に関する。
半導体の製造技術分野では、基板表面上への材料の成膜に、様々な方法が用いられている。例えば、もっとも広く利用されている方法の1つに、化学気相成長(“CVD”)法があり、CVD法では、気相に含まれる原子又は分子を基板表面に析出、堆積させ、膜を形成させる。従来のシリコン源と成長法を用いるシリコン含有(Si含有)材料の成膜は、いくつかの異なったステージでプロセスが進行すると考えられている(参照:Peter Van Zant, "Microchip Fabrication," 4th Ed., McGraw Hill, New York, (2000), pp.364-365)。第1ステージでは、核生成が非常に重要であり、その核生成は、基板表面の性質や品質に大きな影響を受ける。核生成は、基板表面への最初の数原子又は数分子によって起こり、その結果、核が形成される。第2ステージでは、別々に分離した核が、小さなアイランドを形成し、小さなアイランドは後に大きなアイランドとなる。第3ステージでは、成長するアイランドが、連続したフィルムにつながり始める。この時、フィルムの厚さは、例えば、数百オングストロームであり、「遷移」("Transition")フィルムと呼ばれている。このフィルムは、遷移フィルムが形成された後に成長し始める厚いバルクフィルムとは異なる化学的性質と物理的性質を有している。
成膜プロセスは、通常、エピタキシャル、多結晶、アモルファスなどの特定のタイプのバルクフィルム形態を形成するように設計されている。従来のシリコン源と成膜プロセスを用いる場合、核生成が極めて重要で、核生成はほぼ基板の品質に依存している。例えば、除去されなかった酸化物のアイランドがあるウェーハ面に、単結晶フィルムを成長させようとすると、バルクフィルム内に多結晶を含む領域が形成されるはずである。このような核生成に関する問題点があるために、従来のシリコン源と成膜プロセスを用いることによって、2つ又はそれ以上の異なったタイプの表面を有する基板上に、同じ物理的性質を持つSi含有材料の薄いフィルムを成膜することには、大きな問題がある。
例えば、シリコンテトラクロライド(SiCl4)、シラン(SiH4)及びジクロロシラン(SiH2Cl2)は、半導体製造業界では、Si含有フィルム成膜用のシリコン源として、もっとも広く使用されている(参照:Peter Van Zant, "Microchip Fabrication," 4th Ed., McGraw Hill, New York, (2000), pp.380-382)。しかし、これらの従来のシリコン源を用いる成膜法では、通常、単結晶シリコンとシリコン酸化物の両者を含む表面のような混合基板への成膜をコントロールすることが困難である。このコントロールが難しい理由は、形成されるシリコン含有フィルムの形態と厚さが、下層の基板の成膜温度と形態の両方の影響を受けるからである。その他の膜成長パラメータには、反応器全体の圧力、反応物質の分圧及び反応物質の流量が含まれ、これらを含む膜成長パラメータも、混合基板に形成される膜の品質に強い影響を及ぼす。
例えば、図1Aは、露出したシリコン酸化物面110と、露出したシリコンの単結晶面120とを備える基板を示す模式的断面図である。また、図1Bと1Cは、化学気相成長法でシランを使用することによって、基板100にシリコンフィルムを成膜した結果を示す模式的断面図である。約625℃又はそれ以下の温度で、エピタキシャル面(単結晶面)120上のエピタキシャルシリコンフィルム130にはほとんど欠陥が生じない条件が選ばれた。しかし、そのような条件でも、シリコン酸化物面110上には、フィルムが形成されないか(図1B)、品質の劣ったフィルム140が形成されたにすぎなかった。このフィルム形成の相違は、シリコン源としてシランを用いた場合、2種類の表面上への核生成速度に相違があり、その核生成速度の相違に起因するものと考えられている。従来のシリコン前駆体は、既に実証されているように、シリコン酸化物などの絶縁体上には核生成しにくいことが裏付けられている。酸化物上での時間的にむらのある核生成サイトの集合によって、隣接する非絶縁体領域における成膜が著しく進行する。さらに、広く分散した核生成サイトが、表面が露出したまま残っている領域の間の成膜を助長するので、絶縁体上では成膜が粗くなる傾向がある。上記の「選択的な」エピタキシャル成長が好ましい場合がある(図1B)。すなわち、別のケース、例えば、エピタキシャル領域への後のコンタクトを容易にする場合には、シリコン酸化物面110上のシリコンのよりよい成長が望まれる。
理論的には、成膜パラメータは、酸化物の表面へのフィルム形成を向上させるように調整される必要がある。しかし、実際には、そのような調整手段は、所定のエピタキシャルフィルムの品質に悪影響を及ぼす可能性があるので、ほとんど選択されない。多くの場合、製品の半導体デバイスに関する目標の性能特性(動作特性)に応じて、エピタキシャル面に形成されるSi含有フィルムの厚さ、形態、成膜温度及び許容可能な成長速度が決定される。言い換えれば、必要とされる厚さと形態によって、フィルムの成膜条件が決定される。これは、特に、単結晶シリコン基板の上で歪みを生じるヘテロエピタキシャルフィルムの場合に該当する。したがって、製造者は、通常、酸化物面のフィルムの性質を変化させるのに必要な条件を調整するための自由度をほとんど持っていない。
従来、製造者は、選択的な膜成長、付加的なマスキング及び/又はプロセスのステップを用いることによって、上記の問題に取り組んできた。例えば、米国特許第6,235,568号には、それらのあるものは、現在では、pタイプとnタイプのシリコン表面に同時にシリコンフィルムを選択的に成長させることはできないと記載されている。また、第6,235,568号には、低いエネルギで全体にイオン注入する事前成膜ステップを行うことによって、この問題の解決を行うことが記載されている。この付加的なステップに関する上記の目的は、後続の成膜プロセスに対して同じ面を現出させることである。
しかし、プロセスの付加的なステップは、コストアップ、汚染及び/又は複雑化の原因となるので、一般的には望ましくない。形態が異なる表面を有する混合基板上に満足できる品質のSi含有フィルムを成膜することができるようになれば、長い間の切実な願望を満足し、半導体製造技術における著しい進展をもたらすはずである。
様々な基板上に高品質のSi含有フィルムを成膜するために、トリシランを用いる方法を開発した。本発明に係る一つの成膜方法の要旨は、第1の表面形態を有する第1の面と、前記第1の表面形態とは異なる第2の表面形態を有する第2の面を備え、前記第1の表面形態が単結晶であり、前記第2の表面形態が非晶質、多結晶又は非晶質と結晶質とが混ざったものである基板を準備し、成膜される前記基板を反応容器内に配置するステップと、化学気相成長条件下で、前記反応容器内にトリシランを導入するステップと、前記基板における前記第1の面及び前記第2の面に、Si含有フィルムを成膜するステップとを含み、前記化学気相成長条件が、400℃〜750℃の基板温度、全圧1torr〜760torr(1.3×10 Pa〜1.0×10 Pa)の反応容器内の圧力であることを特徴としている。
本発明に係る高速成膜方法の要旨は、化学気相成長条件下で、第1の表面形態を有する第1の面及び前記第1の表面形態とは異なる第2の表面形態を有する第2の面で構成され、前記第1の表面形態が単結晶であり、前記第2の表面形態が非晶質、多結晶又は非晶質と結晶質とが混ざったものである混合基板の表面に、混合基板面1cm当たりに、少なくとも約0.001mg/分の供給速度で、トリシランを供給するステップと、前記混合基板の表面に、約10Å以上の速度で、Si含有材料を成膜するステップとを含み、前記化学気相成長条件が、400℃〜750℃の基板温度、全圧1torr〜760torr(1.3×10 Pa〜1.0×10 Pa)の反応容器内の圧力であることを特徴としている。
本発明に係るヘテロ接合バイポーラトランジスタ(HBT)用のベース構造の製造方法は、活性領域と絶縁体を含む基板の表面を準備するステップと、前記活性領域及び前記絶縁体のそれぞれに、直接前記基板にSi含有フィルムを形成するための化学気相成長条件下で、前記基板面に、トリシランを供給するステップを含み、前記活性領域が第1の表面形態を有し、前記絶縁体が前記第1の表面形態とは異なる第2の表面形態を有し、前記第1の表面形態が単結晶であり、前記第2の表面形態が非晶質、多結晶又は非晶質と結晶質とが混ざったものであり、前記化学気相成長条件が、400〜750℃の基板温度、全圧1torr〜760torr(1.3×10 Pa〜1.0×10 Pa)の反応容器内の圧力であることを特徴としている。
これらの発明及び別の要旨に係る発明は、以下に詳細に説明する好ましい実施の形態により、さらに深く理解されるであろう。
成膜プロセスとしては、核生成現象に対する敏感さが低いプロセスが開発されてきた。これらのプロセスは、混合基板上に、高品質のSi含有フィルムを成膜することができるように、トリシラン(H3SiSiH2SiH3)を採用している。図2Aは、そのような成膜プロセスによって得られる好ましい構造200を示す断面図である。図1Bと比べると、トリシランを用いることによって、2つのタイプの基板表面(半導体の単結晶面220と絶縁体面230)上に、エピタキシャル結晶の品質と全膜厚さがほぼ正確に維持された、Si含有フィルム210の良好な成膜が行われていることが分かる。以下に、図2A及び図2Bを、さらに詳細に説明する。
本明細書で用いられている「混合基板」は、2つ又はそれ以上の異なったタイプの表面を備える基板を意味する。表面を相互に相違させるには、いくつかの手段がある。例えば、銅又はシリコンのように異なった元素、銅とアルミニウムのように異なった金属、シリコン又はシリコン酸化物のようにSiを含む異なった材料によって形成することができる。材料が同じ元素で構成されていたとしても、表面の形態が相違すれば、表面(表面特性)が異なったものとなり得る。表面の電気的特性も、相互に異なったものとすることができる。上記の例では、Si含有層が、導電性の半導体材料と絶縁性材料上に、同時に形成されている。なお、絶縁性材料には、例えば、シリコン酸化物、シリコン窒化物、金属酸化物、金属シリケートなどがある。
ここで説明するプロセスは、様々の混合基板上に、Si含有フィルムを成膜するのに有効であるが、形態がミックスされた表面を有する基板に対して、特に有効である。そのような混合基板は、第1の表面形態を有する第1の表面と、第2の表面形態を有する第2の表面によって構成される。本明細書では、「表面形態」とは、基板表面の結晶構造を意味する。非晶質と結晶質は、異なった表面形態の例である。多結晶の形態は、規則的な結晶の不規則配列と、中間の規則性を有する配列とを含んでいる。多結晶材料中の原子は、それぞれの結晶内で長い範囲の規則性を有しているが、結晶自体は、相互に長い範囲の規則性を欠いている。単結晶の形態は、高度の規則性を有する結晶構造である。エピタキシャルフィルムには、それらが成長した基板の表面と同じ結晶構造と方位を有するという特徴がある。これらの材料の原子は、比較的長い距離(原子スケール)で続く格子状の構造に配列されている。非晶質の形態は、原子の配列が限定された周期的な配列とはなっていないので、規則性が低い非結晶構造となっている。その他の形態には、微結晶(Microcrystalline)及び非晶質と結晶質の混ざったものがある。
図1A(前出)と図3Aは、混合基板の特定の例を示す断面図である。図3Aには、半導体基板320上のフィールド分離領域310を有する基板300を示した。半導体基板320は、単結晶のウェーハ(又はウェーハなどに成膜されたエピタキシャルシリコン層)であることが好ましく、フィールド分離領域310は、シリコン酸化物であることが好ましい。図に示した例では、基板300は、単結晶の表面形態を有する半導体の活性領域340を備えた第1の基板表面と、非晶質の表面形態を備えた第2の基板表面330とを含んでいる。シリコンの活性領域340と分離領域310とは、形態が相違し(結晶質と非晶質)、導電性が相違(導電体と絶縁体)している。本発明が属する技術分野の技術者であれば、LOCOS法やトレンチ分離法を含む、上記のような基板300を形成するためのいくつかの方法を十分に理解しているはずである(参照:Peter Van Zant, "Microchip Fabrication," 4th Ed., McGraw Hill, New York, (2000), pp.522-526)。
ここに示されているCVD法によれば、混合基板の表面にトリシランを供給すると、Si含有フィルムが形成される。ミックス又はパターン化された基板表面へのトリシランの供給は、表面が露出した状態の混合基板が配置された適当な反応室に、トリシランを導入することによって実施することが好ましい。反応室のCVD条件を確立し、混合基板の表面にトリシランを供給することによって、様々なタイプの表面を有する混合基板に、高品質のSi含有フィルムを形成することができる。本技術に係る技術者によく知られているいくつかのCVD法に従って成膜することができる。しかし、以下に述べるCVD法に従って成膜を行う場合に、最高の優位性が得られる。以下に説明する方法は、CVD反応室内に配置された混合基板上に、ガス状のトリシランを使用してSi含有フィルムを成膜する、プラズマ励起化学気相成長(PECVD)法、熱CVD法などのCVD法を用いることによって、適切に実施することができる。これらの方法の中でも、熱CVD法が好ましい。
熱CVD法は、基板温度約400℃以上、好ましくは約450℃以上、さらに好ましくは約500℃以上で行うのがよい。また、約750℃以下、好ましくは約725℃以下、さらに好ましくは約700℃以下で成膜するのがよい。基板の加熱は、本技術分野で知られているいくつかの方法によって行うことができる。なお、本技術分野に係る技術者であれば、熱費の維持、成膜速度などの製造現場の実態を考慮して、これらの温度の範囲を調節することができる。このような好ましい成膜温度は、製品の目標の用途に応じて定まる。しかし、その温度は、例えば、約400〜約750℃、好ましくは約425〜約725℃、さらに好ましくは約450〜約700℃の範囲とするのがよい。
トリシランは、ガス状又は導入ガスの構成成分として、反応室に導入することが好ましい。CVD反応室の全圧は、約0.001〜約1000torr(約0.13〜約1.3×10Pa)の範囲が好ましく、さらに好ましいのは約0.1〜約850torr(約13〜約1.1×10Pa)、もっとも好ましいのは約1〜760torr(約1.3×10〜約1.0×10Pa)である。トリシランの分圧は、全圧に対して約0.0001〜約100%の範囲が好ましく、さらに好ましくは約0.001〜約50%の範囲である。導入ガスは、不活性のキャリアガスのようなトリシラン以外のガスを含んでいてもよい。水素ガスと窒素ガスは、ここに説明する方法にとって、好ましいキャリアガスである。トリシランは、トリシラン蒸気を含むキャリアガスとともに用いられるバリング器、好ましくは温度コントロールされたバブリング器を介して反応室に導入することが好ましい。
CVD反応室への導入ガスの供給には、適当なマニフォールドを用いるのがよい。説明した実施の形態では、CVD反応室内のガスの流れは水平であり、もっとも好ましいのは、反応室は、1つのウェーハ、1回パス、厚さの薄い水平なガスフロー反応器である。また、加熱は光輝加熱が好ましい。このタイプのCVDに適する反応器は市販されており、好適なモデルには、アリゾナ州フェニックスにあるASMアメリカインコーポレイテッドから市販されている、"EpsilonTMシリーズ"のシングルウェーハリアクタがある。
ここに開示されている方法は、シャワーヘッド配置のようなオルタネイティブリアクタ(Alternative Reactor)を採用して実施することができるが、均一性の向上や成膜速度の増加という優位点は、特にプロセスガスの滞留時間が短く、回転する基板を用いる、水平型で、シングルパスの厚さが薄いガスフロー配置の"EpsilonTM反応器"により、特に効果的であることが認められてきている。CVDは、反応室にプラズマ生成物を導入(in situ又はリモートタイプのプラズマ生成器の下流)することによって実施することができる。
導入ガスは、Si含有フィルムをドーピング又は合金化するのに有効であることが、この技術分野の技術者に知られているその他の材料を、必要に応じて含んでいてもよい。さらに、ガスは、ゲルマニウム源、炭素源、ボロン源、ガリウム源、インジウム源、ヒ素源、燐源、アンチモン源、窒素源及び酸素源で構成されたグループから選ばれた1つ又はそれ以上の前駆体を含むことが好ましい。そのような原料の代表的な例には、シラン源としてのシラン、ジシラン、テトラシラン、ゲルマニウム源としてのゲルマン、ジゲルマン及びトリゲルマン、窒素源としてのNF3、アンモニア、ヒドラジン及びアトミック窒素、炭素源としてのメタン、エタン、プロパンなどの様々な炭化水素、炭素とシリコンの両方の原料としてのテトラシリルメタン、窒素と酸素の両方の原料としてのN2O及びNO2、アンチモン、ヒ素、ボロン、ガリウム、インジウム及び燐などのドーパント原料としての様々なドーパント前駆体などがある。
トリシランを用いるCVD法によるSi含有フィルムへのドーパントの注入は、ドーパントの前駆体を用いる"in situ"ドーピングによって実施するのが好ましい。電気的なドーパント用の前駆体には、ジボラン、重水素化ジボラン、ホスフィン、ヒ素蒸気及びヒ化水素(アルシン)がある。シリルホスフィン[(H3Si)3-XPRX]及びシリルアルシン[(H3Si)3-XAsRX](ただし、X=0〜2、RX=H及び/又はD)は、燐やヒ素のドーパントとして、好ましい前駆体である。SbH3及びトリメチルインジウムは、それぞれアンチモン、インジウムの原料として好ましいものである。そのようなドーパントの前駆体は、以下に説明するように、好ましいフィルム、特に、ボロン、燐、アンチモン、インジウム及びヒ素がドープされたシリコン、SiC、SiGe及びSiGeCのフィルムや合金の前処理に有用である。ここで使われる"SiC"、"SiGe"及び"SiGeC"は、様々な割合で示された元素を含む材料を表している。例えば、"SiGe"は、シリコンとゲルマニウムと必要に応じて含まれるドーパントのような元素で構成された材料である。また、"SiC"、"SiGe"及び"SiGeC"は、化学量論式を表すものではなく、示された元素を特定の割合で含む材料に限定されるものではない。
導入ガス中のドーパントの前駆体の量は、Si含有フィルム中のドーパントが所定のレベルになるように調節する。導入ガス中の濃度の1例は、全導入ガスの質量に対して、約1ppb〜約1質量%の範囲である。ただし、所定の特性を有するフィルムを得るために、より多くの量又はより少ない量が好ましいこともある。好ましいEpsilonTMシリーズの単結晶ウェーハ反応器では、キャリアガス中のドーパント前駆体の希薄な混合物が、目標のドーパント濃度及びドーパントガス濃度に応じて、約10〜約200sccmの範囲のセットポイントに調整されたマスフローコントローラを介して、反応器に送られる。その希薄な混合物は、トリシラン又はその他の適当なキャリアガスを混合することによって、さらに希釈することが好ましい。好ましいEpsilonTMシリーズ反応器により成膜を行う際の全流量の1例は、標準状態で、約20〜約180リットル/分のことが多いので、そのような条件で用いられるドーパントの前駆体の濃度は、全流量に比べると少ない。
ここで説明するSi含有フィルムの成膜は、約5Å/分以上、より好ましくは約10Å/分以上、さらに好ましくは約20Å/分以上の速度で行うのがよい。好ましい実施の形態は、トリシランを混合基板に供給する、速い成膜速度による方法であり、その場合の供給速度は、基板1cm2に対して、少なくとも約0.001mgf/分、より好ましくは少なくとも約0.003mgf/分である。CVD法では、約450〜約700℃の温度範囲で成膜を行うのが好ましく、この条件で成膜すると、Si含有材料が比較的速い速度で成膜される(他のシリコン源に比べて)。その速度は、約10Å/分以上、より好ましくは約25Å/分以上、もっとも好ましくは約50Å/分以上である。ゲルマニウム源も、Si含有材料のように、SiGe含有材料を成膜するために、基板の表面にトリシランとともに供給するのがよい。
1つの好ましい実施の形態の場合には、混合形態のSi含有フィルムを、混合基板上に成膜する。ここで用いられる「混合形態」のフィルムとは、基板の横方向に広がった別々の領域に、2つ以上の異なった形態で構成されたフィルムである。図2Aに、そのような混合形態のフィルム210を示した。このフィルム210は、非晶質の酸化物面(絶縁体面)230上に形成された非エピタキシャル領域240と、単結晶面220上に形成されたエピタキシャル領域260とで構成されている。図示した例のように、フィルム210は、酸化物面230と単結晶面220との間の境界270に形成された境界領域250も含んでいる。
混合基板のフィルムの形態は、成膜温度、圧力、反応物質の分圧とその流量及び下層の基板の表面形態に依存する。トリシランを用いると、Si含有材料が単結晶フィルムを形成する可能性は、適切に前処理された単結晶面に形成される傾向が強い。一方、非単結晶フィルムは、非単結晶面に形成される傾向がある。エピタキシャルフィルムは、下層の単結晶面が、あらゆる酸化層を"ex situ"で湿式エッチングし、続いて"in situ"で洗浄及び/又は水素ベーク処理を行うことによって適切に処理されている場合、及び膜成長条件が、そのようなフィルムの成長をサポートしている場合に、シュード形態の構造を形成することのできるSi含有材料に形成されやい。そのような処理方法は、本発明が属する技術分野の技術者によく知られている(参照:Peter Van Zant, "Microchip Fabrication," 4th Ed., McGraw Hill, New York, (2000), pp.385)。多結晶と非晶質のフィルムは、非晶質の表面、多結晶の表面及びエピタキシャルフィルムの成長を可能にするように処理されていない単結晶の表面に形成される。また、非晶質のフィルムは、低い温度の非晶質及び多結晶の基板表面に形成されやすい。一方、多結晶フィルムは、比較的高い成膜温度で、非晶質及び多結晶の表面に形成される傾向がある。
図2Aに示した実施の形態の場合には、単結晶面220は、生成している酸化物を除去するために、フッ化水素酸(HF)でエッチングし、超純水で洗浄し、超高純度の不活性ガス雰囲気で乾燥し、続いて超高純度の水素ガスを流しながらベ−キングすることによって、エピタキシャル成長しやすいように、表面が事前に処理されていたものである(図2Aには図示されていない)。単結晶面220をエピタキシャル成長に適するように処理した後、約575℃の温度で、単結晶面220と非晶質面(絶縁体面)230にトリシランを供給することによって、混合形態のシリコンのフィルム210を成膜する。この成膜温度で、エピタキシャル領域260が、単結晶面220に形成され、非晶質シリコン領域(非エピタキシャル領域)240が、非晶質面230に形成される。表面220と230は、同一面に模式的に図示されているが、開示した成膜方法は、異なった表面が同一面にはない混合基板に対しても適用することができる。
トリシランは、所定時間、目標の厚さを有するSi含有フィルムを形成するのに効果的な供給速度で、混合基板表面に供給することが好ましい。特定の表面のフィルムの厚さは、用途に応じて、約10Å〜約10μm又はそれ以上の範囲とすることができる。ただし、いずれの特定の表面の場合も、Si含有フィルムの厚さは、約50〜約5,000Å、より好ましくは約250〜約2,500Åとするのがよい。
第1の表面形態を有する第1の表面と、第2の表面形態を有する第2の表面を含む混合基板の場合、この混合基板に形成されるSi含有フィルムの厚さは、第1の表面上のフィルムの厚さをT、第2の表面上のフィルムの厚さをTとした場合、T:Tは、約10:1〜約1:10、より好ましくは約5:1〜約1:5、さらに好ましくは約2:1〜約1:2、もっとも好ましくは約1.3:1〜約1:1.3の範囲とするのがよい。ここに、開示されているCVD条件によるトリシランの成膜は、驚くことに、ほぼ成膜時間に比例し、下層の表面形態にはあまり依存しない厚さを有するSi含有フィルムが形成される傾向がある。さらに特筆されるのは、トリシランが、従来のシリコン前駆体比べて、絶縁体表面に、速やかな核生成と滑らかなフィルム形成を可能にすることである。図6及び図7と、図8及び図9との比較について、以下に説明する。このように、好ましい成膜条件では、核生成時間が、大きく相違する表面がある時でも大幅に短縮される傾向があり、T:Tが、好ましいことに約1:1になる。
好ましい実施の形態では、Si含有フィルムは、約1,000Å以下、好ましくは約10〜約500Å、さらに好ましくは約50〜約300Åの範囲の厚さを有するバッファ層である。言い換えると、「バッファ層」は、後に続く層の成長を容易にするか、又は下の層を保護する目的のために、基板上に形成されるSi含有フィルムということになる。バッファ層が、核生成を容易にする目的のために用いられる場合、バッファ層は、核生成層を意味する。上記の厚さの範囲は、混合基板全体、すなわち、結晶質と非晶質の両方の表面上におけるフィルムの厚さを意味する。
例えば、図2Bに示したSiを含有するフィルム210は、その上に形成されるフィルム280の成膜を容易にするので、バッファ層である。図示した例では、フィルム280は、SiGe、SiGeCなどのSiとGeを含有する(「SiGe含有」)材料である。上層のフィルム280は、エピタキシャル領域260上のエピタキシャル形態と、非単結晶(非エピタキシャル)領域240上の非単結晶形態を有する混合形態であることが好ましい。
図2Bに示したバッファ層(フィルム)210を説明するために、混合基板(図2Aに示したように、単結晶シリコン面と非晶質酸化物面を有している)上の上層のフィルム280の成膜には、単結晶面220に欠陥の少ない単結晶を成長させるのに好ましい条件、すなわち、約650℃以下(例えば、図1Bとその説明を参照)という温度条件下では、酸化物面230上に核生成させることが難しいという問題があると仮定する。そのような問題は、例えば、従来のシランなどのシリコン源とゲルマンなどのゲルマニウム源の混合物を用いることによって、SiGeフィルムの成膜を試みる時に遭遇するはずである。バッファ層210は、領域240が多結晶で、領域260が単結晶であったとしても、この状態では酸化物が露出していないので、上層のフィルム280の成長を改善することができる(単結晶面220と酸化物面230への直接的な成膜に比較して)。図示した例では、バッファ層210は、トリシランとトリシリルアルシン(全体に対して約50ppm)を用いて、約600℃の温度で成膜したヒ素ドープトシリコンである。なお、以下に説明するように、SiGeの成長を容易にするために、領域260は、エピタキシャル層であることが好ましく、領域240は、非晶質又は多結晶であることが好ましい。
両方のタイプの表面にそれ自体の成膜を行うことに加えて、形成されたフィルムが両方の表面で、均一な元素の組成を有することも、通常、望ましいことである。例えば、上層のフィルム280内のシリコンとゲルマニウムの相対的な量は、両方の領域240と260で、相対的に一定であることが好ましい。しかし、2つの下層上の成膜速度が異なるという問題に加えて、従来のシリコン源とゲルマニウム源を使用した場合、形成されるフィルムの組成も異なる傾向がある。例えば、混合基板上に、直接SiGeフィルムを形成させるために、シランとゲルマンを用いると、形成されたフィルムの厚さも組成も、下層の混合基板上で、著しくばらつきのあるものとなる。
バッファ層を用いる方法は、バッファ層上に形成されたフィルムは、厚さも組成もより均一であるので、形成されたフィルムが2つ又はそれ以上の元素を含むような条件に対して特に有効である。図2Bに示したバッファ層210上に成膜するために、シリコンとゲルマニウムの従来の原料を用いた場合でも、バッファ層がない場合に形成されるフィルムに比べて、下層の単結晶面220と非晶質面230の両方で、より均一な組成を有するSiGeフィルム280を得ることができる。
1つの好ましい実施の形態では、バイポーラトランジスタのベース構造を製造する方法に、トリシランを用いる。ベース構造を製造する方法は、活性領域と絶縁領域を含む基板面を準備するステップと、活性領域と絶縁領域の両方の基板上に、Si含有フィルムを形成するのに効果的な条件で、基板面にトリシランを供給するステップとを含んでいる。
1つの好ましい実施の形態では、Si含有フィルムを、約0.1〜約80原子%、好ましくは約1〜約60原子%のゲルマニウムを含むSiGe含有フィルム、好ましくはSiGeフィルム又はSiGeCの形態で、混合基板上に形成する。このSiGe含有フィルムは、ゲルマニウム源とトリシランを同時に反応室へ導入するか、又はトリシランとゲルマニウム源の混合物を用いて、成膜を行うことが好ましい。SiGe含有フィルムは、上記のバッファ層上、好ましくはシリコンバッファ層又はドーピング処理されたシリコンバファ層上、又は直接混合基板上に形成するのがよい。ゲルマニウム源には、ゲルマン又はジゲルマンを用いるのが、さらに好ましい。シリコン、ゲルマニウム、炭素、ドーパントなどのフィルム中の元素の相対的な割合は、上記のように、供給ガスの組成を変えることによって制御することが好ましい。ゲルマニウム含有率は、フィルムの厚さ全体にわたって一定としてもよく、又は、成膜を行っている間、供給ガス中のゲルマニウム源の濃度を変えることによって、濃度が変化したフィルムを形成するようにしてもよい。
SiGeを成膜するための混合ガスは、キャリアガスとしての水素と、ゲルマニウム源としてのゲルマン又はジゲルマンと、トリシランとで構成することが好ましい。供給ガス中のトリシランとゲルマニウム源の質量割合は、約10:90〜約99:1、より好ましくは約20:80〜約95:5の範囲とすることが好ましい。上記のように、好ましい速い速度の成膜を行う場合には、ゲルマニウム源を、混合基板表面1cm2当たり、約0.001mgf/分以上、より好ましくは約0.003mgf/分以上の割合で、混合基板に供給するのがよい。目標の成膜速度及びフィルム組成を得るためには、ゲルマニウム源の供給速度を、トリシランの供給速度に関連させて調節することが好ましい。Geの濃度が変化したSiGe又はSiGeCフィルムを形成するためには、ゲルマニウム源の供給速度を変えることが好ましい。
下層の混合基板のうちの少なくとも1つの表面の組成及び表面形態は、その面への歪みのあるSiGeフィルムのヘテロエピタキシャル成長を、効果的に生じさせる。形成される「ヘテロエピタキシャル」層は、その層が形成される単結晶基板とは異なった組成を有するエピタキシャルフィルムである。形成されたエピタキシャル層は、少なくとも二次元で下層の単結晶の格子構造と同じであるが、その固有の格子定数とは異なる格子構造を有するように拘束される場合、「歪んだ」ものとなる。格子構造が下層の単結晶基板の格子構造に整合するように、フィルムが成長する時には、原子が、独立したバルク材料の格子構造で正常に占める位置から離れているので、格子歪みが存在する。
トリシランとゲルマニウム源を用いるCVD法により、混合基板上に、SiGeやSiGeCなどのSi含有フィルムを形成することが可能になる。図3A〜図3Cは、好ましい実施の形態でトリシランを用いる時に得られる優位性を示す断面図である。ただし、本技術に係る技術者であれば、ここに開示されていることに従って、様々な好ましい方法によって、同様な優位性が提供されることを認識できるはずである。図3Aは、半導体基板320上に、フィールド分離領域310を備える好ましい構造(基板)300を示す図である。図示した実施の形態では、半導体基板320は、単結晶ウェーハ上に形成されたエピタキシャルシリコンで構成され、フィールド分離領域310はシリコン酸化物で構成されている。成膜に先立って、本技術に係る技術者にはよく知られている方法で、エピタキシャル膜を形成するための準備を行い、酸化物のない結晶面(エピタキシャルシリコン)を有する活性領域340と非晶質面(第2の基板表面)330を露出させる。
水素(キャリアガス)と、トリシラン及びゲルマンの混合ガスとを含むガスを、CVD処理の条件下で、酸化物面(第2の基板表面)330と活性領域面340に供給する。別の実施の形態(図3A〜3Cには示されていない)として、前述のように、酸化物面330上と活性領域面340上に予め形成されたバッファ層面に、ガスを供給してもよい。ガス中のトリシランとゲルマンの質量比は、約15:1である。基板300は、CVD反応室の中に配置するのがよく、トリシランは、液体トリシランを含む温度制御されたバブラに、キャリアガスを通してバブリングすることによって、反応室に導入することが好ましい。成膜温度は、約600℃であり、平均厚さ約2500Åを有する混合形態のSiGeフィルムを形成するのに必要な時間、成膜を継続する。非晶質面330上のSiGeフィルム350のうちの領域360は、非エピタキシャル形態(多結晶又は非晶質)であり、一方、単結晶面(活性領域面)340上の領域370は、エピタキシャル形態である。
さらに、Si含有キャップ層を、Si含有層上に形成してもよい。キャップ層の成膜は、ここに説明されているSi含有フィルムの成膜法に従って、トリシランを用いることによって、実施することができる。例えば、図3Cに示したように、ボロンがドープされたシリコンキャップ層380を、成膜温度約600℃で、トリシラン及びジボラン(全量に対して約100ppm)を含む混合ガスを用いて、SiGeフィルム350上に形成する。図示した実施の形態の場合には、SiGeフィルム350は、混合形態のSiGeフィルムを含む混合基板であるので、キャップ層380を形成するためにはトリシランを用いるのが有利である。キャップ層380の形態は、エピタキシャル領域370上の単結晶と非単結晶領域360上の非単結晶とであることが好ましい。
形成されたSi含有フィルムの厚さと組成は、できるだけ均一であることが好ましい。Si含有量は、含有量の平均値に対して、フィルム全体で、約20%以下、好ましくは約10%以下、もっとも好ましくは2%以下のばらつきであることが、さらに望ましい。フィルムの組成は、二次イオン質量分析法(SIMS)によって、測定するのがよい。例えば、説明した実施の形態の場合には、SiGeフィルム350のSi含有量は、非晶質面330上の非エピタキシャル領域360では約88%、単結晶面340上のエピタキシャル領域370では約92%となっている。このように、SiGeフィルム350の平均シリコン含有量約90%に比べて、上記の実施の形態におけるシリコン含有量は、フィルム全体で2%だけのばらつきしかない。形成されたフィルムの厚さのばらつきは、厚さの平均値に対して、フィルムの表面全体で、50%以下、より好ましくは25%以下、もっとも好ましくは10%以下であることが望ましい。フィルムの厚さは、フィルムの断面試料を作製し、電子顕微鏡を使用して測定することによって決定するのがよい。例えば、説明した実施の形態の場合には、フィルム(SiGeフィルム)350の厚さは、非晶質面330上の領域360では約2400Å、単結晶面340上の領域(エピタキシャル領域)370では約2600Åである。このように、フィルム350の厚さの平均値約2500Åに比べて、上記の実施の形態における厚さは、フィルム全体の表面で、厚さの平均値に対して、約4%(±100Å)だけのばらつきしかない。
図4は、SiGeヘテロ接合バイポーラトランジスタ("SiGe HBT")用のベース構造を製造する好ましい方法を説明するための断面図である。ただし、図4は、本技術に係る技術者であれば、別のプロセスにも、説明する方法を応用可能なことが理解されるはずである。図4に示した構造400は、非晶質のフィールド分離領域404を備える単結晶シリコンのn+基板402上に、一連のフィルムを形成することによって製造する。フィールド分離領域404は、シリコン酸化物が好ましいが、シリコン窒化物などの別の絶縁材料でもよい。成膜に先立って、基板402の表面(単結晶面)408を、本技術に係る技術者にはよく知られている手段で処理し、後に続くエピタキシャル成長に適した面にする。基板402が、好ましくはヒ素でn型にドープされているので、図示した実施の形態は、npnトランジスタに適している。しかし、本技術に係る技術者であれば、開示されている方法は、pnpデバイスの製造に同様に応用できることが理解されるはずである。
はじめに形成されたフィルム410は、必要に応じて設けられるバッファ層であり、このバッファ層は、単結晶面408とフィールド分離領域404上に、トリシランを使用して、約580〜約700℃の範囲の成膜温度で、好ましい厚さ約500Å以下に成膜するのがよい。このバッファ層は、必要に応じて、好ましくは"in situ"で、ドーパントの前駆体を使用して、n型にドープする。説明した実施の形態では、バッファ層(フィルム)410は、単結晶面408上のエピタキシャル領域412と、フィールド分離領域404上の多結晶領域414を備える、厚さが50Åのヒ素ドープト混合形態のフィルムである。バッファ層410は、成膜温度約600℃で、ドーパントの前駆体として、トリシランと少量のトリシリルアルシンを用いるCVD法によって形成する。このバッファ層410は、後続の製造工程における成膜を容易にするために用いられ、また、エピタキシャル領域412は、製品のデバイスにおけるコレクタ部として機能する。
第2のフィルム416は、バッファ層410上に形成されるp+型のSiGe層である。この層は、好ましくは約580℃〜約700℃の範囲の温度で、少量のp型ドーパントの前駆体を含むトリシランとゲルマニウム源の混合物を用いるCVD法によって形成する。SiGeフィルム(第2のフィルム)416は、単結晶面408上のエピタキシャル領域420と、フィールド分離領域404上の多結晶又は非晶質領域418を含んでおり、それらの領域は、すぐ下に位置するシリコンのバッファ層410における、それぞれエピタキシャル領域412、非エピタキシャル領域(多結晶領域)414に対応している。このバッファ層410(設けられる場合)は、厚さ約100Å以下が好ましく、また、別のマスキングステップを追加することなく、エピタキシャル領域420及び多結晶又は非晶質領域418の同時成膜を容易にする。SiGe層416は、約1×1016〜約5×1022原子/cm3のドーパント含むことが好ましい。ボロンは好ましいp型ドーパントであり、ジボランはこのドーパントの好ましい前駆体である。SiGe層416におけるエピタキシャル領域420は、ヘテロエピタキシャル層であり、圧縮的な歪みを有している。すなわち、下層のシリコンのエピタキシャル領域412の格子定数と正確には整合しないバルク格子定数となっている。特性が向上したデバイスを提供するためには、通常、SiGe層のゲルマニウム含有量を比較的高くすることが有利である。しかし、ゲルマニウム含有量を高くすると、歪みの量が増加する。SiGe層の厚さが、臨界厚さと呼ばれる所定の厚さを超えると、フィルム/基板界面の不整合転位の形成が、エネルギ的に生じやすくなる。そのような転位は、キャリアの移動性を減少させ、漏洩電流を増加させ、デバイス特性を低下させ、デバイス不良を起こさせる。
例えば、約10%のゲルマニウムを含むSiGe層の臨界厚さは、安定(stable)に歪んだフィルムでは約300Åであり、Siの<100>方向に歪んだ準安定(metastable)フィルムでは約2,000Åである。SiGe層が約500Åより薄い場合には、不整合転位を生じることなく境界が形成されるので、ゲルマニウムの含有量をさらに高くすることができる。ゲルマニウムの含有量が約50%の場合、臨界厚さは、Siの<100>方向に圧縮的に歪んだ準安定フィルムでは約100Åである。SiGe層が約1,000Åより厚い場合には、不整合転位の生成に起因するデバイスの機能不良が発生するリスクを少なくするために、例えば、ゲルマニウムの含有量を低くすることが好ましい。SiGe層416のゲルマニウム含有量は、約5〜50原子%、より好ましくは10〜30原子%の範囲が望ましく、厚さは、約100〜約1,500Åの範囲が好ましい。ゲルマニウムの含有量と厚さは、成膜したままの構造で不整合転位がない一方で、歪んだ構造を形成するように調節するのがよい。
上記の実施の形態では、SiGe層416のエピタキシャル領域420は、圧縮歪みである。SiGe層416のエピタキシャル領域420は、約10原子%のゲルマニウムと約1×1019原子/cm3のボロンを含み、ドーパントの前駆体として少量のジボランを含むトリシランとゲルマン(それぞれ体積で、約15:1)を用いて成膜されたものである。得られたボロンがドープされたSiGe層416は、厚さが約1,000Åの混合形態のフィルムである。SiGe層416のエピタキシャル領域420は、製造するデバイスのベースとして機能する。
第3のフィルム(キャップ層)422は、約580〜650℃の範囲の成膜温度で、トリシランと、必要に応じて少量のp型ドーパント用の前駆体を用いるCVD法によってドープされた、第2のフィルム416上に形成されるシリコンのキャップ層である。形成されるp型にドープされたフィルム(第3のフィルム)422の厚さは、約300〜約1,000Åの範囲であることが好ましい。フィルム422は、単結晶面408上のエピタキシャル領域424と、フィールド分離領域404上の多結晶領域426を備える混合形態のフィルムである。説明した実施の形態では、フィルム422を、約1×1017〜約1×1020原子/cm3の範囲のドーパント領域とするために、"in situ"ドーパント前駆体としてジボランを使用して、ボロンドーピングを行う。このフィルム422は、約600℃の温度で、厚さ約500Åに成膜される。
キャップ層422は、後に続くプロセスの段階で、SiGe層の準安定歪みを維持し、構造内の所定の深さでエミッタベース接合の形成を容易にするのに有効である。シリコン源としてトリシランを使用すると、基板面全面にわたって、より高い均一性を有する組成が得られるという利点がある。このように、多結晶領域418及び426におけるp型ドーパントの量は、エピタキシャル領域420におけるp型ドーパントのレベルとほぼ同じであることが好ましい。さらに追加される層、すなわちエミッタは、本技術に係る技術者によく知られている手段で、完成されたデバイスを製造するために、図4に示した構造上に成膜することができる。後に続く、エピタキシャル領域420への電気的な接続(図示省略)は、上層の絶縁層を通って、ドープされた多結晶領域418又は426に延びる1つ又はそれ以上のコンタクトを介して形成するのがよい。
上述のように、従来のシリコン源をトリシランに置き換えることにより、半導体製造プロセスにおける工程数を、効果的に減らせることが明らかである。例えば、両方の表面に直接SiGeを成膜するために、シラン、ジシラン、ジクロロシラン、トリクロロシラン、テトラクロロシランなどのシリコン源の代わりにトリシランを用いると、バッファ層(多結晶領域)414を成膜するためのステップを省略することができる。さらに、好ましい実施の形態に係るバッファ層414がある場合でも、ない場合でも、トリシランは、1つのステップで、異質のもので構成された表面上への成膜を容易にする。それに対して、例えば、処理プロセスで従来のシリコン源を用いると(図5A〜5D以下及び関連する説明を参照のこと)、フィールド分離領域上及び活性領域のウインドウへのSi含有フィルムの成膜、マスキング、エッチング及びその後のエピタキシャルベース層の形成という別個のステップを必要とする。フィールド分離領域上へのSi含有フィルムの成膜を行うための別個の分離したステップは、従来のシリコン源をトリシランに置き換えることと、同じステップ内で、単結晶面408と非エピタキシャル材料(フィールド分離領域)404上へSi含有フィルム416を成膜することとによって、省略することができる。
このように、好ましい実施の形態では、半導体デバイスの製造プロセスにおけるステップ数を減らす方法が提供された。この方法は、第1のシリコン源を用いて第1の面に第1のSi含有フィルムを成膜すること、別のステップで、第2のシリコン源を用いて第2の面に第2のSi含有フィルムを成膜すること(第1と第2の面が異なる)で構成された半導体デバイスの製造プロセスのステップを1つにする(identify)ことを含むことが好ましい。この好ましい方法は、さらに、第1と第2のシリコン源をトリシランに置き換えることにより、1つにまとめられた半導体デバイスの製造プロセスに改善すること、及び同一のステップで、第1の面と第2の面にSi含有フィルムを成膜することを含んでいる。第1及び/又は第2のシリコン源は、シランであり、第1の面は単結晶面、第2の面は非晶質又は多結晶であることが好ましい。
1つの好ましい実施の形態では、半導体デバイスの製造プロセスは、マスキングステップを省略することによって改善される。マスキングステップは、従来、異なる面(部分)への成膜を行うのに採用されている。例えば、図5A〜5Dに示したプロセスフローには、図5Aに示されている多結晶フィルム510が、シラン、ジシラン、ジクロロシラン、トリクロロシラン、シリコンテトラクロライドなどの第1のシリコン源を使用して、単結晶面520と非エピタキシャル面530で構成された混合基板上に形成されている。一般に、酸化物の表面では核生成が起こりにくいために、長時間の成膜によって、最終的に、連続した、ほぼ均一な厚さになる。この長時間の処理は、活性領域のウインドウ(単結晶面)520上への過剰の膜形成という結果になる。したがって、領域550をマスキングし、エッチングし、成膜する別のステップに置き換えなければならない。また、非エピタキシャル面530上の領域(多結晶領域)540に、所定の非エピタキシャル(例えば、多結晶)形態を有するフィルムが形成されるように、成膜温度を選択しなければならない。これらの処理工程の中で、この領域におけるエッチングが要求されるので、これらの成膜条件でも、単結晶面520上の領域550で、多結晶形態となる傾向がある。
一連のマスキングとエッチングステップは、領域550における望ましくない多結晶形態を、望ましいエピタキシャル形態に置き換えるために利用される。公知のフォトリソグラフィ技術を利用して、フォトレジスト層を形成し、パターニングすることにより、図5Bに示したように、フォトレジストマスク560を形成する。次に、領域550に露出したSi含有層を、公知のエッチング法により、図5Cに示したように除去し、下層の単結晶面520を露出させたウインドウを開口させる。エッチングの間、フォトレジストマスク560が、その下層の多結晶領域540を保護する。この多結晶領域540は、後に、ウインドウ520に形成されるベース領域とのコンタクトを形成するのに使用される。次に、フォトレジストマスク560を除去し、第2のシリコン源(できるだけ同じもの)を用いる成膜プロセスにより、図5Dに示したように、単結晶面520上に、仕様に合ったエピタキシャルフィルム570を形成する。このような従来のプロセスは、本技術分野ではよく知られており、図1Bに関連してすでに説明したとおりである。
好ましい実施の形態に係るプロセスは、単一のステップで、混合基板の両方の面にSi含有フィルムを形成するために、トリシランを使用することを含んでいる。この方法は、どちらかというと図4に示したプロセスフローに近く、図5A〜5Dに示した、マスキング、エッチング及び別個の成膜ステップを省略するものである。図3Bに示した構造は、好ましい実施の形態を説明するもので、図5A〜5Dに示したプロセスフローを改善することによって、1つのステップで製造されたものである。この改善は、シランなどのシリコン源をトリシランに置き換え、図3に示したように、1つのステップで両方の表面にSi含有フィルムを形成することができるように変更したものである。
(実施例1)
Si(100)ウェーハの表面に、厚さ1,500ÅのSiO2(「酸化物」)コーティングを備えた基板を準備した。この基板にパターニングを施し、酸化物コーティングの約20%を除去して、下層のSi(100)ウェーハを露出させた。この処理により、単結晶面と非晶質の酸化物面を有する混合基板を作製した。次に、この混合基板を希薄なフッ化水素酸溶液の中でエッチングし、洗浄し、乾燥させた。次に、混合基板をEpsilon E2500TM反応システムの中に配置し、この基板に、大気圧下、80slmの流量の超高純度水素ガス中で、2分間、900℃に加熱する水素ベークを施した。次に、混合基板を、20slmの流量の超高純度水素ガス中、圧力40torr(5.3×103Pa)下で600℃に加熱し、熱平衡に到達させた。エッチング、乾燥、洗浄及びベーキングというステップにより、エピタキシャルフィルムを成長させるために、単結晶面を活性化させた。
次に、加熱された基板にトリシランの蒸気を供給するために、高純度水素ガスを、液体トリシラン(室温に維持されたウォータバスに浸漬された、トリシランを含むバブラ)中に通した。次に、流量90sccm(inject)のトリシリルアルシン(100ppm、2slmの超高純度水素ガスと混合された90sccm)及び20slmの超高純度水素ガスを伴う、水素ガス/トリシランの混合物を、90sccmの流量で、15秒間反応室に導入した。厚さ約50Åのヒ素がドープされた連続した非晶質シリコンフィルムを、露出している酸化物上に形成した。高い品質の結晶、すなわち、ヒ素がドープされた厚さ約45Åのエピタキシャルシリコンフィルムが、露出したSi<100>の活性領域上に、いっせいに形成された。トリシリルアルシンの供給を止めた。この形成された膜は、バッファ層としての役割をするものである。
次に、ゲルマニウムの含有量が漸次変化する、ボロンがドープされたフィルムを、流量25sccmのトリシラン/水素混合ガスを使用して、いくつかの手順により、ステップを中断することなく形成した。第1に、ゲルマン(超高純度水素ガス中に1.5%)を、0から30sccmに増加する流量で、45秒以上、反応室に導入した。第2に、ゲルマンの流量を、30秒間、30sccmの一定量に保持した。第3に、流量を、30秒間20sccmに変化させた。第4に、流量90sccm(inject)のジボラン(100ppm、2slmの超高純度水素ガス)を反応室に導入する一方で、ゲルマンの流量を、10秒間15sccmに変化させた。第5に、ジボランの流量を一定に維持し、ゲルマンの流量を30秒間、10sccmに減らした。連続した、表面が滑らかで、高い均一性を有する全厚さ1,000Åの非晶質のSiGeフィルム(部分的にボロンがドープされている)が、第1ステップで成膜された非晶質シリコン層上に形成された。また、結晶の品質が優れた全厚さ1,100ÅのヘテロエピタキシャルSiGeフィルム(部分的にボロンがドープされている)が、第1ステップで成膜されたエピタキシャルシリコンフィルム上に形成された。
次に、ジボランの流量を維持し、ゲルマンを流すのを止め、トリシラン/水素の混合物の流量を、150秒間、90sccmに増加させることによって、ボロンがドープされたシリコンキャップ層を成膜した。連続した、表面が滑らかな、ボロンがドープされた厚さ490Åの非晶質シリコンフィルムが、第2の成膜の際に形成された非晶質SiGe層上に形成された。結晶の品質に優れ、ボロンがドープされた厚さ475Åのヘテロエピタキシャルのシリコンフィルムが、第2の成膜の際に形成されたヘテロエピタキシャルSiGe層上に形成された。すべての層におけるすべてのフィルムの物理的特性は、すべての面にわたって、厚さ、元素の含有量ともに極めて均一であった。
この実施例は、一定温度、一定圧力下でトリシランを用いる成膜プロセスにより、絶縁体がパターン化された基板上に、Si(As)/SiGe(B)/Si(B)のフィルム積層を形成する方法を示しており、得られる構造は、図4に示した構造と類似するものである。2つのタイプの面上に高い品質のフィルム積層を形成するために、シリコンバッファ層をマスキングしたり、パターン化する処理を必要としないということが特筆される。これは、バッファ層の成膜やパターン化に必要なプロセスのステップを省略することによって、この構造を形成するための製造コストを実質的に節減できることを意味しており、デバイスの全製造工程においてスループットが上昇することも示している。
(実施例2:比較例)
前駆体としてシランとゲルマンを使用し、600℃で、SiO2基板(核生成層なし)上に、Si含有フィルムを成膜した。得られたSiGeフィルムの表面粗さ(原子間力顕微鏡による測定)は、スキャンエリア10μm×10μmに対して、226Åであった。SiGeフィルムを走査型電子顕微鏡(SEM)で観察した結果、図6及び図7に示したSEM写真に表されているように、アイランドタイプの成長を示すピラミッド型のカットされた(faceted)粒となっていることが明らかとなった。このアイランドタイプの成長は、はじめに分離した核が表面に形成され、次にそれらが一緒に成長し、示されているアイランドを形成することによって成膜されることを示している。これは、シランが用いられる場合、成膜が表面形態に敏感であることを表している。すなわち、酸化物上では、シランにより成膜された層は核生成しにくく、表面粗さにも劣ることを示している。
(実施例3)
実施例2と同様に、600℃でSi含有フィルムを成膜した。ただし、前駆体として、シランとゲルマンの代わりに、トリシランとゲルマンを使用した。得られたSiGeフィルムの表面粗さ(原子間力顕微鏡による測定)は、スキャンエリア10μm×10μmに対して、18.4Åであった。SiGeフィルムをSEMにより観察した結果、図8及び図9(図6、図7に示したものと、それぞれ同じ倍率と傾斜角)に示したSEM顕微鏡写真に表されているように、SiGeフィルムは極めて均一な面であることが分かった。シランを用いる場合に比べて、アイランドタイプの成長が比較的少ないことは、表面上に均一な成長が起こり、実施例2で説明した核生成や成長のメカニズムによって、成膜が進行しなかったことを示している。このことは、トリシランが用いられる場合には、成膜が表面形態に対して比較的鈍感であること、すなわち、トリシランで成膜された層は核生成しやすいこと、その面は滑らかであることを意味している。
(実施例4−21)
トリシランとゲルマンを使用して、圧力40torr(5.3×103Pa)で、SiO2基板(核生成層なし)上に、一連のSi含有フィルムを形成した。表1に示した例(1−21)に対して、トリシランの流量は一定で、77sccm(キャリアガス:水素、バブラ使用)とした。ゲルマンの流量(ゲルマン:10%、H2:90%)と成膜温度は、表1に示したように変化させた。得られたSiGeフィルムのゲルマニウムの含有量(原子%)と厚さは、RBSによって測定し、表面粗さは、原子間力顕微鏡(AFM)によって測定した。結果を表1に示した。表1から、表1に示した温度条件及び流量条件の範囲、特に、ゲルマンの流量の範囲で、優れた均一性を有するフィルムが得られることが分かった。また、トリシランが用いられているので、成膜が、表面形態に比較的鈍感であることを示している。
Figure 0004417625
本技術に属する専門家であれば、本発明に係る技術的範囲を逸脱することなく、上述の組成及びプロセスに、様々な削除、追加、変更を行うことが可能である。そのようなすべての改良や変更も、特許請求の範囲に記載された発明の技術的範囲に属することはいうまでもない。
従来の混合基板上への成膜方法において生じる問題点を示す模式的断面図である。 従来の混合基板上への成膜方法において生じる問題点を示す模式的断面図である。 従来の混合基板上への成膜方法において生じる問題点を示す模式的断面図である。 好ましい実施の形態に係る、混合基板上へのトリシランを用いる成膜を示す模式的断面図である。 好ましい実施の形態に係る、混合基板上へのトリシランを用いる成膜を示す模式的断面図である。 好ましい実施の形態に係る、フィールド酸化物領域間にウィンドウを含む混合基板上へのトリシランを用いる成膜を示す断面図である。 好ましい実施の形態に係る、フィールド酸化物領域間にウィンドウを含む混合基板上へのトリシランを用いる成膜を示す断面図である。 好ましい実施の形態に係る、フィールド酸化物領域間にウィンドウを含む混合基板上へのトリシランを用いる成膜を示す断面図である。 好ましい実施の形態に従って形成されたBiCMOS HBT用のSiGeベース構造を示す断面図である。 混合基板上へSi含有フィルムを成膜するための別のプロセスフローを説明するための断面図である。 混合基板上へSi含有フィルムを成膜するための別のプロセスフローを説明するための断面図である。 混合基板上へSi含有フィルムを成膜するための別のプロセスフローを説明するための断面図である。 混合基板上へSi含有フィルムを成膜するための別のプロセスフローを説明するための断面図である。 シラン及びゲルマンにより成膜したSiGeフィルムを示す、走査型電子顕微鏡写真のリプロダクションである。 図6に示したSiGeフィルムの断面を示す、走査型電子顕微鏡写真のリプロダクションである。 好ましい実施の形態に従って、トリシラン及びゲルマンを用いて成膜したSiGeフィルムを示す、走査型電子顕微鏡写真のリプロダクションである。 図8に示したSiGeフィルムの断面を示す、走査型電子顕微鏡写真のリプロダクションである。

Claims (29)

  1. 第1の表面形態を有する第1の面と、前記第1の表面形態とは異なる第2の表面形態を有する第2の面を備え、前記第1の表面形態が単結晶であり、前記第2の表面形態が非晶質、多結晶又は非晶質と結晶質とが混ざったものである基板を準備し、成膜される前記基板を反応容器内に配置するステップと、
    化学気相成長条件下で、前記反応容器内にトリシランを導入するステップと、
    前記基板における前記第1の面及び前記第2の面に、Si含有フィルムを形成するステップとを含み、
    前記化学気相成長条件が、400℃〜750℃の基板温度、全圧1torr〜760torr(1.3×10 Pa〜1.0×10 Pa)の反応容器内の圧力であることを特徴とする成膜方法。
  2. さらに、前記トリシランの導入と同時に、前記反応容器内へゲルマニウム源を導入することを含み、Si含有フィルムとしてSiGeフィルムを形成することを特徴とする請求項1に記載の成膜方法。
  3. 前記SiGeフィルムが、0.1〜80原子%のゲルマニウムを含むことを特徴とする請求項2に記載の成膜方法。
  4. 前記第1の面が半導体材料を含み、前記第2の面が絶縁体材料を含むことを特徴とする請求項1に記載の成膜方法。
  5. 前記半導体材料が、シリコンと、ヒ素、ボロン、インジウム、燐及びアンチモンで構成されたグループから選ばれた1つのドーパントとを含むことを特徴とする請求項4に記載の成膜方法。
  6. 前記絶縁体材料が、シリコン酸化物、シリコン窒化物、金属酸化物及び金属シリケートで構成されたグループから選ばれた1つの材料を含むことを特徴とする請求項4に記載の成膜方法。
  7. 前記Si含有フィルムが、厚さ500Å以下のシリコンバッファ層であることを特徴とする請求項1に記載の成膜方法。
  8. さらに、前記バッファ層上にSiGeフィルムを形成するために、前記反応容器内に、ゲルマニウム源及びシリコン源を導入するステップを含むことを特徴とする請求項7に記載の成膜方法。
  9. 前記シリコン源がトリシランであることを特徴とする請求項8に記載の成膜方法。
  10. 前記第1の面の少なくとも一部が、前記第2の面の少なくとも一部と同一平面にないことを特徴とする請求項1に記載の成膜方法。
  11. 前記Si含有フィルムのうち、前記第1の面上の第1の厚さTと、前記第2の面上の第2の厚さTとの比T:Tが、10:1〜1:10の範囲であることを特徴とする請求項10に記載の成膜方法。
  12. 前記Si含有フィルムのうち、前記第1の面上の第1の厚さTと、前記第2の面上の第2の厚さTとの比T:Tが、2:1〜1:2の範囲であることを特徴とする請求項11に記載の成膜方法。
  13. 前記Si含有フィルムのうち、前記第1の面上の第1の厚さTと、前記第2の面上の第2の厚さTとの比T:Tが、1.3:1〜1:1.3の範囲であることを特徴とする請求項12に記載の成膜方法。
  14. さらに、前記反応容器にドーパントの前駆体を導入するステップを含み、Si含有フィルムとして、”in situ”でドープされるSi含有フィルムを成膜することを特徴とする請求項1に記載の成膜方法。
  15. 前記Si含有フィルムが、前記第1の面上の単結晶形態と、前記第2の面上の非結晶形態とを含むことを特徴とする請求項1に記載の成膜方法。
  16. 化学気相成長条件下で、第1の表面形態を有する第1の面及び前記第1の表面形態とは異なる第2の表面形態を有する第2の面で構成され、前記第1の表面形態が単結晶であり、前記第2の表面形態が非晶質、多結晶又は非晶質と結晶質とが混ざったものである混合基板の表面に、前記混合基板面1cm当たりに、少なくとも0.001mg/分の供給速度で、トリシランを供給するステップと、
    前記混合基板の表面に、10Å/分以上の速度で、Si含有材料を成膜するステップとを含み、
    前記化学気相成長条件が、400℃〜750℃の基板温度、全圧1torr〜760torr(1.3×10 Pa〜1.0×10 Pa)の反応容器内の圧力であることを特徴とする高速成膜方法。
  17. 前記混合基板の表面が、露出した導体材料と露出した絶縁体材料とで構成されていることを特徴とする請求項16に記載の高速成膜方法。
  18. 前記導体材料が、結晶質の半導体であることを特徴とする請求項17に記載の高速成膜方法。
  19. 前記結晶質の半導体が、ボロン、ゲルマニウム、インジウム、燐、ヒ素及びアンチモンで構成されたグループから選ばれる1つのドーパントを含んで構成されていることを特徴とする請求項18に記載の高速成膜方法。
  20. さらに、前記混合基板面にゲルマニウム源を供給することを含み、前記Si含有材料として、SiGe材料を成膜することを特徴とする請求項16に記載の高速成膜方法。
  21. 前記混合基板の表面に、混合基板面1cm当たりに、少なくとも0.001mg/分の供給速度で、ゲルマニウム源を供給することを特徴とする請求項20に記載の高速成膜方法。
  22. 活性領域と絶縁体を含む基板の表面を準備するステップと、
    前記活性領域及び前記絶縁体のそれぞれに、前記基板に直接Si含有フィルムを形成するための化学気相成長条件下で、前記基板面に、トリシランを供給するステップを含み、 前記活性領域が第1の表面形態を有し、前記絶縁体が前記第1の表面形態とは異なる第2の表面形態を有し、前記第1の表面形態が単結晶であり、前記第2の表面形態が非晶質、多結晶又は非晶質と結晶質とが混ざったものであり、
    前記化学気相成長条件が、400〜750℃の基板温度、全圧1torr〜760torr(1.3×10 Pa〜1.0×10 Pa)の反応容器内の圧力であることを特徴とするヘテロ接合バイポーラトランジスタ(HBT)用のベース構造の製造方法。
  23. 前記Si含有フィルムのうち、前記活性領域上の第1の厚さTと、前記絶縁体上の第2の厚さTとの比T:Tが、2:1〜1:2の範囲であることを特徴とする請求項22に記載のベース構造の製造方法。
  24. 前記Si含有フィルムのうち、前記活性領域上の第1の厚さTと、前記絶縁体上の第2の厚さTとの比T:Tが、1.3:1〜1:1.3の範囲であることを特徴とする請求項23に記載のベース構造の製造方法。
  25. さらに、前記Si含有フィルム上に、キャップ層を成膜するための化学気相成長条件下で、シリコン源を供給するステップを含むことを特徴とする請求項22に記載のベース構造の製造方法。
  26. さらに、Si含有フィルムとしてSiGeフィルムを成膜するための化学気相成長条件下で、前記トリシランの供給と同時に、前記基板面にゲルマニウム源を供給することを特徴とする請求項22に記載のベース構造の製造方法。
  27. 前記Si含有フィルムが、10Å〜500Åの範囲の厚さを有する核生成層であることを特徴とする請求項22に記載のベース構造の製造方法。
  28. 前記Si含有フィルムが、50Å〜300Åの範囲の厚さを有する核生成層であることを特徴とする請求項22に記載のベース構造の製造方法。
  29. さらに、前記核生成層上に、前記SiGeフィルムを成膜するための化学気相成長条件下で、前記核生成層に、トリシラン及びゲルマニウム源を含む混合物を供給するステップを含むことを特徴とする請求項27に記載のベース構造の製造方法。
JP2002565349A 2001-02-12 2002-02-12 トリシランを用いる混合基板への成膜方法、および、ベース構造の製造方法 Expired - Lifetime JP4417625B2 (ja)

Applications Claiming Priority (8)

Application Number Priority Date Filing Date Title
US26833701P 2001-02-12 2001-02-12
US27925601P 2001-03-27 2001-03-27
US31160901P 2001-08-09 2001-08-09
US32364901P 2001-09-19 2001-09-19
US33269601P 2001-11-13 2001-11-13
US33372401P 2001-11-28 2001-11-28
US34045401P 2001-12-07 2001-12-07
PCT/US2002/004750 WO2002065517A2 (en) 2001-02-12 2002-02-12 Deposition method over mixed substrates using trisilane

Publications (2)

Publication Number Publication Date
JP2005503000A JP2005503000A (ja) 2005-01-27
JP4417625B2 true JP4417625B2 (ja) 2010-02-17

Family

ID=27569531

Family Applications (8)

Application Number Title Priority Date Filing Date
JP2002578556A Expired - Lifetime JP4866534B2 (ja) 2001-02-12 2002-02-01 半導体膜の改良された堆積方法
JP2002564165A Pending JP2004523903A (ja) 2001-02-12 2002-02-12 薄膜及びトリシランを用いる薄膜の形成方法
JP2002565340A Pending JP2004525509A (ja) 2001-02-12 2002-02-12 ドーパント前駆体を用いた製造方法
JP2002565348A Expired - Lifetime JP4224847B2 (ja) 2001-02-12 2002-02-12 半導体膜の改良された堆積方法
JP2002565349A Expired - Lifetime JP4417625B2 (ja) 2001-02-12 2002-02-12 トリシランを用いる混合基板への成膜方法、および、ベース構造の製造方法
JP2007328687A Expired - Lifetime JP5134358B2 (ja) 2001-02-12 2007-12-20 半導体膜の改良された堆積方法
JP2008112166A Withdrawn JP2008252104A (ja) 2001-02-12 2008-04-23 ドーパント前駆体を用いた製造方法
JP2011127316A Withdrawn JP2011228724A (ja) 2001-02-12 2011-06-07 半導体膜の改良された堆積方法

Family Applications Before (4)

Application Number Title Priority Date Filing Date
JP2002578556A Expired - Lifetime JP4866534B2 (ja) 2001-02-12 2002-02-01 半導体膜の改良された堆積方法
JP2002564165A Pending JP2004523903A (ja) 2001-02-12 2002-02-12 薄膜及びトリシランを用いる薄膜の形成方法
JP2002565340A Pending JP2004525509A (ja) 2001-02-12 2002-02-12 ドーパント前駆体を用いた製造方法
JP2002565348A Expired - Lifetime JP4224847B2 (ja) 2001-02-12 2002-02-12 半導体膜の改良された堆積方法

Family Applications After (3)

Application Number Title Priority Date Filing Date
JP2007328687A Expired - Lifetime JP5134358B2 (ja) 2001-02-12 2007-12-20 半導体膜の改良された堆積方法
JP2008112166A Withdrawn JP2008252104A (ja) 2001-02-12 2008-04-23 ドーパント前駆体を用いた製造方法
JP2011127316A Withdrawn JP2011228724A (ja) 2001-02-12 2011-06-07 半導体膜の改良された堆積方法

Country Status (8)

Country Link
US (15) US6716751B2 (ja)
EP (3) EP1421607A2 (ja)
JP (8) JP4866534B2 (ja)
KR (5) KR101027485B1 (ja)
AT (1) ATE400060T1 (ja)
AU (2) AU2002306436A1 (ja)
DE (2) DE60223662T2 (ja)
WO (5) WO2002080244A2 (ja)

Families Citing this family (768)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6143631A (en) * 1998-05-04 2000-11-07 Micron Technology, Inc. Method for controlling the morphology of deposited silicon on a silicon dioxide substrate and semiconductor devices incorporating such deposited silicon
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
JP4029420B2 (ja) * 1999-07-15 2008-01-09 独立行政法人科学技術振興機構 ミリ波・遠赤外光検出器
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
FR2812763B1 (fr) * 2000-08-04 2002-11-01 St Microelectronics Sa Formation de boites quantiques
WO2002019363A2 (en) * 2000-08-28 2002-03-07 Applied Materials, Inc. Pre-polycoating of glass substrates
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
US7026219B2 (en) 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
EP1421607A2 (en) * 2001-02-12 2004-05-26 ASM America, Inc. Improved process for deposition of semiconductor films
US6830976B2 (en) * 2001-03-02 2004-12-14 Amberwave Systems Corproation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6750119B2 (en) * 2001-04-20 2004-06-15 International Business Machines Corporation Epitaxial and polycrystalline growth of Si1-x-yGexCy and Si1-yCy alloy layers on Si by UHV-CVD
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
EP1403929A4 (en) * 2001-06-05 2008-06-04 Sony Corp SEMICONDUCTOR SURFACE AND TRAINING METHOD THEREFOR AND SEMICONDUCTOR COMPONENT AND METHOD OF MANUFACTURING THEREOF
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
JP2003077845A (ja) * 2001-09-05 2003-03-14 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US20030124818A1 (en) * 2001-12-28 2003-07-03 Applied Materials, Inc. Method and apparatus for forming silicon containing films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
JP2003224204A (ja) * 2002-01-29 2003-08-08 Mitsubishi Electric Corp キャパシタを有する半導体装置
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
JP3719998B2 (ja) * 2002-04-01 2005-11-24 松下電器産業株式会社 半導体装置の製造方法
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6720027B2 (en) * 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
KR100448714B1 (ko) * 2002-04-24 2004-09-13 삼성전자주식회사 다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막및 그의 형성방법
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7601225B2 (en) * 2002-06-17 2009-10-13 Asm International N.V. System for controlling the sublimation of reactants
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
JP5005170B2 (ja) * 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
US7294582B2 (en) * 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US6740568B2 (en) * 2002-07-29 2004-05-25 Infineon Technologies Ag Method to enhance epitaxial regrowth in amorphous silicon contacts
US7399500B2 (en) * 2002-08-07 2008-07-15 Schott Ag Rapid process for the production of multilayer barrier layers
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
JP4358492B2 (ja) * 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US6833322B2 (en) * 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
JP4065516B2 (ja) * 2002-10-21 2008-03-26 キヤノン株式会社 情報処理装置及び情報処理方法
US7092287B2 (en) * 2002-12-18 2006-08-15 Asm International N.V. Method of fabricating silicon nitride nanodots
KR20050084387A (ko) * 2002-12-20 2005-08-26 코닌클리즈케 필립스 일렉트로닉스 엔.브이. 반도체 장치 제조 방법
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US7244683B2 (en) * 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US7422961B2 (en) * 2003-03-14 2008-09-09 Advanced Micro Devices, Inc. Method of forming isolation regions for integrated circuits
US6998305B2 (en) * 2003-01-24 2006-02-14 Asm America, Inc. Enhanced selectivity for epitaxial deposition
JP5288707B2 (ja) * 2003-03-12 2013-09-11 エーエスエム アメリカ インコーポレイテッド シリコンゲルマニウムの、平坦化及び欠陥密度を減少させる方法
US7682947B2 (en) * 2003-03-13 2010-03-23 Asm America, Inc. Epitaxial semiconductor deposition methods and structures
JP4782670B2 (ja) * 2003-03-13 2011-09-28 エーエスエム アメリカ インコーポレイテッド エピタキシャルGe含有膜の成長方法及びエピタキシャル半導体成膜システム
US7238595B2 (en) * 2003-03-13 2007-07-03 Asm America, Inc. Epitaxial semiconductor deposition methods and structures
US7517768B2 (en) * 2003-03-31 2009-04-14 Intel Corporation Method for fabricating a heterojunction bipolar transistor
JP4714422B2 (ja) 2003-04-05 2011-06-29 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. ゲルマニウムを含有するフィルムを堆積させる方法、及び蒸気送達装置
JP4689969B2 (ja) * 2003-04-05 2011-06-01 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. Iva族およびvia族化合物の調製
JP4954448B2 (ja) * 2003-04-05 2012-06-13 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 有機金属化合物
US7005160B2 (en) * 2003-04-24 2006-02-28 Asm America, Inc. Methods for depositing polycrystalline films with engineered grain structures
EP1482069A1 (en) * 2003-05-28 2004-12-01 Interuniversitair Microelektronica Centrum Vzw Method for producing polycrystalline silicon germanium suitable for micromachining
US6909186B2 (en) * 2003-05-01 2005-06-21 International Business Machines Corporation High performance FET devices and methods therefor
US7074630B2 (en) * 2003-05-20 2006-07-11 United Microelectronics Corp. Method of forming light emitter layer
US20040241948A1 (en) * 2003-05-29 2004-12-02 Chun-Feng Nieh Method of fabricating stacked gate dielectric layer
JP4158607B2 (ja) * 2003-06-09 2008-10-01 株式会社Sumco 半導体基板の製造方法
US7153772B2 (en) * 2003-06-12 2006-12-26 Asm International N.V. Methods of forming silicide films in semiconductor devices
US7122408B2 (en) 2003-06-16 2006-10-17 Micron Technology, Inc. Photodiode with ultra-shallow junction for high quantum efficiency CMOS image sensor and method of formation
JP2007523994A (ja) * 2003-06-18 2007-08-23 アプライド マテリアルズ インコーポレイテッド バリヤ物質の原子層堆積
US7282738B2 (en) * 2003-07-18 2007-10-16 Corning Incorporated Fabrication of crystalline materials over substrates
EP1647046A2 (en) * 2003-07-23 2006-04-19 ASM America, Inc. DEPOSITION OF SiGE ON SILICON-ON-INSULATOR STRUCTURES AND BULK SUBSTRATES
KR20060039915A (ko) * 2003-07-30 2006-05-09 에이에스엠 아메리카, 인코포레이티드 완화된 실리콘 게르마늄 층의 에피택셜 성장
US7202166B2 (en) * 2003-08-04 2007-04-10 Asm America, Inc. Surface preparation prior to deposition on germanium
US9532994B2 (en) 2003-08-29 2017-01-03 The Regents Of The University Of California Agents and methods for enhancing bone formation by oxysterols in combination with bone morphogenic proteins
DE10341806B4 (de) * 2003-09-10 2008-11-06 Texas Instruments Deutschland Gmbh Verfahren zur Herstellung einer epitaktischen Silizium-Germanium Basisschicht eines heterobipolaren pnp Transistors
US7175966B2 (en) * 2003-09-19 2007-02-13 International Business Machines Corporation Water and aqueous base soluble antireflective coating/hardmask materials
US20050064629A1 (en) * 2003-09-22 2005-03-24 Chen-Hua Yu Tungsten-copper interconnect and method for fabricating the same
US8501594B2 (en) 2003-10-10 2013-08-06 Applied Materials, Inc. Methods for forming silicon germanium layers
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7132338B2 (en) 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
JP4655578B2 (ja) * 2003-10-20 2011-03-23 東京エレクトロン株式会社 成膜装置及び成膜方法
US6987055B2 (en) * 2004-01-09 2006-01-17 Micron Technology, Inc. Methods for deposition of semiconductor material
US7078302B2 (en) 2004-02-23 2006-07-18 Applied Materials, Inc. Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal
US7329593B2 (en) 2004-02-27 2008-02-12 Asm America, Inc. Germanium deposition
US7098150B2 (en) * 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
FR2868203B1 (fr) * 2004-03-29 2006-06-09 St Microelectronics Sa Procede de fabrication d'un transistor bipolaire a base extrinseque monocristalline
JP4874527B2 (ja) * 2004-04-01 2012-02-15 トヨタ自動車株式会社 炭化珪素半導体基板及びその製造方法
KR20070006852A (ko) * 2004-04-23 2007-01-11 에이에스엠 아메리카, 인코포레이티드 인-시츄 도핑된 에피택셜 막
US7084040B2 (en) * 2004-04-23 2006-08-01 Northrop Grumman Corp. Method for growth of group III-V semiconductor material on a dielectric
US7202142B2 (en) * 2004-05-03 2007-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method for producing low defect density strained -Si channel MOSFETS
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8170404B2 (en) * 2004-05-20 2012-05-01 Akzo Nobel N.V. Bubbler for constant vapor delivery of a solid chemical
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US7396743B2 (en) * 2004-06-10 2008-07-08 Singh Kaushal K Low temperature epitaxial growth of silicon-containing films using UV radiation
KR101176668B1 (ko) * 2004-06-10 2012-08-23 어플라이드 머티어리얼스, 인코포레이티드 Uv 방사를 이용한 실리콘-함유 막들의 저온 에피택셜 성장
US7285503B2 (en) * 2004-06-21 2007-10-23 Applied Materials, Inc. Hermetic cap layers formed on low-k films by plasma enhanced chemical vapor deposition
JP3945519B2 (ja) * 2004-06-21 2007-07-18 東京エレクトロン株式会社 被処理体の熱処理装置、熱処理方法及び記憶媒体
AP2007003890A0 (en) 2004-07-30 2007-02-28 Rinat Neuroscience Corp Antibodies directed against amy-loid-beta peptide and methods using same
US8673410B2 (en) * 2004-08-04 2014-03-18 Tel Solar Ag Adhesion layer for thin film transistors
DE102004056170A1 (de) * 2004-08-06 2006-03-16 Aixtron Ag Vorrichtung und Verfahren zur chemischen Gasphasenabscheidung mit hohem Durchsatz
US7629270B2 (en) * 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US7253084B2 (en) * 2004-09-03 2007-08-07 Asm America, Inc. Deposition from liquid sources
US20060051975A1 (en) * 2004-09-07 2006-03-09 Ashutosh Misra Novel deposition of SiON dielectric films
JP4428175B2 (ja) * 2004-09-14 2010-03-10 株式会社Sumco 気相エピタキシャル成長装置および半導体ウェーハの製造方法
US7309660B2 (en) * 2004-09-16 2007-12-18 International Business Machines Corporation Buffer layer for selective SiGe growth for uniform nucleation
US7071125B2 (en) * 2004-09-22 2006-07-04 Intel Corporation Precursors for film formation
US7966969B2 (en) 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
US7314513B1 (en) 2004-09-24 2008-01-01 Kovio, Inc. Methods of forming a doped semiconductor thin film, doped semiconductor thin film structures, doped silane compositions, and methods of making such compositions
TW200619416A (en) * 2004-09-30 2006-06-16 Aviza Tech Inc Method and apparatus for low temperature dielectric deposition using monomolecular precursors
US20060086950A1 (en) * 2004-10-13 2006-04-27 Matty Caymax Method for making a passivated semiconductor substrate
WO2006044268A1 (en) * 2004-10-13 2006-04-27 Dow Global Technologies Inc. Catalysed diesel soot filter and process for its use
US7427571B2 (en) * 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
US7674726B2 (en) * 2004-10-15 2010-03-09 Asm International N.V. Parts for deposition reactors
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
JP4945072B2 (ja) * 2004-11-09 2012-06-06 株式会社東芝 半導体装置及びその製造方法
US7560352B2 (en) 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
JP2006176811A (ja) * 2004-12-21 2006-07-06 Rikogaku Shinkokai 結晶性SiC膜の製造方法
KR100579860B1 (ko) * 2004-12-23 2006-05-12 동부일렉트로닉스 주식회사 원자층 증착법(ald) 및 ⅲ족 중금속을 이용한 반도체소자의 p형 폴리실리콘막 형성 방법
US9640649B2 (en) * 2004-12-30 2017-05-02 Infineon Technologies Americas Corp. III-nitride power semiconductor with a field relaxation feature
US7704896B2 (en) * 2005-01-21 2010-04-27 Asm International, N.V. Atomic layer deposition of thin films on germanium
US7235492B2 (en) * 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7438760B2 (en) * 2005-02-04 2008-10-21 Asm America, Inc. Methods of making substitutionally carbon-doped crystalline Si-containing materials by chemical vapor deposition
US8241996B2 (en) 2005-02-28 2012-08-14 Silicon Genesis Corporation Substrate stiffness method and resulting devices for layer transfer process
US7629267B2 (en) * 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
PE20061323A1 (es) 2005-04-29 2007-02-09 Rinat Neuroscience Corp Anticuerpos dirigidos contra el peptido amiloide beta y metodos que utilizan los mismos
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7473655B2 (en) * 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
KR101283835B1 (ko) * 2005-06-29 2013-07-08 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 3원 막의 증착 방법
US20070031598A1 (en) * 2005-07-08 2007-02-08 Yoshikazu Okuyama Method for depositing silicon-containing films
US20070010072A1 (en) * 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
US7195934B2 (en) * 2005-07-11 2007-03-27 Applied Materials, Inc. Method and system for deposition tuning in an epitaxial film growth apparatus
US7674687B2 (en) * 2005-07-27 2010-03-09 Silicon Genesis Corporation Method and structure for fabricating multiple tiled regions onto a plate using a controlled cleaving process
US20070029043A1 (en) * 2005-08-08 2007-02-08 Silicon Genesis Corporation Pre-made cleavable substrate method and structure of fabricating devices using one or more films provided by a layer transfer process
US7166520B1 (en) * 2005-08-08 2007-01-23 Silicon Genesis Corporation Thin handle substrate method and structure for fabricating devices using one or more films provided by a layer transfer process
US7427554B2 (en) * 2005-08-12 2008-09-23 Silicon Genesis Corporation Manufacturing strained silicon substrates using a backing material
US20070054048A1 (en) * 2005-09-07 2007-03-08 Suvi Haukka Extended deposition range by hot spots
WO2007035660A1 (en) * 2005-09-20 2007-03-29 Applied Materials, Inc. Method to form a device on a soi substrate
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
DE102005047221B4 (de) * 2005-10-01 2015-08-06 APSOL GmbH Halbleiterschichtstruktur, Bauelement mit einer solchen Halbleiterschichtstruktur, Halbleiterschichtstruktur-Scheiben und Verfahren zu deren Herstellung
JP5888831B2 (ja) * 2005-10-05 2016-03-22 シン フィルム エレクトロニクス エーエスエー 架橋済みポリマー及びその製造方法
US7294581B2 (en) * 2005-10-17 2007-11-13 Applied Materials, Inc. Method for fabricating silicon nitride spacer structures
US20070096091A1 (en) * 2005-11-03 2007-05-03 Chih-Chun Wang Layer structure and removing method thereof and mehod of testing semiconductor machine
US7300849B2 (en) * 2005-11-04 2007-11-27 Atmel Corporation Bandgap engineered mono-crystalline silicon cap layers for SiGe HBT performance enhancement
US7651919B2 (en) * 2005-11-04 2010-01-26 Atmel Corporation Bandgap and recombination engineered emitter layers for SiGe HBT performance optimization
US7439558B2 (en) 2005-11-04 2008-10-21 Atmel Corporation Method and system for controlled oxygen incorporation in compound semiconductor films for device performance enhancement
TWI331770B (en) 2005-11-04 2010-10-11 Applied Materials Inc Apparatus for plasma-enhanced atomic layer deposition
US8530934B2 (en) 2005-11-07 2013-09-10 Atmel Corporation Integrated circuit structures containing a strain-compensated compound semiconductor layer and methods and systems related thereto
US7465669B2 (en) * 2005-11-12 2008-12-16 Applied Materials, Inc. Method of fabricating a silicon nitride stack
US7416995B2 (en) * 2005-11-12 2008-08-26 Applied Materials, Inc. Method for fabricating controlled stress silicon nitride films
US20070116888A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
JP4792956B2 (ja) * 2005-12-13 2011-10-12 セイコーエプソン株式会社 半導体基板の製造方法及び半導体装置の製造方法
JP4792957B2 (ja) * 2005-12-14 2011-10-12 セイコーエプソン株式会社 半導体基板の製造方法及び半導体装置の製造方法
US7553516B2 (en) * 2005-12-16 2009-06-30 Asm International N.V. System and method of reducing particle contamination of semiconductor substrates
US7718518B2 (en) * 2005-12-16 2010-05-18 Asm International N.V. Low temperature doped silicon layer formation
US20070154637A1 (en) * 2005-12-19 2007-07-05 Rohm And Haas Electronic Materials Llc Organometallic composition
US7312154B2 (en) * 2005-12-20 2007-12-25 Corning Incorporated Method of polishing a semiconductor-on-insulator structure
JP2009521801A (ja) * 2005-12-22 2009-06-04 エーエスエム アメリカ インコーポレイテッド ドープされた半導体物質のエピタキシャル堆積
US20070148890A1 (en) * 2005-12-27 2007-06-28 Enicks Darwin G Oxygen enhanced metastable silicon germanium film layer
KR100984668B1 (ko) * 2005-12-28 2010-10-01 가부시키가이샤 히다치 고쿠사이 덴키 반도체 장치의 제조 방법 및 기판 처리 장치
DE112007000092B4 (de) * 2006-01-09 2014-07-24 International Rectifier Corp. Gruppe-III-Nitrid-Leistungshalbleiter mit einem Feld-Relaxations-Merkmal
US20070178678A1 (en) * 2006-01-28 2007-08-02 Varian Semiconductor Equipment Associates, Inc. Methods of implanting ions and ion sources used for same
KR100745372B1 (ko) * 2006-02-06 2007-08-02 삼성전자주식회사 반도체 제조설비의 개스플로우량 감시장치 및 그 방법
WO2007098281A2 (en) 2006-02-27 2007-08-30 Regents Of The University Of California Oxysterol compounds and the hedgehog pathway
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US7863157B2 (en) * 2006-03-17 2011-01-04 Silicon Genesis Corporation Method and structure for fabricating solar cells using a layer transfer process
US7901968B2 (en) * 2006-03-23 2011-03-08 Asm America, Inc. Heteroepitaxial deposition over an oxidized surface
US7598153B2 (en) * 2006-03-31 2009-10-06 Silicon Genesis Corporation Method and structure for fabricating bonded substrate structures using thermal processing to remove oxygen species
WO2007118121A2 (en) 2006-04-05 2007-10-18 Silicon Genesis Corporation Method and structure for fabricating solar cells using a layer transfer process
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
WO2007130916A2 (en) * 2006-05-01 2007-11-15 Applied Materials, Inc. A method of ultra-shallow junction formation using si film alloyed with carbon
DE102006020825A1 (de) * 2006-05-04 2007-11-08 Siltronic Ag Verfahren zur Herstellung einer Schichtenstruktur
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
CN101473382A (zh) * 2006-05-12 2009-07-01 高级技术材料公司 相变化记忆体材料的低温沉积
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
US7648853B2 (en) 2006-07-11 2010-01-19 Asm America, Inc. Dual channel heterostructure
US8153513B2 (en) * 2006-07-25 2012-04-10 Silicon Genesis Corporation Method and system for continuous large-area scanning implantation process
US7547621B2 (en) * 2006-07-25 2009-06-16 Applied Materials, Inc. LPCVD gate hard mask
DE112007001813T5 (de) * 2006-07-31 2009-07-09 Applied Materials, Inc., Santa Clara Verfahren zum Steuern der Morphologie während der Bildung einer epitaktischen Schicht
KR101160930B1 (ko) 2006-07-31 2012-06-29 어플라이드 머티어리얼스, 인코포레이티드 카본-함유 실리콘 에피택셜 층을 형성하는 방법
KR100753546B1 (ko) * 2006-08-22 2007-08-30 삼성전자주식회사 트랜지스터의 게이트 및 그 형성 방법.
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
JP5103480B2 (ja) 2006-10-24 2012-12-19 ダウ・コーニング・コーポレイション ネオペンタシランを含む組成物及びその製造方法
US7550758B2 (en) 2006-10-31 2009-06-23 Atmel Corporation Method for providing a nanoscale, high electron mobility transistor (HEMT) on insulator
CN101495672B (zh) 2006-11-02 2011-12-07 高级技术材料公司 对于金属薄膜的cvd/ald有用的锑及锗复合物
US7642150B2 (en) * 2006-11-08 2010-01-05 Varian Semiconductor Equipment Associates, Inc. Techniques for forming shallow junctions
US20080132039A1 (en) * 2006-12-01 2008-06-05 Yonah Cho Formation and treatment of epitaxial layer containing silicon and carbon
US7837790B2 (en) * 2006-12-01 2010-11-23 Applied Materials, Inc. Formation and treatment of epitaxial layer containing silicon and carbon
US7741200B2 (en) * 2006-12-01 2010-06-22 Applied Materials, Inc. Formation and treatment of epitaxial layer containing silicon and carbon
US20080138955A1 (en) * 2006-12-12 2008-06-12 Zhiyuan Ye Formation of epitaxial layer containing silicon
US7960236B2 (en) * 2006-12-12 2011-06-14 Applied Materials, Inc. Phosphorus containing Si epitaxial layers in N-type source/drain junctions
US7897495B2 (en) * 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
US8394196B2 (en) * 2006-12-12 2013-03-12 Applied Materials, Inc. Formation of in-situ phosphorus doped epitaxial layer containing silicon and carbon
US8110412B2 (en) * 2006-12-22 2012-02-07 Spansion Llc Integrated circuit wafer system with control strategy
US7901508B2 (en) * 2007-01-24 2011-03-08 Widetronix, Inc. Method, system, and apparatus for the growth of SiC and related or similar material, by chemical vapor deposition, using precursors in modified cold-wall reactor
US20080173239A1 (en) * 2007-01-24 2008-07-24 Yuri Makarov Method, system, and apparatus for the growth of SiC and related or similar material, by chemical vapor deposition, using precursors in modified cold-wall reactor
US9064960B2 (en) * 2007-01-31 2015-06-23 Applied Materials, Inc. Selective epitaxy process control
ITMI20070271A1 (it) * 2007-02-14 2008-08-15 St Microelectronics Srl Processo peer fabbricare un dispositivo tft con regioni di source e dain aventi un profilo di drogante graduale
JP2008218661A (ja) * 2007-03-02 2008-09-18 Fujitsu Ltd 電界効果型半導体装置及びその製造方法
US8367548B2 (en) * 2007-03-16 2013-02-05 Asm America, Inc. Stable silicide films and methods for making the same
EP1973150A1 (en) * 2007-03-20 2008-09-24 S.O.I. Tec Silicon on Insulator Technologies S.A. A (110) oriented silicon substrate and a bonded pair of substrates comprising said (110) oriented silicon substrate and corresponding methods of fabricating same
US7456061B2 (en) * 2007-03-30 2008-11-25 Agere Systems Inc. Method to reduce boron penetration in a SiGe bipolar device
US20080246101A1 (en) * 2007-04-05 2008-10-09 Applied Materials Inc. Method of poly-silicon grain structure formation
US7629256B2 (en) * 2007-05-14 2009-12-08 Asm International N.V. In situ silicon and titanium nitride deposition
JP4854591B2 (ja) * 2007-05-14 2012-01-18 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
ES2331824B1 (es) * 2007-06-18 2010-10-22 Consejo Superior De Investigaciones Cientificas (Csic) Microcabidades opticas y esponjas fotonicas, procedimiento de producc ion y sus aplicaciones en la fabricacion de dispositivos fotonicos.
US8017182B2 (en) * 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US8102694B2 (en) * 2007-06-25 2012-01-24 Sandisk 3D Llc Nonvolatile memory device containing carbon or nitrogen doped diode
EP2168161B1 (en) * 2007-06-25 2015-09-23 Sandisk 3D LLC Nonvolatile memory device containing carbon or nitrogen doped diode and method of making thereof
US8072791B2 (en) * 2007-06-25 2011-12-06 Sandisk 3D Llc Method of making nonvolatile memory device containing carbon or nitrogen doped diode
KR100812089B1 (ko) * 2007-06-26 2008-03-07 주식회사 동부하이텍 플래시 메모리 소자의 제조 방법
US7799376B2 (en) * 2007-07-27 2010-09-21 Dalsa Semiconductor Inc. Method of controlling film stress in MEMS devices
JP5164465B2 (ja) * 2007-07-27 2013-03-21 株式会社アルバック 樹脂基板
US7851307B2 (en) 2007-08-17 2010-12-14 Micron Technology, Inc. Method of forming complex oxide nanodots for a charge trap
US7759199B2 (en) 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US7972898B2 (en) * 2007-09-26 2011-07-05 Eastman Kodak Company Process for making doped zinc oxide
US20090206275A1 (en) * 2007-10-03 2009-08-20 Silcon Genesis Corporation Accelerator particle beam apparatus and method for low contaminate processing
US7776698B2 (en) 2007-10-05 2010-08-17 Applied Materials, Inc. Selective formation of silicon carbon epitaxial layer
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US7772097B2 (en) * 2007-11-05 2010-08-10 Asm America, Inc. Methods of selectively depositing silicon-containing films
KR101376336B1 (ko) * 2007-11-27 2014-03-18 한국에이에스엠지니텍 주식회사 원자층 증착 장치
US9526737B2 (en) 2007-12-03 2016-12-27 The Regents Of The University Of California Oxysterols for activation of hedgehog signaling, osteoinduction, antiadipogenesis, and Wnt signaling
US7655543B2 (en) 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
US7989360B2 (en) 2008-01-07 2011-08-02 Micron Technology, Inc. Semiconductor processing methods, and methods for forming silicon dioxide
US8347814B2 (en) * 2008-01-22 2013-01-08 Raytheon Canada Limited Method and apparatus for coating a curved surface
US8318252B2 (en) * 2008-01-28 2012-11-27 Air Products And Chemicals, Inc. Antimony precursors for GST films in ALD/CVD processes
US20090203197A1 (en) * 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8003957B2 (en) * 2008-02-11 2011-08-23 Varian Semiconductor Equipment Associates, Inc. Ethane implantation with a dilution gas
US20090200494A1 (en) * 2008-02-11 2009-08-13 Varian Semiconductor Equipment Associates, Inc. Techniques for cold implantation of carbon-containing species
US20090258151A1 (en) * 2008-04-10 2009-10-15 Raytheon Company Method and Apparatus for Coating Curved Surfaces
US7720342B2 (en) * 2008-04-15 2010-05-18 Hewlett-Packard Development Company, L.P. Optical device with a graded bandgap structure and methods of making and using the same
US7947552B2 (en) * 2008-04-21 2011-05-24 Infineon Technologies Ag Process for the simultaneous deposition of crystalline and amorphous layers with doping
EP2279285B1 (en) 2008-04-25 2015-02-11 ASM International N.V. Synthesis and use of precursors for ald of tellurium and selenium thin films
US20090267118A1 (en) * 2008-04-29 2009-10-29 International Business Machines Corporation Method for forming carbon silicon alloy (csa) and structures thereof
US8398776B2 (en) * 2008-05-12 2013-03-19 Raytheon Canada Limited Method and apparatus for supporting workpieces in a coating apparatus
JP5519649B2 (ja) * 2008-05-29 2014-06-11 エヌディーエスユー リサーチ ファウンデーション 官能化されたシランの形成法
US7943527B2 (en) * 2008-05-30 2011-05-17 The Board Of Trustees Of The University Of Illinois Surface preparation for thin film growth by enhanced nucleation
US8246748B2 (en) * 2008-07-09 2012-08-21 Raytheon Canada Limited Method and apparatus for coating surfaces
US8343583B2 (en) 2008-07-10 2013-01-01 Asm International N.V. Method for vaporizing non-gaseous precursor in a fluidized bed
JP5336956B2 (ja) * 2008-07-31 2013-11-06 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8252112B2 (en) * 2008-09-12 2012-08-28 Ovshinsky Innovation, Llc High speed thin film deposition via pre-selected intermediate
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8012876B2 (en) * 2008-12-02 2011-09-06 Asm International N.V. Delivery of vapor precursor from solid source
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
DE102008063402B4 (de) * 2008-12-31 2013-10-17 Advanced Micro Devices, Inc. Verringerung der Schwellwertspannungsfluktuation in Transistoren mit einer Kanalhalbleiterlegierung durch Verringern der Abscheideungleichmäßigkeiten
US7749917B1 (en) * 2008-12-31 2010-07-06 Applied Materials, Inc. Dry cleaning of silicon surface for solar cell applications
US20100178758A1 (en) * 2009-01-15 2010-07-15 Macronix International Co., Ltd. Methods for fabricating dielectric layer and non-volatile memory
WO2010088046A1 (en) * 2009-01-30 2010-08-05 Bp Corporation North America Inc. Seed layers and process of manufacturing seed layers
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8486191B2 (en) 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
DE102009002758A1 (de) * 2009-04-30 2010-11-11 Evonik Degussa Gmbh Bandgap Tailoring von Solarzellen aus Flüssigsilan mittels Germanium-Zugabe
US20100279479A1 (en) * 2009-05-01 2010-11-04 Varian Semiconductor Equipment Associates, Inc. Formation Of Raised Source/Drain On A Strained Thin Film Implanted With Cold And/Or Molecular Carbon
DE102009032854B4 (de) * 2009-07-13 2015-07-23 Texas Instruments Deutschland Gmbh Verfahren zur Herstellung von Bipolartransistorstrukturen in einem Halbleiterprozess
JP2011023718A (ja) * 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US20110020623A1 (en) * 2009-07-22 2011-01-27 Raytheon Company Method and Apparatus for Repairing an Optical Component Substrate Through Coating
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8421162B2 (en) 2009-09-30 2013-04-16 Suvolta, Inc. Advanced transistors with punch through suppression
US8273617B2 (en) 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
WO2011056519A2 (en) 2009-10-26 2011-05-12 Asm International N.V. Synthesis and use of precursors for ald of group va element containing thin films
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
EP2528864B1 (en) 2010-01-28 2017-03-29 Ndsu Research Foundation Method of producing cyclohexasilane compounds
US8741394B2 (en) * 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US20120142172A1 (en) * 2010-03-25 2012-06-07 Keith Fox Pecvd deposition of smooth polysilicon films
US20130157466A1 (en) * 2010-03-25 2013-06-20 Keith Fox Silicon nitride films for semiconductor device applications
US9028924B2 (en) 2010-03-25 2015-05-12 Novellus Systems, Inc. In-situ deposition of film stacks
US8709551B2 (en) * 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US8530286B2 (en) 2010-04-12 2013-09-10 Suvolta, Inc. Low power semiconductor transistor structure and method of fabrication thereof
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
JP5692763B2 (ja) * 2010-05-20 2015-04-01 東京エレクトロン株式会社 シリコン膜の形成方法およびその形成装置
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
US8569128B2 (en) 2010-06-21 2013-10-29 Suvolta, Inc. Semiconductor structure and method of fabrication thereof with mixed metal types
US8759872B2 (en) 2010-06-22 2014-06-24 Suvolta, Inc. Transistor with threshold voltage set notch and method of fabrication thereof
US8466045B2 (en) * 2010-07-02 2013-06-18 Tokyo Electron Limited Method of forming strained epitaxial carbon-doped silicon films
EP2588650A4 (en) * 2010-07-02 2014-03-19 Matheson Tri Gas Inc SELECTIVE EPITAXY OF SI-CONTAINING MATERIALS AND SUBSTITUTIONALLY DOPED SI-CONTAINING CRYSTAL MATERIALS
US8263988B2 (en) 2010-07-16 2012-09-11 Micron Technology, Inc. Solid state lighting devices with reduced crystal lattice dislocations and associated methods of manufacturing
US9017486B2 (en) * 2010-09-09 2015-04-28 International Business Machines Corporation Deposition chamber cleaning method including stressed cleaning layer
KR20180104171A (ko) * 2010-09-15 2018-09-19 프랙스에어 테크놀로지, 인코포레이티드 이온 소스의 수명 연장 방법
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8377783B2 (en) 2010-09-30 2013-02-19 Suvolta, Inc. Method for reducing punch-through in a transistor device
JP5544343B2 (ja) * 2010-10-29 2014-07-09 東京エレクトロン株式会社 成膜装置
WO2012074889A2 (en) * 2010-11-30 2012-06-07 Advanced Technology Materials, Inc. Ion implanter system including remote dopant source, and method comprising same
US8404551B2 (en) 2010-12-03 2013-03-26 Suvolta, Inc. Source/drain extension control for advanced transistors
US9484432B2 (en) 2010-12-21 2016-11-01 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
US8901537B2 (en) 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
EP2474643B1 (en) 2011-01-11 2016-01-06 Imec Method for direct deposition of a germanium layer
DE102011009963A1 (de) * 2011-02-01 2012-08-02 Linde Aktiengesellschaft Verfahren zum Lichtbogenfügen und Schutzgasmischung
DE102011009964A1 (de) * 2011-02-01 2012-08-02 Linde Aktiengesellschaft Verfahren zum Weich-, Hart- und Hochtemperaturlöten
US8461875B1 (en) 2011-02-18 2013-06-11 Suvolta, Inc. Digital circuits having improved transistors, and methods therefor
US8525271B2 (en) 2011-03-03 2013-09-03 Suvolta, Inc. Semiconductor structure with improved channel stack and method for fabrication thereof
US8400219B2 (en) 2011-03-24 2013-03-19 Suvolta, Inc. Analog circuits having improved transistors, and methods therefor
US8748270B1 (en) 2011-03-30 2014-06-10 Suvolta, Inc. Process for manufacturing an improved analog transistor
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8999861B1 (en) 2011-05-11 2015-04-07 Suvolta, Inc. Semiconductor structure with substitutional boron and method for fabrication thereof
US8796048B1 (en) 2011-05-11 2014-08-05 Suvolta, Inc. Monitoring and measurement of thin film layers
US8811068B1 (en) 2011-05-13 2014-08-19 Suvolta, Inc. Integrated circuit devices and methods
US8569156B1 (en) 2011-05-16 2013-10-29 Suvolta, Inc. Reducing or eliminating pre-amorphization in transistor manufacture
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8735987B1 (en) 2011-06-06 2014-05-27 Suvolta, Inc. CMOS gate stack structures and processes
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10043934B2 (en) * 2011-06-08 2018-08-07 International Business Machines Corporation Silicon-containing heterojunction photovoltaic element and device
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US8995204B2 (en) 2011-06-23 2015-03-31 Suvolta, Inc. Circuit devices and methods having adjustable transistor body bias
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8629016B1 (en) 2011-07-26 2014-01-14 Suvolta, Inc. Multiple transistor types formed in a common epitaxial layer by differential out-diffusion from a doped underlayer
KR101891373B1 (ko) 2011-08-05 2018-08-24 엠아이이 후지쯔 세미컨덕터 리미티드 핀 구조물을 갖는 반도체 디바이스 및 그 제조 방법
US8748986B1 (en) 2011-08-05 2014-06-10 Suvolta, Inc. Electronic device with controlled threshold voltage
US8778811B2 (en) * 2011-08-18 2014-07-15 Intermolecular, Inc. Low temperature migration enhanced Si-Ge epitaxy with plasma assisted surface activation
US8645878B1 (en) 2011-08-23 2014-02-04 Suvolta, Inc. Porting a circuit design from a first semiconductor process to a second semiconductor process
US8614128B1 (en) 2011-08-23 2013-12-24 Suvolta, Inc. CMOS structures and processes based on selective thinning
US8713511B1 (en) 2011-09-16 2014-04-29 Suvolta, Inc. Tools and methods for yield-aware semiconductor manufacturing process target generation
US8841742B2 (en) 2011-09-27 2014-09-23 Soitec Low temperature layer transfer process using donor structure with material in recesses in transfer layer, semiconductor structures fabricated using such methods
JP5741382B2 (ja) 2011-09-30 2015-07-01 東京エレクトロン株式会社 薄膜の形成方法及び成膜装置
US9236466B1 (en) 2011-10-07 2016-01-12 Mie Fujitsu Semiconductor Limited Analog circuits having improved insulated gate transistors, and methods therefor
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8895327B1 (en) 2011-12-09 2014-11-25 Suvolta, Inc. Tipless transistors, short-tip transistors, and methods and circuits therefor
US8819603B1 (en) 2011-12-15 2014-08-26 Suvolta, Inc. Memory circuits and methods of making and designing the same
US8883600B1 (en) 2011-12-22 2014-11-11 Suvolta, Inc. Transistor having reduced junction leakage and methods of forming thereof
US8599623B1 (en) 2011-12-23 2013-12-03 Suvolta, Inc. Circuits and methods for measuring circuit elements in an integrated circuit device
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8877619B1 (en) 2012-01-23 2014-11-04 Suvolta, Inc. Process for manufacture of integrated circuits with different channel doping transistor architectures and devices therefrom
US8970289B1 (en) 2012-01-23 2015-03-03 Suvolta, Inc. Circuits and devices for generating bi-directional body bias voltages, and methods therefor
US9093550B1 (en) 2012-01-31 2015-07-28 Mie Fujitsu Semiconductor Limited Integrated circuits having a plurality of high-K metal gate FETs with various combinations of channel foundation structure and gate stack structure and methods of making same
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US9406567B1 (en) 2012-02-28 2016-08-02 Mie Fujitsu Semiconductor Limited Method for fabricating multiple transistor devices on a substrate with varying threshold voltages
US9127345B2 (en) 2012-03-06 2015-09-08 Asm America, Inc. Methods for depositing an epitaxial silicon germanium layer having a germanium to silicon ratio greater than 1:1 using silylgermane and a diluent
US8863064B1 (en) 2012-03-23 2014-10-14 Suvolta, Inc. SRAM cell layout structure and devices therefrom
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9165788B2 (en) 2012-04-06 2015-10-20 Novellus Systems, Inc. Post-deposition soft annealing
JP6262723B2 (ja) 2012-05-07 2018-01-17 ザ リージェンツ オブ ザ ユニバーシティ オブ カリフォルニアThe Regents Of The University Of California オキシステロールアナログoxy133は、骨発生及びヘッジホッグシグナル伝達を誘導し、脂肪生成を阻害する
US9117668B2 (en) 2012-05-23 2015-08-25 Novellus Systems, Inc. PECVD deposition of smooth silicon films
US8889529B2 (en) * 2012-05-24 2014-11-18 International Business Machines Corporation Heterojunction bipolar transistors with thin epitaxial contacts
US9064924B2 (en) * 2012-05-24 2015-06-23 International Business Machines Corporation Heterojunction bipolar transistors with intrinsic interlayers
US9299698B2 (en) 2012-06-27 2016-03-29 Mie Fujitsu Semiconductor Limited Semiconductor structure with multiple transistors having various threshold voltages
US9064694B2 (en) * 2012-07-12 2015-06-23 Tokyo Electron Limited Nitridation of atomic layer deposited high-k dielectrics using trisilylamine
US9388491B2 (en) 2012-07-23 2016-07-12 Novellus Systems, Inc. Method for deposition of conformal films with catalysis assisted low temperature CVD
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8637955B1 (en) 2012-08-31 2014-01-28 Suvolta, Inc. Semiconductor structure with reduced junction leakage and method of fabrication thereof
US9171715B2 (en) 2012-09-05 2015-10-27 Asm Ip Holding B.V. Atomic layer deposition of GeO2
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9112057B1 (en) 2012-09-18 2015-08-18 Mie Fujitsu Semiconductor Limited Semiconductor devices with dopant migration suppression and method of fabrication thereof
US9041126B2 (en) 2012-09-21 2015-05-26 Mie Fujitsu Semiconductor Limited Deeply depleted MOS transistors having a screening layer and methods thereof
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US8946035B2 (en) * 2012-09-27 2015-02-03 Taiwan Semiconductor Manufacturing Co., Ltd. Replacement channels for semiconductor devices and methods for forming the same using dopant concentration boost
CN108281378B (zh) * 2012-10-12 2022-06-24 住友电气工业株式会社 Iii族氮化物复合衬底、半导体器件及它们的制造方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
CN104854698A (zh) 2012-10-31 2015-08-19 三重富士通半导体有限责任公司 具有低变化晶体管外围电路的dram型器件以及相关方法
JP2014093345A (ja) * 2012-11-01 2014-05-19 Japan Advanced Institute Of Science & Technology Hokuriku 複数の基板上へシリコン膜を一括して形成する方法
US8816754B1 (en) 2012-11-02 2014-08-26 Suvolta, Inc. Body bias circuits and methods
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9093997B1 (en) 2012-11-15 2015-07-28 Mie Fujitsu Semiconductor Limited Slew based process and bias monitors and related methods
US9512519B2 (en) 2012-12-03 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition apparatus and method
US9070477B1 (en) 2012-12-12 2015-06-30 Mie Fujitsu Semiconductor Limited Bit interleaved low voltage static random access memory (SRAM) and related methods
US9112484B1 (en) 2012-12-20 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit process and bias monitors and related methods
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
CN103107095A (zh) * 2013-01-25 2013-05-15 京东方科技集团股份有限公司 薄膜晶体管及其制作方法、阵列基板、显示装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9268885B1 (en) 2013-02-28 2016-02-23 Mie Fujitsu Semiconductor Limited Integrated circuit device methods and models with predicted device metric variations
US8994415B1 (en) 2013-03-01 2015-03-31 Suvolta, Inc. Multiple VDD clock buffer
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8988153B1 (en) 2013-03-09 2015-03-24 Suvolta, Inc. Ring oscillator with NMOS or PMOS variation insensitivity
US9299801B1 (en) 2013-03-14 2016-03-29 Mie Fujitsu Semiconductor Limited Method for fabricating a transistor device with a tuned dopant profile
US9449967B1 (en) 2013-03-15 2016-09-20 Fujitsu Semiconductor Limited Transistor array structure
US9112495B1 (en) 2013-03-15 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit device body bias circuits and methods
US9214630B2 (en) 2013-04-11 2015-12-15 Air Products And Chemicals, Inc. Method of making a multicomponent film
AU2014259672A1 (en) 2013-05-02 2015-12-03 The Regents Of The University Of California Bone-selective osteogenic oxysterol-bone targeting agents
US9478571B1 (en) 2013-05-24 2016-10-25 Mie Fujitsu Semiconductor Limited Buried channel deeply depleted channel transistor
US8895415B1 (en) 2013-05-31 2014-11-25 Novellus Systems, Inc. Tensile stressed doped amorphous silicon
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
EP2978868A4 (en) * 2013-07-12 2017-01-04 Hewlett-Packard Development Company L.P. Amorphous thin metal film
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US8976575B1 (en) 2013-08-29 2015-03-10 Suvolta, Inc. SRAM performance monitor
CN105849221B (zh) 2013-09-27 2019-06-18 乔治洛德方法研究和开发液化空气有限公司 胺取代的三甲硅烷基胺和三-二甲硅烷基胺化合物
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US20150171321A1 (en) 2013-12-13 2015-06-18 Micron Technology, Inc. Methods of forming metal on inhomogeneous surfaces and structures incorporating metal on inhomogeneous surfaces
US9218963B2 (en) 2013-12-19 2015-12-22 Asm Ip Holding B.V. Cyclical deposition of germanium
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
KR102195139B1 (ko) 2014-02-20 2020-12-24 삼성전자주식회사 반도체 장치의 제조 방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP2014166957A (ja) * 2014-04-24 2014-09-11 Sumitomo Electric Ind Ltd 炭化珪素半導体およびその製造方法と製造装置
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9710006B2 (en) 2014-07-25 2017-07-18 Mie Fujitsu Semiconductor Limited Power up body bias circuits and methods
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10177310B2 (en) 2014-07-30 2019-01-08 Hewlett Packard Enterprise Development Lp Amorphous metal alloy electrodes in non-volatile device applications
US20170226640A1 (en) * 2014-08-01 2017-08-10 3M Innovative Properties Company Substrate with amorphous, covalently-bonded layer and method of making the same
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9319013B2 (en) 2014-08-19 2016-04-19 Mie Fujitsu Semiconductor Limited Operational amplifier input offset correction with transistor threshold voltage adjustment
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN105609406B (zh) * 2014-11-19 2018-09-28 株式会社日立国际电气 半导体器件的制造方法、衬底处理装置、气体供给系统
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9390925B1 (en) 2014-12-17 2016-07-12 GlobalFoundries, Inc. Silicon—germanium (SiGe) fin formation
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10403744B2 (en) * 2015-06-29 2019-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices comprising 2D-materials and methods of manufacture thereof
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10876206B2 (en) 2015-09-01 2020-12-29 Silcotek Corp. Thermal chemical vapor deposition coating
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170211180A1 (en) * 2016-01-22 2017-07-27 Silcotek Corp. Diffusion-rate-limited thermal chemical vapor deposition coating
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
JP6575433B2 (ja) * 2016-05-23 2019-09-18 株式会社デンソー 半導体装置の製造方法
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
WO2018067191A1 (en) 2016-10-03 2018-04-12 Applied Materials, Inc. Multi-channel flow ratio controller and processing chamber
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
WO2018125141A1 (en) * 2016-12-29 2018-07-05 Intel Corporation Methods for incorporating stabilized carbon into silicon nitride films
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
US10460932B2 (en) * 2017-03-31 2019-10-29 Asm Ip Holding B.V. Semiconductor device with amorphous silicon filled gaps and methods for forming
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
RU2661320C1 (ru) * 2017-04-26 2018-07-13 Закрытое акционерное общество Научно-инженерный центр "ИНКОМСИСТЕМ" Способ гидрофобизации субстрата
JP2018199863A (ja) * 2017-05-02 2018-12-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated タングステン柱を形成する方法
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
FR3073665B1 (fr) * 2017-11-15 2019-11-29 Centre National De La Recherche Scientifique Procede de fabrication de couche mince transferable
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
EP3830860A4 (en) 2018-07-30 2022-04-20 Applied Materials, Inc. PROCESS FOR SELECTIVE SILICON-GERMANIUM EPITAXY AT LOW TEMPERATURES
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
US11791159B2 (en) 2019-01-17 2023-10-17 Ramesh kumar Harjivan Kakkad Method of fabricating thin, crystalline silicon film and thin film transistors
US11562903B2 (en) * 2019-01-17 2023-01-24 Ramesh kumar Harjivan Kakkad Method of fabricating thin, crystalline silicon film and thin film transistors
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11589572B2 (en) 2019-05-23 2023-02-28 Scott A. Butz Moving decoy support system
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
WO2020252306A1 (en) 2019-06-14 2020-12-17 Silcotek Corp. Nano-wire growth
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11649560B2 (en) 2019-06-20 2023-05-16 Applied Materials, Inc. Method for forming silicon-phosphorous materials
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210035449A (ko) 2019-09-24 2021-04-01 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
CN111074217A (zh) * 2019-12-24 2020-04-28 江苏杰太光电技术有限公司 一种掺杂非晶硅的靶材及太阳能电池制备方法
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11551926B2 (en) 2021-01-22 2023-01-10 Micron Technology, Inc. Methods of forming a microelectronic device, and related systems and additional methods
WO2022226174A1 (en) * 2021-04-21 2022-10-27 Entegris, Inc. Silicon precursor compounds and method for forming silicon-containing films
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
TWI838011B (zh) * 2021-12-23 2024-04-01 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 V族元素的新型無機矽基和聚矽基衍生物及其合成方法和使用其沈積之方法
FR3131332A1 (fr) * 2021-12-23 2023-06-30 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Nouveaux dérivés de silyle et polysilyle inorganiques d’éléments du groupe v et procédés de synthèse de ceux-ci et procédés d’utilisation de ceux-ci pour un dépôt
EP4215649A1 (en) 2022-01-24 2023-07-26 Ivan Timokhin Preparation of shaped crystalline layers by use of the inner shape/surface of the ampule as a shape forming surface
WO2024004998A1 (ja) * 2022-06-29 2024-01-04 株式会社日本触媒 シリコン膜の製造方法及びシリコン膜

Family Cites Families (351)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2002A (en) * 1841-03-12 Tor and planter for plowing
US117956A (en) * 1871-08-08 Improvement in barrel-pitching machines
US495218A (en) * 1893-04-11 Elastic tire
US1217956A (en) * 1916-11-18 1917-03-06 Pittsburgh Plate Glass Co Pot for the manufacture of plate-glass, and the method of making the same.
US1268064A (en) * 1917-06-19 1918-05-28 Johnson & Johnson First-aid packet.
US2155225A (en) * 1936-04-11 1939-04-18 Westinghouse Air Brake Co Empty and load apparatus
US3185817A (en) * 1954-09-30 1965-05-25 North American Aviation Inc Gyroscope filtering and computing system
US3091239A (en) * 1958-08-25 1963-05-28 Moeller Wilhelm Apparatus for intravasal injection of gaseous and liquid media
US3187215A (en) * 1961-10-02 1965-06-01 Bendix Corp Spark gap device
US3292741A (en) * 1964-10-27 1966-12-20 Bendix Corp Parking mechanism for dual brake
DE2023992A1 (de) 1970-05-15 1971-12-02 Siemens Ag Verfahren zum Dotieren von Silicium- oder Germaniumkristallen mit Antimon und/ oder Wismut im Einzonenofen
US3900597A (en) * 1973-12-19 1975-08-19 Motorola Inc System and process for deposition of polycrystalline silicon with silane in vacuum
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
JPS6047202B2 (ja) 1976-01-13 1985-10-21 東北大学金属材料研究所長 超硬高純度の配向多結晶質窒化珪素
GB1573154A (en) * 1977-03-01 1980-08-13 Pilkington Brothers Ltd Coating glass
US4217374A (en) 1978-03-08 1980-08-12 Energy Conversion Devices, Inc. Amorphous semiconductors equivalent to crystalline semiconductors
US4200666A (en) 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
US4223048A (en) 1978-08-07 1980-09-16 Pacific Western Systems Plasma enhanced chemical vapor processing of semiconductive wafers
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4237150A (en) 1979-04-18 1980-12-02 The United States Of America As Represented By The United States Department Of Energy Method of producing hydrogenated amorphous silicon film
FR2464478A1 (fr) * 1979-09-04 1981-03-06 Suisse Horlogerie Detecteur d'avance d'un moteur pas a pas
US4411729A (en) * 1979-09-29 1983-10-25 Fujitsu Limited Method for a vapor phase growth of a compound semiconductor
US4363828A (en) 1979-12-12 1982-12-14 International Business Machines Corp. Method for depositing silicon films and related materials by a glow discharge in a disiland or higher order silane gas
US4379020A (en) 1980-06-16 1983-04-05 Massachusetts Institute Of Technology Polycrystalline semiconductor processing
US4444812A (en) 1980-07-28 1984-04-24 Monsanto Company Combination gas curtains for continuous chemical vapor deposition production of silicon bodies
JPS57209810A (en) 1981-06-17 1982-12-23 Asahi Chem Ind Co Ltd Preparation of silicon nitride
US4452875A (en) 1982-02-15 1984-06-05 Canon Kabushiki Kaisha Amorphous photoconductive member with α-Si interlayers
JPH0635323B2 (ja) 1982-06-25 1994-05-11 株式会社日立製作所 表面処理方法
US4737379A (en) 1982-09-24 1988-04-12 Energy Conversion Devices, Inc. Plasma deposited coatings, and low temperature plasma method of making same
JPS5958819A (ja) 1982-09-29 1984-04-04 Hitachi Ltd 薄膜形成方法
JPS5978919A (ja) 1982-10-26 1984-05-08 Mitsui Toatsu Chem Inc アモルフアスシリコン膜の形成方法
JPS5978918A (ja) 1982-10-26 1984-05-08 Mitsui Toatsu Chem Inc 広バンドギャップアモルファスシリコン膜の形成方法
JPS5989407A (ja) 1982-11-15 1984-05-23 Mitsui Toatsu Chem Inc アモルフアスシリコン膜の形成方法
JPS6043485B2 (ja) 1982-12-08 1985-09-28 豊田株式会社 高速道路の安全対策装置車
JPS6043485A (ja) 1983-08-19 1985-03-08 Mitsui Toatsu Chem Inc アモルフアスシリコン膜の形成方法
US4557794A (en) 1984-05-07 1985-12-10 Rca Corporation Method for forming a void-free monocrystalline epitaxial layer on a mask
US4578142A (en) 1984-05-10 1986-03-25 Rca Corporation Method for growing monocrystalline silicon through mask layer
US4634605A (en) 1984-05-23 1987-01-06 Wiesmann Harold J Method for the indirect deposition of amorphous silicon and polycrystalline silicone and alloys thereof
US4592933A (en) 1984-06-29 1986-06-03 International Business Machines Corporation High efficiency homogeneous chemical vapor deposition
US4707197A (en) 1984-08-02 1987-11-17 American Telephone And Telegraph Company, At&T Bell Laboratories Method of producing a silicide/Si heteroepitaxial structure, and articles produced by the method
US4631804A (en) 1984-12-10 1986-12-30 At&T Bell Laboratories Technique for reducing substrate warpage springback using a polysilicon subsurface strained layer
JPS61153277A (ja) 1984-12-27 1986-07-11 Agency Of Ind Science & Technol 微結晶シリコン薄膜の製造方法
JPS61191015A (ja) 1985-02-20 1986-08-25 Hitachi Ltd 半導体の気相成長方法及びその装置
US4615762A (en) 1985-04-30 1986-10-07 Rca Corporation Method for thinning silicon
US4695331A (en) 1985-05-06 1987-09-22 Chronar Corporation Hetero-augmentation of semiconductor materials
JPS61291410A (ja) * 1985-06-17 1986-12-22 Mitsubishi Chem Ind Ltd ケイ素の製造方法
US5769950A (en) * 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
JPS6276812A (ja) 1985-09-30 1987-04-08 Toshiba Corp ヒステリシス回路
JPH0650730B2 (ja) 1985-09-30 1994-06-29 三井東圧化学株式会社 半導体薄膜の製造方法
JPS6277612A (ja) 1985-10-01 1987-04-09 Nippon Atom Ind Group Co Ltd プラント異常診断方法
US4891092A (en) 1986-01-13 1990-01-02 General Electric Company Method for making a silicon-on-insulator substrate
EG18056A (en) 1986-02-18 1991-11-30 Solarex Corp Dispositif feedstock materials useful in the fabrication of hydrogenated amorphous silicon alloys for photo-voltaic devices and other semiconductor devices
JPS62253771A (ja) 1986-04-28 1987-11-05 Hitachi Ltd 薄膜形成方法
US4755481A (en) 1986-05-15 1988-07-05 General Electric Company Method of making a silicon-on-insulator transistor
US4747367A (en) * 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4761269A (en) * 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
JP2592238B2 (ja) * 1986-06-24 1997-03-19 セイコー電子工業株式会社 薄膜トランジスタの製造方法
JPS633414A (ja) 1986-06-24 1988-01-08 Agency Of Ind Science & Technol シリコン膜の製造方法
DE3772659D1 (de) 1986-06-28 1991-10-10 Ulvac Corp Verfahren und vorrichtung zum beschichten unter anwendung einer cvd-beschichtungstechnik.
US4684542A (en) 1986-08-11 1987-08-04 International Business Machines Corporation Low pressure chemical vapor deposition of tungsten silicide
US4720395A (en) 1986-08-25 1988-01-19 Anicon, Inc. Low temperature silicon nitride CVD process
US5082696A (en) 1986-10-03 1992-01-21 Dow Corning Corporation Method of forming semiconducting amorphous silicon films from the thermal decomposition of dihalosilanes
KR900007686B1 (ko) 1986-10-08 1990-10-18 후지쓰 가부시끼가이샤 선택적으로 산화된 실리콘 기판상에 에피택셜 실리콘층과 다결정 실리콘층을 동시에 성장시키는 기상 증착방법
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US4902645A (en) 1987-08-24 1990-02-20 Fujitsu Limited Method of selectively forming a silicon-containing metal layer
JPH01134932A (ja) 1987-11-19 1989-05-26 Oki Electric Ind Co Ltd 基板清浄化方法及び基板清浄化装置
JP2534525B2 (ja) * 1987-12-19 1996-09-18 富士通株式会社 β−炭化シリコン層の製造方法
DE3803895C1 (ja) * 1988-02-09 1989-04-13 Degussa Ag, 6000 Frankfurt, De
JP2835723B2 (ja) 1988-02-26 1998-12-14 富士通株式会社 キャパシタ及びキャパシタの製造方法
JPH01217958A (ja) 1988-02-26 1989-08-31 Toshiba Corp 寄生電流誤動作防止回路
EP0332101B1 (en) * 1988-03-11 1997-06-04 Fujitsu Limited Semiconductor device having a region doped to a level exceeding the solubility limit
EP0337445A3 (en) * 1988-04-13 1991-01-16 Hitachi, Ltd. Laminar structure comprising organic material and inorganic material, methods for producing it and its use
JPH01268064A (ja) 1988-04-20 1989-10-25 Hitachi Ltd 多結晶シリコン薄膜の形成方法
US4933206A (en) 1988-08-17 1990-06-12 Intel Corporation UV-vis characteristic writing in silicon nitride and oxynitride films
US5091761A (en) * 1988-08-22 1992-02-25 Hitachi, Ltd. Semiconductor device having an arrangement of IGFETs and capacitors stacked thereover
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
JPH02225399A (ja) 1988-11-11 1990-09-07 Fujitsu Ltd エピタキシャル成長方法および成長装置
JPH02155225A (ja) 1988-12-08 1990-06-14 Mitsui Toatsu Chem Inc 非晶質半導体薄膜の形成方法
JPH02235327A (ja) * 1989-03-08 1990-09-18 Fujitsu Ltd 半導体成長装置および半導体成長方法
US4963506A (en) 1989-04-24 1990-10-16 Motorola Inc. Selective deposition of amorphous and polycrystalline silicon
US5194398A (en) 1989-06-28 1993-03-16 Mitsui Toatsu Chemicals, Inc. Semiconductor film and process for its production
JPH03193880A (ja) 1989-08-03 1991-08-23 Mikakutou Seimitsu Kogaku Kenkyusho:Kk 高圧力下でのマイクロ波プラズマcvdによる高速成膜方法及びその装置
JP2947828B2 (ja) 1989-09-04 1999-09-13 株式会社日立製作所 半導体装置の製造方法
US5214002A (en) * 1989-10-25 1993-05-25 Agency Of Industrial Science And Technology Process for depositing a thermal CVD film of Si or Ge using a hydrogen post-treatment step and an optional hydrogen pre-treatment step
US5068124A (en) 1989-11-17 1991-11-26 International Business Machines Corporation Method for depositing high quality silicon dioxide by pecvd
US5198387A (en) 1989-12-01 1993-03-30 Texas Instruments Incorporated Method and apparatus for in-situ doping of deposited silicon
JPH03185817A (ja) 1989-12-15 1991-08-13 Seiko Epson Corp 半導体膜の形成方法
JPH03187215A (ja) 1989-12-15 1991-08-15 Sharp Corp シリコン薄膜の製造方法
US4992299A (en) 1990-02-01 1991-02-12 Air Products And Chemicals, Inc. Deposition of silicon nitride films from azidosilane sources
JP3469251B2 (ja) 1990-02-14 2003-11-25 株式会社東芝 半導体装置の製造方法
JP2917392B2 (ja) 1990-04-10 1999-07-12 セイコーエプソン株式会社 半導体装置の製造方法
US5316844A (en) * 1990-04-16 1994-05-31 Hoya Electronics Corporation Magnetic recording medium comprising an aluminum alloy substrate, now magnetic underlayers, magnetic layer, protective layer, particulate containing protective layer and lubricant layer
US5250452A (en) 1990-04-27 1993-10-05 North Carolina State University Deposition of germanium thin films on silicon dioxide employing interposed polysilicon layer
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
ATE174450T1 (de) * 1990-07-06 1998-12-15 Tsubochi Kazuo Verfahren zur herstellung einer metallschicht
JPH0485818A (ja) 1990-07-26 1992-03-18 Fujitsu Ltd 半導体装置の製造方法
KR100209856B1 (ko) * 1990-08-31 1999-07-15 가나이 쓰도무 반도체장치의 제조방법
JP3193402B2 (ja) 1990-08-31 2001-07-30 株式会社日立製作所 半導体装置の製造方法
US5080933A (en) 1990-09-04 1992-01-14 Motorola, Inc. Selective deposition of polycrystalline silicon
US5504704A (en) 1990-10-29 1996-04-02 Nec Corporation Semiconductor memory device
BR9106205A (pt) * 1990-10-31 1993-03-30 Baxter Int Dispositivo para implantacao em hospedeiro,processo de implantacao,dispositivo implantado,e recipiente de imunoisolamento
US6893906B2 (en) * 1990-11-26 2005-05-17 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and driving method for the same
US5372958A (en) 1990-11-16 1994-12-13 Seiko Epson Corporation Process for fabricating a thin film semiconductor device
JPH10223911A (ja) 1990-11-16 1998-08-21 Seiko Epson Corp 薄膜半導体装置
US5849601A (en) 1990-12-25 1998-12-15 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and method for manufacturing the same
US5110757A (en) 1990-12-19 1992-05-05 North American Philips Corp. Formation of composite monosilicon/polysilicon layer using reduced-temperature two-step silicon deposition
JPH0691249B2 (ja) * 1991-01-10 1994-11-14 インターナショナル・ビジネス・マシーンズ・コーポレイション 変調ドープ形misfet及びその製造方法
JP3091239B2 (ja) 1991-01-28 2000-09-25 三菱レイヨン株式会社 プラスチック光ファイバコード
US5112773A (en) 1991-04-10 1992-05-12 Micron Technology, Inc. Methods for texturizing polysilicon utilizing gas phase nucleation
JP2907403B2 (ja) * 1991-03-22 1999-06-21 キヤノン株式会社 堆積膜形成装置
JP2794499B2 (ja) * 1991-03-26 1998-09-03 株式会社半導体エネルギー研究所 半導体装置の作製方法
JPH04299515A (ja) 1991-03-27 1992-10-22 Shin Etsu Chem Co Ltd X線リソグラフィ−マスク用x線透過膜およびその製造方法
JP3200863B2 (ja) * 1991-04-23 2001-08-20 セイコーエプソン株式会社 半導体装置の製造方法
JPH04332115A (ja) 1991-05-02 1992-11-19 Shin Etsu Chem Co Ltd X線リソグラフィ−マスク用x線透過膜
WO1992020833A1 (en) 1991-05-17 1992-11-26 Lam Research Corporation A PROCESS FOR DEPOSITING A SIOx FILM HAVING REDUCED INTRINSIC STRESS AND/OR REDUCED HYDROGEN CONTENT
JP2508948B2 (ja) 1991-06-21 1996-06-19 日本電気株式会社 半導体装置の製造方法
JPH07187892A (ja) * 1991-06-28 1995-07-25 Internatl Business Mach Corp <Ibm> シリコン及びその形成方法
JPH0521385A (ja) * 1991-07-10 1993-01-29 Nippon Steel Corp アルミニウム合金薄膜の製造方法
JP3507072B2 (ja) * 1991-07-16 2004-03-15 セイコーエプソン株式会社 化学気相推積装置及び半導体膜形成方法と薄膜半導体装置の製造方法
US5225032A (en) * 1991-08-09 1993-07-06 Allied-Signal Inc. Method of producing stoichiometric, epitaxial, monocrystalline films of silicon carbide at temperatures below 900 degrees centigrade
JP3121131B2 (ja) 1991-08-09 2000-12-25 アプライド マテリアルズ インコーポレイテッド 低温高圧のシリコン蒸着方法
US5614257A (en) 1991-08-09 1997-03-25 Applied Materials, Inc Low temperature, high pressure silicon deposition method
US5695819A (en) 1991-08-09 1997-12-09 Applied Materials, Inc. Method of enhancing step coverage of polysilicon deposits
JP3181357B2 (ja) * 1991-08-19 2001-07-03 株式会社東芝 半導体薄膜の形成方法および半導体装置の製造方法
JP2845303B2 (ja) * 1991-08-23 1999-01-13 株式会社 半導体エネルギー研究所 半導体装置とその作製方法
JPH0562811A (ja) 1991-09-03 1993-03-12 Matsushita Electric Ind Co Ltd 機能トリミング方法
JPH0562911A (ja) * 1991-09-04 1993-03-12 Fujitsu Ltd 半導体超格子の製造方法
JP3118037B2 (ja) * 1991-10-28 2000-12-18 キヤノン株式会社 堆積膜形成方法および堆積膜形成装置
US5231056A (en) 1992-01-15 1993-07-27 Micron Technology, Inc. Tungsten silicide (WSix) deposition process for semiconductor manufacture
US5352636A (en) 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
US5485019A (en) 1992-02-05 1996-01-16 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for forming the same
US5324684A (en) 1992-02-25 1994-06-28 Ag Processing Technologies, Inc. Gas phase doping of semiconductor material in a cold-wall radiantly heated reactor under reduced pressure
JPH05315269A (ja) * 1992-03-11 1993-11-26 Central Glass Co Ltd 薄膜の製膜方法
JP2951146B2 (ja) * 1992-04-15 1999-09-20 キヤノン株式会社 光起電力デバイス
JP3156878B2 (ja) 1992-04-30 2001-04-16 株式会社東芝 半導体装置およびその製造方法
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5242847A (en) 1992-07-27 1993-09-07 North Carolina State University At Raleigh Selective deposition of doped silion-germanium alloy on semiconductor substrate
US5461250A (en) 1992-08-10 1995-10-24 International Business Machines Corporation SiGe thin film or SOI MOSFET and method for making the same
JP2740087B2 (ja) 1992-08-15 1998-04-15 株式会社東芝 半導体集積回路装置の製造方法
JP3200197B2 (ja) 1992-09-24 2001-08-20 コマツ電子金属株式会社 気相成長装置及びその排気管
US6004683A (en) * 1992-11-04 1999-12-21 C. A. Patents, L.L.C. Plural layered metal repair tape
EP0673538A1 (en) * 1992-12-10 1995-09-27 Westinghouse Electric Corporation Increased brightness drive system for an electroluminescent display panel
US5563093A (en) 1993-01-28 1996-10-08 Kawasaki Steel Corporation Method of manufacturing fet semiconductor devices with polysilicon gate having large grain sizes
JPH06302526A (ja) 1993-04-16 1994-10-28 Kokusai Electric Co Ltd アモルファスシリコン膜の形成方法
JPH06310493A (ja) 1993-04-23 1994-11-04 Kawasaki Steel Corp 半導体装置の製造装置
JP2508581B2 (ja) 1993-05-28 1996-06-19 日本電気株式会社 化学気相成長法
DE4419074C2 (de) 1993-06-03 1998-07-02 Micron Semiconductor Inc Verfahren zum gleichmäßigen Dotieren von polykristallinem Silizium mit halbkugelförmiger Körnung
US5648293A (en) 1993-07-22 1997-07-15 Nec Corporation Method of growing an amorphous silicon film
US5385869A (en) * 1993-07-22 1995-01-31 Motorola, Inc. Semiconductor chip bonded to a substrate and method of making
US5471330A (en) 1993-07-29 1995-11-28 Honeywell Inc. Polysilicon pixel electrode
JP2641385B2 (ja) 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド 膜形成方法
US5360986A (en) 1993-10-05 1994-11-01 Motorola, Inc. Carbon doped silicon semiconductor device having a narrowed bandgap characteristic and method
US6083810A (en) 1993-11-15 2000-07-04 Lucent Technologies Integrated circuit fabrication process
US5413813A (en) 1993-11-23 1995-05-09 Enichem S.P.A. CVD of silicon-based ceramic materials on internal surface of a reactor
US5656531A (en) 1993-12-10 1997-08-12 Micron Technology, Inc. Method to form hemi-spherical grain (HSG) silicon from amorphous silicon
JPH07249618A (ja) * 1994-03-14 1995-09-26 Fujitsu Ltd 半導体装置の製造方法
US6162667A (en) 1994-03-28 2000-12-19 Sharp Kabushiki Kaisha Method for fabricating thin film transistors
JP3494467B2 (ja) * 1994-04-28 2004-02-09 沖電気工業株式会社 半導体薄膜の形成方法
JP2630257B2 (ja) 1994-06-03 1997-07-16 日本電気株式会社 半導体装置の製造方法
CN1052116C (zh) 1994-06-15 2000-05-03 精工爱普生株式会社 薄膜半导体器件的制造方法
US20020009827A1 (en) * 1997-08-26 2002-01-24 Masud Beroz Microelectronic unit forming methods and materials
US6121081A (en) 1994-11-15 2000-09-19 Micron Technology, Inc. Method to form hemi-spherical grain (HSG) silicon
US5656819A (en) * 1994-11-16 1997-08-12 Sandia Corporation Pulsed ion beam source
FI97731C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
JPH08213343A (ja) 1995-01-31 1996-08-20 Sony Corp 半導体装置およびその製造方法
US5677236A (en) 1995-02-24 1997-10-14 Mitsui Toatsu Chemicals, Inc. Process for forming a thin microcrystalline silicon semiconductor film
KR0180779B1 (ko) 1995-02-27 1999-03-20 김주용 반도체소자의 캐패시터 제조방법
US5698771A (en) 1995-03-30 1997-12-16 The United States Of America As Represented By The United States National Aeronautics And Space Administration Varying potential silicon carbide gas sensor
JPH08306688A (ja) 1995-04-28 1996-11-22 Sanyo Electric Co Ltd 半導体装置の製造方法
JP3169337B2 (ja) 1995-05-30 2001-05-21 キヤノン株式会社 光起電力素子及びその製造方法
US5654589A (en) 1995-06-06 1997-08-05 Advanced Micro Devices, Incorporated Landing pad technology doubled up as local interconnect and borderless contact for deep sub-half micrometer IC application
EP0786819B1 (en) 1995-08-04 2003-05-07 Seiko Epson Corporation Process for preparing thin-film transistor, process for preparing active matrix substrate, and liquid crystal display
US6161498A (en) * 1995-09-14 2000-12-19 Tokyo Electron Limited Plasma processing device and a method of plasma process
JP3305929B2 (ja) 1995-09-14 2002-07-24 株式会社東芝 半導体装置及びその製造方法
JP3432059B2 (ja) 1995-09-25 2003-07-28 キヤノン株式会社 光起電力素子の形成方法
US5893949A (en) 1995-12-26 1999-04-13 Xerox Corporation Solid phase epitaxial crystallization of amorphous silicon films on insulating substrates
JPH09191117A (ja) * 1996-01-09 1997-07-22 Mitsui Toatsu Chem Inc 半導体薄膜
US5869389A (en) 1996-01-18 1999-02-09 Micron Technology, Inc. Semiconductor processing method of providing a doped polysilicon layer
JP3109570B2 (ja) 1996-01-27 2000-11-20 株式会社半導体エネルギー研究所 半導体装置作製方法
US5786027A (en) 1996-02-14 1998-07-28 Micron Technology, Inc. Method for depositing polysilicon with discontinuous grain boundaries
JP3841910B2 (ja) 1996-02-15 2006-11-08 株式会社半導体エネルギー研究所 半導体装置の作製方法
US5789030A (en) 1996-03-18 1998-08-04 Micron Technology, Inc. Method for depositing doped amorphous or polycrystalline silicon on a substrate
JP4093604B2 (ja) * 1996-03-25 2008-06-04 純一 半那 導電性パターンの形成方法
JPH09270421A (ja) 1996-04-01 1997-10-14 Mitsubishi Electric Corp 表面処理装置および表面処理方法
US5863598A (en) 1996-04-12 1999-01-26 Applied Materials, Inc. Method of forming doped silicon in high aspect ratio openings
JP2795313B2 (ja) 1996-05-08 1998-09-10 日本電気株式会社 容量素子及びその製造方法
US5951923A (en) * 1996-05-23 1999-09-14 Ebara Corporation Vaporizer apparatus and film deposition apparatus therewith
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US5930106A (en) 1996-07-11 1999-07-27 Micron Technology, Inc. DRAM capacitors made from silicon-germanium and electrode-limited conduction dielectric films
US5913921A (en) * 1996-07-12 1999-06-22 Glenayre Electronics, Inc. System for communicating information about nodes configuration by generating advertisements having era values for identifying time reference for which the configuration is operative
JPH1041321A (ja) 1996-07-26 1998-02-13 Sony Corp バイポーラトランジスタの製造方法
US5731238A (en) 1996-08-05 1998-03-24 Motorola Inc. Integrated circuit having a jet vapor deposition silicon nitride film and method of making the same
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JP2954039B2 (ja) 1996-09-05 1999-09-27 日本電気株式会社 SiGe薄膜の成膜方法
US5763021A (en) 1996-12-13 1998-06-09 Cypress Semiconductor Corporation Method of forming a dielectric film
KR100236069B1 (ko) * 1996-12-26 1999-12-15 김영환 캐패시터 및 그 제조방법
TW471031B (en) * 1997-01-08 2002-01-01 Ebara Corp Vapor feed supply system
JPH10203895A (ja) * 1997-01-20 1998-08-04 Sony Corp シリコンゲルマニウム混晶の成膜方法
JP3050152B2 (ja) * 1997-01-23 2000-06-12 日本電気株式会社 半導体装置の製造方法
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
JP3084395B2 (ja) * 1997-05-15 2000-09-04 工業技術院長 半導体薄膜の堆積方法
US6351039B1 (en) * 1997-05-28 2002-02-26 Texas Instruments Incorporated Integrated circuit dielectric and method
US6069068A (en) * 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
JP3408401B2 (ja) 1997-05-30 2003-05-19 シャープ株式会社 半導体記憶素子およびその製造方法
FR2765394B1 (fr) * 1997-06-25 1999-09-24 France Telecom Procede d'obtention d'un transistor a grille en silicium-germanium
JPH1174485A (ja) 1997-06-30 1999-03-16 Toshiba Corp 半導体装置およびその製造方法
US5904565A (en) * 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
JPH1197692A (ja) * 1997-09-18 1999-04-09 Toshiba Corp 多結晶および液晶表示装置
JPH1197667A (ja) 1997-09-24 1999-04-09 Sharp Corp 超微粒子あるいは超細線の形成方法およびこの形成方法による超微粒子あるいは超細線を用いた半導体素子
JP3727449B2 (ja) * 1997-09-30 2005-12-14 シャープ株式会社 半導体ナノ結晶の製造方法
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US6228181B1 (en) 1997-10-02 2001-05-08 Shigeo Yamamoto Making epitaxial semiconductor device
US6027760A (en) * 1997-12-08 2000-02-22 Gurer; Emir Photoresist coating process control with solvent vapor sensor
KR100268936B1 (ko) 1997-12-16 2000-10-16 김영환 반도체 소자의 양자점 형성 방법
EP0928015A3 (en) 1997-12-31 2003-07-02 Texas Instruments Incorporated Method of preventing boron penetration
TW439151B (en) 1997-12-31 2001-06-07 Samsung Electronics Co Ltd Method for forming conductive layer using atomic layer deposition process
US6027705A (en) 1998-01-08 2000-02-22 Showa Denko K.K. Method for producing a higher silane
US6042654A (en) * 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
US5933761A (en) * 1998-02-09 1999-08-03 Lee; Ellis Dual damascene structure and its manufacturing method
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
JP4208281B2 (ja) * 1998-02-26 2009-01-14 キヤノン株式会社 積層型光起電力素子
JP3854731B2 (ja) * 1998-03-30 2006-12-06 シャープ株式会社 微細構造の製造方法
US6181012B1 (en) * 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
JPH11330463A (ja) * 1998-05-15 1999-11-30 Sony Corp 半導体装置および半導体装置の製造方法
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6048790A (en) * 1998-07-10 2000-04-11 Advanced Micro Devices, Inc. Metalorganic decomposition deposition of thin conductive films on integrated circuits using reducing ambient
JP4364438B2 (ja) 1998-07-10 2009-11-18 アプライド マテリアルズ インコーポレイテッド 高膜品質で水素含有量の低い窒化ケイ素を堆積するプラズマプロセス
JP2000038679A (ja) * 1998-07-21 2000-02-08 Canon Inc 堆積膜形成方法および堆積膜形成装置
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6188134B1 (en) * 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6144050A (en) * 1998-08-20 2000-11-07 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with strontium barrier film and process for making same
US6077775A (en) * 1998-08-20 2000-06-20 The United States Of America As Represented By The Secretary Of The Navy Process for making a semiconductor device with barrier film formation using a metal halide and products thereof
US6291876B1 (en) 1998-08-20 2001-09-18 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with composite atomic barrier film and process for making same
JP3259690B2 (ja) 1998-08-26 2002-02-25 日本電気株式会社 電界効果型トランジスタ及びその製造方法
US6027975A (en) 1998-08-28 2000-02-22 Lucent Technologies Inc. Process for fabricating vertical transistors
JP2000077658A (ja) 1998-08-28 2000-03-14 Toshiba Corp 半導体装置の製造方法
US6319782B1 (en) 1998-09-10 2001-11-20 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method of fabricating the same
KR100287180B1 (ko) * 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
TW382787B (en) * 1998-10-02 2000-02-21 United Microelectronics Corp Method of fabricating dual damascene
US6268068B1 (en) 1998-10-06 2001-07-31 Case Western Reserve University Low stress polysilicon film and method for producing same
KR100327328B1 (ko) * 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
JP2000150647A (ja) * 1998-11-11 2000-05-30 Sony Corp 配線構造およびその製造方法
US6107147A (en) 1998-12-18 2000-08-22 Texas Instruments Incorporated Stacked poly/amorphous silicon gate giving low sheet resistance silicide film at submicron linewidths
EP1173893A4 (en) * 1999-01-15 2007-08-01 Univ California POLYCRYSTALLINE SILICON GERMANIUM FILMS FOR THE MANUFACTURE OF MICROELECTROCHEMICAL SYSTEMS
KR100363083B1 (ko) * 1999-01-20 2002-11-30 삼성전자 주식회사 반구형 그레인 커패시터 및 그 형성방법
US6235568B1 (en) 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
JP3754568B2 (ja) * 1999-01-29 2006-03-15 シャープ株式会社 量子細線の製造方法
JP3869572B2 (ja) 1999-02-10 2007-01-17 シャープ株式会社 量子細線の製造方法
JP4731655B2 (ja) 1999-02-12 2011-07-27 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP2000243831A (ja) * 1999-02-18 2000-09-08 Sony Corp 半導体装置とその製造方法
JPH11317530A (ja) * 1999-02-22 1999-11-16 Semiconductor Energy Lab Co Ltd 半導体装置
US6153541A (en) 1999-02-23 2000-11-28 Vanguard International Semiconductor Corporation Method for fabricating an oxynitride layer having anti-reflective properties and low leakage current
US6281559B1 (en) 1999-03-03 2001-08-28 Advanced Micro Devices, Inc. Gate stack structure for variable threshold voltage
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
EP1036807B1 (en) 1999-03-18 2007-12-12 Kaneka Corporation Curable composition
US6365465B1 (en) 1999-03-19 2002-04-02 International Business Machines Corporation Self-aligned double-gate MOSFET by selective epitaxy and silicon wafer bonding techniques
JP3443379B2 (ja) 1999-03-23 2003-09-02 松下電器産業株式会社 半導体膜の成長方法及び半導体装置の製造方法
US6207567B1 (en) 1999-04-12 2001-03-27 United Microelectronics Corp. Fabricating method of glue layer and barrier layer
US6197669B1 (en) 1999-04-15 2001-03-06 Taiwan Semicondcutor Manufacturing Company Reduction of surface defects on amorphous silicon grown by a low-temperature, high pressure LPCVD process
US6037258A (en) * 1999-05-07 2000-03-14 Taiwan Semiconductor Manufacturing Company Method of forming a smooth copper seed layer for a copper damascene structure
US6346732B1 (en) 1999-05-14 2002-02-12 Kabushiki Kaisha Toshiba Semiconductor device with oxide mediated epitaxial layer
JP2000323420A (ja) 1999-05-14 2000-11-24 Sony Corp 半導体装置の製造方法
US6146517A (en) 1999-05-19 2000-11-14 Infineon Technologies North America Corp. Integrated circuits with copper metallization for interconnections
JP2000340684A (ja) 1999-05-31 2000-12-08 Sony Corp 半導体装置の製造方法
KR20010001543A (ko) 1999-06-05 2001-01-05 김기범 구리 배선 구조를 가지는 반도체 소자 제조 방법
JP2001007301A (ja) 1999-06-17 2001-01-12 Sony Corp 半導体装置およびその製造方法
JP4726369B2 (ja) 1999-06-19 2011-07-20 エー・エス・エムジニテックコリア株式会社 化学蒸着反応炉及びこれを利用した薄膜形成方法
EP1965431A2 (en) 1999-06-22 2008-09-03 Matsushita Electric Industrial Co., Ltd. Heterojunction bipolar transistor and method for fabricating the same
KR100306812B1 (ko) * 1999-06-29 2001-11-01 박종섭 반도체 소자의 게이트 형성방법
JP2001015736A (ja) 1999-06-29 2001-01-19 Sony Corp 半導体装置の製造方法
JP3324573B2 (ja) * 1999-07-19 2002-09-17 日本電気株式会社 半導体装置の製造方法および製造装置
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
JP4192353B2 (ja) * 1999-09-21 2008-12-10 株式会社デンソー 炭化珪素半導体装置及びその製造方法
US6727169B1 (en) * 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR20010047128A (ko) 1999-11-18 2001-06-15 이경수 액체원료 기화방법 및 그에 사용되는 장치
US6373112B1 (en) * 1999-12-02 2002-04-16 Intel Corporation Polysilicon-germanium MOSFET gate electrodes
US6252284B1 (en) 1999-12-09 2001-06-26 International Business Machines Corporation Planarized silicon fin device
JP3925780B2 (ja) 1999-12-15 2007-06-06 エー・エス・エムジニテックコリア株式会社 触媒及び化学気相蒸着法を用いて銅配線及び薄膜を形成する方法
US6184128B1 (en) * 2000-01-31 2001-02-06 Advanced Micro Devices, Inc. Method using a thin resist mask for dual damascene stop layer etch
TW408653U (en) * 2000-02-03 2000-10-11 Hu Hou Fei Ratcheting tool
TW516100B (en) * 2000-03-23 2003-01-01 Matsushita Electric Ind Co Ltd Method for producing semiconductor crystal
US6348373B1 (en) * 2000-03-29 2002-02-19 Sharp Laboratories Of America, Inc. Method for improving electrical properties of high dielectric constant films
JP2001284340A (ja) * 2000-03-30 2001-10-12 Hitachi Kokusai Electric Inc 半導体製造装置および半導体装置の製造方法
WO2001078123A1 (en) 2000-04-11 2001-10-18 Genitech Co., Ltd. Method of forming metal interconnects
KR100363088B1 (ko) * 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6969875B2 (en) 2000-05-26 2005-11-29 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
US6342448B1 (en) * 2000-05-31 2002-01-29 Taiwan Semiconductor Manufacturing Company Method of fabricating barrier adhesion to low-k dielectric layers in a copper damascene process
EP2293322A1 (en) 2000-06-08 2011-03-09 Genitech, Inc. Method for forming a metal nitride layer
US6444512B1 (en) 2000-06-12 2002-09-03 Motorola, Inc. Dual metal gate transistors for CMOS process
US6252295B1 (en) * 2000-06-19 2001-06-26 International Business Machines Corporation Adhesion of silicon carbide films
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US6368954B1 (en) * 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
US6274463B1 (en) 2000-07-31 2001-08-14 Hewlett-Packard Company Fabrication of a photoconductive or a cathoconductive device using lateral solid overgrowth method
US20020011612A1 (en) 2000-07-31 2002-01-31 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US6403981B1 (en) * 2000-08-07 2002-06-11 Advanced Micro Devices, Inc. Double gate transistor having a silicon/germanium channel region
US6583015B2 (en) * 2000-08-07 2003-06-24 Amberwave Systems Corporation Gate technology for strained surface channel and strained buried channel MOSFET devices
JP4710187B2 (ja) 2000-08-30 2011-06-29 ソニー株式会社 多結晶シリコン層の成長方法および単結晶シリコン層のエピタキシャル成長方法
US6365479B1 (en) 2000-09-22 2002-04-02 Conexant Systems, Inc. Method for independent control of polycrystalline silicon-germanium in a silicon-germanium HBT and related structure
JP4044276B2 (ja) 2000-09-28 2008-02-06 株式会社東芝 半導体装置及びその製造方法
US6372559B1 (en) 2000-11-09 2002-04-16 International Business Machines Corporation Method for self-aligned vertical double-gate MOSFET
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US6444495B1 (en) 2001-01-11 2002-09-03 Honeywell International, Inc. Dielectric films for narrow gap-fill applications
US6583048B2 (en) * 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
EP1421607A2 (en) 2001-02-12 2004-05-26 ASM America, Inc. Improved process for deposition of semiconductor films
US7026219B2 (en) 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
US6482705B1 (en) * 2001-04-03 2002-11-19 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having a MOSFET with an amorphous SiGe gate electrode and an elevated crystalline SiGe source/drain structure and a device thereby formed
US6770134B2 (en) * 2001-05-24 2004-08-03 Applied Materials, Inc. Method for fabricating waveguides
US6905542B2 (en) * 2001-05-24 2005-06-14 Arkadii V. Samoilov Waveguides such as SiGeC waveguides and method of fabricating the same
KR20040008193A (ko) 2001-05-30 2004-01-28 에이에스엠 아메리카, 인코포레이티드 저온 로딩 및 소성
US6858196B2 (en) * 2001-07-19 2005-02-22 Asm America, Inc. Method and apparatus for chemical synthesis
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
JP2003068654A (ja) * 2001-08-27 2003-03-07 Hoya Corp 化合物単結晶の製造方法
DE10211312A1 (de) 2002-03-14 2003-10-02 Wacker Siltronic Halbleitermat Verfahren und Vorrichtung zur epitaktischen Beschichtung einer Halbleiterscheibe sowie epitaktisch beschichtete Halbleiterscheibe
US7307273B2 (en) * 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
US7335545B2 (en) * 2002-06-07 2008-02-26 Amberwave Systems Corporation Control of strain in device layers by prevention of relaxation
US7077388B2 (en) * 2002-07-19 2006-07-18 Asm America, Inc. Bubbler for substrate processing
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US7238595B2 (en) * 2003-03-13 2007-07-03 Asm America, Inc. Epitaxial semiconductor deposition methods and structures
US7005160B2 (en) 2003-04-24 2006-02-28 Asm America, Inc. Methods for depositing polycrystalline films with engineered grain structures
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US7601223B2 (en) 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7208362B2 (en) * 2003-06-25 2007-04-24 Texas Instruments Incorporated Transistor device containing carbon doped silicon in a recess next to MDD to create strain in channel
TWI270986B (en) * 2003-07-29 2007-01-11 Ind Tech Res Inst Strained SiC MOSFET
US7208427B2 (en) * 2003-08-18 2007-04-24 Advanced Technology Materials, Inc. Precursor compositions and processes for MOCVD of barrier materials in semiconductor manufacturing
US7156380B2 (en) * 2003-09-29 2007-01-02 Asm International, N.V. Safe liquid source containers
US7132338B2 (en) * 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
KR20070006852A (ko) 2004-04-23 2007-01-11 에이에스엠 아메리카, 인코포레이티드 인-시츄 도핑된 에피택셜 막
US7253084B2 (en) * 2004-09-03 2007-08-07 Asm America, Inc. Deposition from liquid sources
US7332439B2 (en) * 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7195985B2 (en) * 2005-01-04 2007-03-27 Intel Corporation CMOS transistor junction regions formed by a CVD etching and deposition sequence
US7235492B2 (en) * 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7438760B2 (en) 2005-02-04 2008-10-21 Asm America, Inc. Methods of making substitutionally carbon-doped crystalline Si-containing materials by chemical vapor deposition
US7396415B2 (en) 2005-06-02 2008-07-08 Asm America, Inc. Apparatus and methods for isolating chemical vapor reactions at a substrate surface
US8105908B2 (en) 2005-06-23 2012-01-31 Applied Materials, Inc. Methods for forming a transistor and modulating channel stress
JP2007188976A (ja) * 2006-01-11 2007-07-26 Shinko Electric Ind Co Ltd 発光装置の製造方法
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
JP2007319735A (ja) 2006-05-30 2007-12-13 Fuji Xerox Co Ltd マイクロリアクター装置及び微小流路の洗浄方法
US20080026149A1 (en) * 2006-05-31 2008-01-31 Asm America, Inc. Methods and systems for selectively depositing si-containing films using chloropolysilanes
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films

Also Published As

Publication number Publication date
JP2008098668A (ja) 2008-04-24
JP2011228724A (ja) 2011-11-10
EP1374290B1 (en) 2008-07-02
WO2002080244A3 (en) 2004-03-18
US20100012030A1 (en) 2010-01-21
EP1421607A2 (en) 2004-05-26
US8067297B2 (en) 2011-11-29
US7585752B2 (en) 2009-09-08
KR20030076677A (ko) 2003-09-26
KR20030076676A (ko) 2003-09-26
WO2002065516A3 (en) 2003-11-13
WO2002080244A9 (en) 2004-04-22
US7285500B2 (en) 2007-10-23
AU2002306436A1 (en) 2002-10-15
KR101050377B1 (ko) 2011-07-20
US20020168868A1 (en) 2002-11-14
EP1374291A2 (en) 2004-01-02
DE60227350D1 (de) 2008-08-14
WO2002065516A2 (en) 2002-08-22
US7547615B2 (en) 2009-06-16
DE60223662D1 (de) 2008-01-03
US20030068851A1 (en) 2003-04-10
US8360001B2 (en) 2013-01-29
US20050208740A1 (en) 2005-09-22
US6821825B2 (en) 2004-11-23
KR20080104391A (ko) 2008-12-02
WO2002065508A2 (en) 2002-08-22
DE60223662T2 (de) 2008-10-30
US6743738B2 (en) 2004-06-01
JP2004532511A (ja) 2004-10-21
US6958253B2 (en) 2005-10-25
US20020197831A1 (en) 2002-12-26
US20050064684A1 (en) 2005-03-24
WO2002064853A3 (en) 2003-11-20
US20020173113A1 (en) 2002-11-21
JP2008252104A (ja) 2008-10-16
KR100934169B1 (ko) 2009-12-29
US20080014725A1 (en) 2008-01-17
EP1374291B1 (en) 2007-11-21
US20030068869A1 (en) 2003-04-10
US20030022528A1 (en) 2003-01-30
US20070102790A1 (en) 2007-05-10
KR101027485B1 (ko) 2011-04-06
US20080073645A1 (en) 2008-03-27
KR20090052907A (ko) 2009-05-26
US7186582B2 (en) 2007-03-06
WO2002065517A2 (en) 2002-08-22
US20050250302A1 (en) 2005-11-10
KR20030076675A (ko) 2003-09-26
JP5134358B2 (ja) 2013-01-30
WO2002065508A3 (en) 2003-09-25
US7273799B2 (en) 2007-09-25
JP2004529496A (ja) 2004-09-24
WO2002080244A2 (en) 2002-10-10
US7893433B2 (en) 2011-02-22
JP2004525509A (ja) 2004-08-19
EP1374290A2 (en) 2004-01-02
US6962859B2 (en) 2005-11-08
WO2002065516A8 (en) 2004-07-08
WO2002065517A3 (en) 2003-10-30
US6716751B2 (en) 2004-04-06
JP2004523903A (ja) 2004-08-05
JP4224847B2 (ja) 2009-02-18
KR100870507B1 (ko) 2008-11-25
US6716713B2 (en) 2004-04-06
US20030082300A1 (en) 2003-05-01
ATE400060T1 (de) 2008-07-15
WO2002064853A2 (en) 2002-08-22
US6900115B2 (en) 2005-05-31
AU2002240403A1 (en) 2002-08-28
JP2005503000A (ja) 2005-01-27
US20050048745A1 (en) 2005-03-03
JP4866534B2 (ja) 2012-02-01

Similar Documents

Publication Publication Date Title
JP4417625B2 (ja) トリシランを用いる混合基板への成膜方法、および、ベース構造の製造方法
JP5571287B2 (ja) 化学気相成長によって置換的に炭素でドーピングされた結晶性Si含有材料を製造する方法
US7186630B2 (en) Deposition of amorphous silicon-containing films
TWI405248B (zh) 沉積摻雜碳之磊晶半導體層之方法、沉積半導體材料的方法與裝置及在反應腔室中之基板上形成電晶體設備之方法
US20120024223A1 (en) Thin films and methods of making them using cyclohexasilane
JP2009539264A (ja) クロロポリシランを用いてSi含有膜を選択的に堆積させる方法及びシステム
JP2018522412A (ja) 単結晶シリコン上でのエピタキシャル3C−SiCの成長
JP2004363510A (ja) 半導体基板の製造方法
EP1887617B1 (en) Deposition method over mixed substrates using trisilane
TW202344699A (zh) 單晶半導體膜之製造方法、單晶半導體膜之積層膜之製造方法以及半導體元件

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050117

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070829

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071128

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080319

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080617

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090204

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090604

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090615

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20090716

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20090807

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090807

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20091104

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20091126

R150 Certificate of patent or registration of utility model

Ref document number: 4417625

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121204

Year of fee payment: 3

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20091209

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20091209

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121204

Year of fee payment: 3

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D04

A072 Dismissal of procedure [no reply to invitation to correct request for examination]

Free format text: JAPANESE INTERMEDIATE CODE: A072

Effective date: 20100413

A072 Dismissal of procedure [no reply to invitation to correct request for examination]

Free format text: JAPANESE INTERMEDIATE CODE: A072

Effective date: 20100414

A072 Dismissal of procedure [no reply to invitation to correct request for examination]

Free format text: JAPANESE INTERMEDIATE CODE: A072

Effective date: 20100420

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121204

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131204

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S802 Written request for registration of partial abandonment of right

Free format text: JAPANESE INTERMEDIATE CODE: R311802

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term