KR100870507B1 - 트리실란을 사용한, 혼합 기판상의 증착 - Google Patents

트리실란을 사용한, 혼합 기판상의 증착 Download PDF

Info

Publication number
KR100870507B1
KR100870507B1 KR1020037010624A KR20037010624A KR100870507B1 KR 100870507 B1 KR100870507 B1 KR 100870507B1 KR 1020037010624 A KR1020037010624 A KR 1020037010624A KR 20037010624 A KR20037010624 A KR 20037010624A KR 100870507 B1 KR100870507 B1 KR 100870507B1
Authority
KR
South Korea
Prior art keywords
silicon
thin film
trisilane
containing thin
deposition
Prior art date
Application number
KR1020037010624A
Other languages
English (en)
Other versions
KR20030076677A (ko
Inventor
마이클에이. 토드
Original Assignee
에이에스엠 아메리카, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아메리카, 인코포레이티드 filed Critical 에이에스엠 아메리카, 인코포레이티드
Publication of KR20030076677A publication Critical patent/KR20030076677A/ko
Application granted granted Critical
Publication of KR100870507B1 publication Critical patent/KR100870507B1/ko

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02422Non-crystalline insulating materials, e.g. glass, polymers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/02428Structure
    • H01L21/0243Surface structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/0251Graded layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02595Microstructure polycrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02598Microstructure monocrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • H01L21/2257Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides the applied layer being silicon or silicide or SIPOS, e.g. polysilicon, porous silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28525Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising semiconducting material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/84Electrodes with an enlarged surface, e.g. formed by texturisation being a rough surface, e.g. using hemispherical grains
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/122Single quantum well structures
    • H01L29/127Quantum box structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66083Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices
    • H01L29/66181Conductor-insulator-semiconductor capacitors, e.g. trench capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66234Bipolar junction transistors [BJT]
    • H01L29/66242Heterojunction transistors [HBT]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic Table
    • H01L31/182Special manufacturing methods for polycrystalline Si, e.g. Si ribbon, poly Si ingots, thin films of polycrystalline Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/20Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof such devices or parts thereof comprising amorphous semiconductor materials
    • H01L31/202Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof such devices or parts thereof comprising amorphous semiconductor materials including only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/546Polycrystalline silicon PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/547Monocrystalline silicon PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/933Germanium or silicon or Ge-Si on III-V

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Nanotechnology (AREA)
  • Electromagnetism (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Composite Materials (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)
  • Led Devices (AREA)
  • Physical Vapour Deposition (AREA)
  • Separation Using Semi-Permeable Membranes (AREA)
  • Bipolar Transistors (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

혼합 기판상에 실리콘 함유 박막을 증착하는 화학기상증착에 트리실란이 사용된다. 이와 같은 방법은 반도체 제조에 있어 유용하여 다양한 장점을 제공하는데, 혼성(heterogeneous) 표면 상의 균일한 증착, 높은 증착률, 더 높은 제조 생산성이 장점에 포함된다. 단결정 반도체 표면과 비정질 절연 영역에 동시 증착을 포함하는, 이질접합 양극 트랜지스터의 베이스 영역을 형성하는데 있어서의 일 실시예이다.
화학기상증착, 혼합기판, 트리실란, 실리콘 함유 박막.

Description

트리실란을 사용한, 혼합 기판상의 증착{DEPOSITION OVER MIXED SUBSTRATES USING TRISILANE}
본 발명은 실리콘 함유 물질의 증착에 관한 것으로, 더욱 상세하게는 실리콘 함유 박막의 혼합 기판상 화학기상증착에 관한 것이다.
표면상에 물질들을 증착하기 위한 다양한 방법들이 반도체 제조 산업에서 사용되었다. 예를 들어, 가장 광범위하게 사용되는 방법 중 하나가 화학기상증착("CVD")으로서, 이에 의해 기상으로 함유된 원자나 분자들이 기판 상에 증착되어 박막이 형성된다. 종래의 실리콘 소스들과 증착방법을 사용하는 실리콘 함유(Si-containing) 물질들의 증착은 복수의 독립적인 단계에서 이루어지는 것으로 생각되었다(뉴욕 맥그로우힐 출판사(2000)에서 출간된 Peter Van Zant의 "마이크로칩 제조" 4판 p364-365 참조). 첫 단계인 핵생성(nucleation)은 매우 중요하며, 기판 표면의 성질과 품질에 크게 영향을 받는다. 핵생성은 상기 처음의 몇몇 원자나 분자들이 상기 기판상에 증착되어 핵을 형성하면서 일어난다. 제 2 단계 동안에는, 상기 격리된 핵이 작은 섬을 형성하고 이것이 더 큰 섬으로 성장한다. 제 3 단계에서는, 상기 성장하는 섬들이 응집되어 연속적인 막으로 된다. 이 때, 상기 막은 보통 수백 옹스트롬의 두께를 가지며 이것은 "전이(transition)" 막으로 알려져 있다. 일반적으로, 상기 전이 막은 상기 전이 막이 형성된 후에 성장하기 시작하는 더 두꺼운 벌크 막과 구별되는 화학적 및 물리적 특성을 갖는다.
통상, 증착 공정들은 특정 타입, 예컨대 에피택셜, 다결정 또는 비정질의 형태구조(morphology)를 갖는 벌크 막을 제조할 수 있도록 설계된다. 종래의 실리콘 소스와 증착공정을 사용하면, 핵생성이 매우 중요하며, 이것은 기판의 품질에 의존하다. 예컨대, 산화물이 제거되지 않은 섬을 갖는 웨이퍼 상에 단결정 박막을 성장시키려는 시도는 상기 벌크 박막내의 폴리실리콘(polysilicon) 영역을 만들게 된다. 이 핵생성의 발생 때문에, 종래의 실리콘 소스과 증착방법을 사용하는 둘 이상의 상이한 타입의 표면을 갖는 기판상에 물리적 성질이 유사한, 실리콘 함유 물질들의 얇은 박막을 증착하는 것은 종종 문제가 있다.
예컨대, 실리콘 테트라클로라이드(SiCl4), 실란(SiH4)과 디클로로실란(SiH2Cl2)이 실리콘 함유 박막 증착을 위해 반도체 제조 산업에서 가장 광범위하게 사용되는 실리콘 소스이다(뉴욕 맥그로우힐 출판사(2000)에서 출간된 Peter Van Zant의 "마이크로칩 제조" 4판 p380-382를 참조). 하지만, 일반적으로 이 종래의 실리콘 소스를 사용하는 증착은 단결정 실리콘과 실리콘 산화물 양자를 포함하는 표면과 같은 혼합 기판상에서는 제어가 어렵다. 이것은 결과로 생긴 실리콘 함유 박막의 형태구조와 두께가 아래에 놓인 기판의 증착온도와 형태구조에 모두 의존하기 때문이다. 전체 반응장치 압력, 반응종의 분압, 반응종의 유량을 포함하는 다른 증착 변수들도 혼합 기판상 증착의 특성에 강하게 영향을 미칠 수 있다.
예를 들어, 도 1a는 노출된 실리콘 이산화물("산화물") 표면(110)과 노출된 단결정 실리콘 표면(120)을 갖는 기판(100)의 단면을 개략적으로 도시한다. 도 1b와 도 1c는 상기 기판(100)에 실리콘 박막을 증착하기 위해, 화학기상증착 공정에서 실란을 사용하여 얻은 결과를 개략적으로 도시한다. 약 625℃ 이하의 온도에서, 증착 조건은 적은 결함을 갖는 에피택셜 실리콘 박막(130)이 상기 에피택셜 표면(120)상에 증착되도록 선택될 수 있다. 하지만 이와 같은 조건하에서는 상기 산화물 표면(110)상에 박막 증착이 안되거나(도 1b), 질이 낮은 박막(140)(도 1c)이 증착될 수 있다. 이러한 박막 형성의 차이는 실리콘 소스로서 실란이 사용되었을 때, 두 표면 상의 핵생성률 차이의 결과인 것으로 여겨진다. 종래의 실리콘 전구체들은 실리콘 산화물와 같은 유전체 상에 문헌 등에 의해 충분히 입증된 바와 같이 열악한 핵생성을 보여준다. 드문드문한 핵생성 사이트들이 상기 산화물상에 수렴될 때까지, 인접한 비유전체 영역상의 증착은 상당히 진척된다. 또한, 증착은 상기 유전체 상에서는 거친 경향이 있는데, 이것은 광범위하게 분포된 핵생성 사이트들에 의해 증착이 이루어지는 반면에 이들 사이의 영역들은 증착이 이루어지지 않기 때문이다. 종종, 예시된 바와 같이 "선택적" 에피택셜 증착이 요구된다(도 1b). 다른 경우에는, 상기 산화물 표면(110)상에 더 우수한 증착이 요구되는데, 예컨대 후에 상기 에피택셜 영역에 대한 콘택을 용이하게 하기 위하여 필요하다.
이론상으로는, 상기 증착 변수들은 상기 산화물 표면 상의 박막 형성을 개선하도록 조절될 수 있다. 하지만 실제로, 거의 이루어지지 않는데, 이것은 이와 같은 조절이 원하는 에피택셜 박막의 질에 부정적인 영향을 미치기 때문이다. 많은 경우에, 제조된 반도체 소자의 원하는 성능 상의 특성들은 상기 에피택셜 표면 상에 증착될 실리콘 함유 막의 두께, 형태구조, 증착 온도를 및 허용치의 증착 속도를 결정한다. 반대로, 요구되는 두께와 형태구조가 박막의 증착 조건을 결정한다. 이것은 특히, 단결정 실리콘 기판상에서 변형된(strained) 헤테로에피택셜 박막에서 그러하다. 따라서, 제조자들은 상기 산화물 표면상의 상기 박막의 특징을 바꾸기 위한 조건을 그리 자유롭게 조절할 수 없다. 유사한 문제들이 다른 혼합 기판을 사용하는 경우에도 발생할 수 있다.
과거에는, 제조자들이 선택적 증착을 사용하거나 부가적인 마스킹 및/또는 공정 단계들을 사용하여 이와 같은 문제를 해결했었다. 예를 들어, 미국 특허 제6,235,568호는 p-타입과 n-타입의 실리콘 표면상에 동시에 하나의 실리콘 박막을 선택적으로 증착하는 것은 현재로서는 불가능하다고 언급하고 있다. 미국 특허 제6,235,568호는 증착전처리로서 저에너지 블랭킷 이온 주입(blanket implantation) 단계를 수행함으로써 이 문제에 대한 해결책을 제시하고 있다. 이 부가적 단계의 명시적 목적은 상기 표면을 후속하는 증착 공정에 대해 동일하도록 만들기 위한 것이라고 언급되어 있다.
하지만, 부가적 공정 단계는 일반적으로 이 단계들이 비용 및 오염을 증가시키고, 또는 복잡하게 하기 때문에 바람직하지 않다. 혼합 기판상에 우수한 혼합 형태구조의 실리콘 함유 박막을 증착하는 것은 오랫동안 충족되지 않은 필요성을 충족하는 것이며 반도체 산업 분야의 중요한 진일보이다.
다양한 기판 위에 고품질의 실리콘 함유 박막을 증착하기 위해 트리실란을 사용하는 방법들이 발견되었다. 발명의 일 측면에 따르면, 챔버 내에 배치된, 제 1 표면 형태구조(morphology)를 갖는 제 1 표면과 상기 제 1 표면 형태구조와 상이한 제 2 표면 형태구조를 갖는 제 2 표면을 포함하는 기판을 마련하는 단계와; 화학기상증착 조건 하에서, 트리실란을 상기 챔버로 유입하는 단계와;
실리콘 함유 박막을 상기 기판상의 상기 제 1 표면과 상기 제 2 표면 위에 증착하는 단계를 포함하는 증착방법이 제공된다.
발명의 다른 측면에 따르면, 화학기상증착 조건하에, 트리실란을 혼합 기판 표면으로 상기 혼합 기판 표면 1cm2당 최소 약 0.001mg/min의 전달률로 전달하는 단계와; 실리콘 함유 물질을 상기 혼합 기판 표면상에 약 분당 10Å 이상의 속도(rate)로 증착하는 단계를 포함하는 고율의 증착방법이 제공된다.
발명의 또 다른 측면에 따르면, 이질접합 양극 트랜지스터(HBT)용 베이스 구조 생산 방법에 있어서, 활성 영역(active area)과 절연체를 포함하는 기판 표면을 마련하는 단계와; 실리콘 함유 박막을 상기 기판상의 상기 활성 영역과 상기 절연체 각각에 직접 증착하기에 효과적인 조건하에서, 트리실란을 상기 기판 표면에 공급하는 단계를 포함하는 방법이 제공된다.
발명의 또 다른 측면에 따르면, 반도체 장치 제조 공정 단계의 숫자를 줄이기 위한 방법에 있어서, (a)제 1 실리콘 함유 박막을 비에피택셜 표면상에 제 1 실리콘 소스를 사용하여 증착하는 단계와 (b) 별도 단계로서, 제 2 실리콘 함유 박막을 단결정 표면상에 제 2 실리콘 소스를 사용하여 증착하는 단계를 포함하는 반도체 장치 제조 공정을 확인(identify)하는 단계와; 상기 제 1 실리콘 소스과 상기 제 2 실리콘 소스를 트리실란으로 대체하고 제 3 실리콘 함유 박막을 상기 에피택셜 표면과 상기 비에피택셜 표면에 동일 단계에서 동시에 증착하므로써 반도체 장치 제조 공정을 수정하는 단계를 포함하고, 상기 제 1 실리콘 소스과 상기 제 2 실리콘 소스는 각각 개별적으로, 실란,디실란, 디클로로실란, 트리클로로실란, 실리콘 테트라클로라이드를 포함하는 그룹에서 선택되는 것을 특징으로 하는 방법이 제공된다.
발명의 이런 측면들과 또 다른 측면들은 바람직한 실시예들의 견지에서 보다 더 잘 이해될 것이며, 이하에서 보다 상세히 설명될 것이다.
아래 핵생성(nucleation)현상에 대해 훨씬 덜 민감한 증착 공정들이 발견 되었다. 이 공정들은 트리실란(H3SiSiH2SiH3)을 채용하여, 혼합(mixed) 기판상에 고품질의 실리콘 함유 박막 증착을 가능케한다. 도 2a는 이와 같은 증착 공정에서 얻어지는 바람직한 구조(200)를 개략적으로 도시한다. 도 1b와 비교했을 때, 트리실란을 사용하면 두가지 타입의 기판 표면(단결정의, 반도체 표면(220)과 유전체 표면(230))상에 실리콘 함유 박막(210)의 성공적 증착이 이루어질 수 있으며, 이 때 에피택셜 결정의 품질(quality)이 유지되며, 전체 증착 두께의 근사한 매치(close match)가 달성된다. 도 2a와 도 2b는 이하에서 더 상세히 설명된다.
본 명세서에서 사용된 바와 같이, "혼합 기판(mixed substrate)"은 2 이상의 상이한 타입의 표면을 갖는 기판이다. 표면들이 서로 상이할 수 있는 방법은 여러가지가 있다. 예를 들어, 표면들은 구리나 실리콘과 같은 서로 상이한 성분, 또는 구리나 알루미늄과 같은 서로 상이한 금속, 실리콘이나 실리콘 이산화물처럼 서로 상이한 실리콘 함유 재료로 만들어질 수 있다. 재료가 동일한 성분으로 만들어져도 표면의 형태구조(morphology)가 다르면 표면이 상이할 수 있다. 표면의 전기적 성질이 서로 다른 경우도 상기 표면들이 서로 다른 것으로 여겨질 수 있다. 도시된 실시예들에서, 실리콘 함유층들은 도전성의 반도체 재료와 유전체 상에 동시에 형성된다. 유전체 원료의 예로는 실리콘 이산화물, 실리콘 질화물, 금속 산화물(metal oxide)과 금속 규산화물(metal silicate)이 포함된다.
본 명세서에서 개시된 공정들은 다양한 혼합 기판상에 실리콘 함유 박막을 증착하는데 유용하며, 특히 혼합된 표면 형태구조를 갖는 기판의 경우에 유용하다. 이와 같은 혼합 기판들은 제 1 표면 형태구조를 가진 제 1 표면과 제 2 표면 형태구조를 가진 제 2 표면을 포함한다. 이런 맥락에서, "표면 형태구조(surface morphology)"는 기판 표면의 결정구조를 의미한다. 비정질(amorphous)과 결정질(crystaline)은 상이한 형태구조의 예이다. 다결정의 형태구조는 규칙적인 결정들이 무질서하게 배열되어 구성된 결정구조이며, 따라서 중간 정도의 질서도를 갖는다. 다결정 물질 내의 원자들은 각 결정 내에 장거리질서도(long range order)를 갖지만, 결정 그 자체는 결정 상호간을 기준으로 할 때, 장거리질서가 결여되어 있다. 단결정 형태구조(single crystal morphology)는 높은 정도의 질서도를 갖는 결정구조이다. 에피택셜 박막은 결정구조 및 성막되는 기판의 배향과 동일한 배향성(orientation)이란 특징을 갖는다. 이런 물질들 내에서 원자들은 (원자 스케일 에서) 비교적 긴 거리에서 지속되는 격자와 같은 구조로 배열된다. 비정질의 형태구조는 원자들이 명확한 주기적 배열을 결여하고 있기 때문에, 낮은 정도의 질서도를 갖는 비결정질 구조이다. 다른 형태구조들로서, 마이크로결정질(microcrystaline), 비정질과 결정 물질의 혼합물 등이 포함될 수 있다.
혼합 기판의 특정 예들이 도 1a(위에서 전술된)와 도 3a에 도시된다. 도 3a는 반도체 기판(320)상에 필드 격리영역들(field isolation regions, 310)을 갖는기판(300)을 도시한다. 상기 반도체 기판(320)은 단결정 웨이퍼(또는, 이와 같은 웨이퍼 위에 증착된 에피택셜 실리콘 층)이고, 상기 격리영역(310)은 실리콘 이산화물(dioxide)인 것이 바람직하다. 상기 도시된 실시예에서, 상기 기판(300)은 비정질의 표면 형태구조의 반도체 활성영역(active area, 340)을 갖는 제 1 기판 표면과 비정질의 표면 형태구조의 제 2 기판 표면(330)을 포함하다. 상기 실리콘 활성영역(340)과 상기 격리영역(330)의 표면은 형태구조학적으로 상이하며(결정질 대 비정질), 전기 전도성도 상이하다(도체 대 절연체). 당업자들은 이와 같은 구조(300)를 만들기 위해 다양한 방법들을 알고 있을 것이다. 이 방법들에는 실리콘의 국부 산화(silicon local oxidation, LOCOS), 트렌치 격리 공정(trench isolation process)이 포함될 수 있다(Peter Van Zant의 " 마이크로칩 제조" 4판, 뉴욕 맥르로우힐 출판사(2000), pp. 522-526을 참조).
본 명세서에 교시된 CVD 조건하에서, 혼합 기판 표면으로의 트리실란의 전달에 의해 실리콘 함유 박막이 형성된다. 바람직하게는, 트리실란의 혼합, 또는 패턴이 형성된 기판 표면으로의 전달은 내부에 혼합 기판이 배치되는 적절한 챔버로 상기 트리실린을 유입하는 것에 의해 수행될 수 있다. 상기 챔버 안에 CVD 조건을 설정하고 트리실란을 상기 혼합 기판 표면에 공급함으로써, 고품질의 실리콘 함유 박막이 다양한 타입의 표면을 갖는 상기 혼합 기판위에 증착될 수 있다. 당업자에게 알려진 다양한 CVD 방법에 의해 증착이 적절히 이루어질 수 있으나, 여기에서 교시되는 CVD 방법에 의해 증착이 이루어진 경우에 최대의 이점을 달성할 수 있다. 개시된 방법들은 플라즈마-강화 CVD(PECVD)나 열적 CVD를 포함하는 CVD를 채용하고 CVD 챔버 내에 수용된 혼합 기판상에 실리콘 함유 박막을 증착하기 위해 상기의 트리실란을 사용하여 적절히 행해질 수 있다. 열적 CVD가 바람직하다.
열적 CVD는 약 400℃ 이상의 기판 온도에서 이루어지는 것이 바람직하며, 더 바람직한 것은 약 450℃ 이상이고, 약 500℃ 이상이면 더욱 바람직하다. 약 750℃ 이하에서 증착이 이루어지면 바람직하고, 약 725℃ 이하면 더욱 바람직하며, 가장 바람직한 것은 약 700℃ 이하이다. 상기 기판은 기술분야에서 알려진 다양한 방법으로 가열될 수 있다. 당업자라면 예컨대 열적 부담(thermal budget), 증착률 등의 보존과 같은 실제 제조 현실을 고려하여 이 온도를 조절할 수 있다. 따라서, 바람직한 증착 온도는 원하는 목적에 의존하나, 전형적으로는 약 400℃에서 약 750℃ 범위내이며, 약 425℃에서 약 725℃가 바람직하며, 약 450℃에서 약 700℃가 더 바람직하다.
트리실란은 상기 챔버에 기체 형태나 공급가스(feed gas)의 구성요소의 형태로 유입되는 것이 바람직하다. 상기 CVD 챔버 내의 전체압은 약 0.001 torr에서 약 1000 torr 범위 내인 것이 바람직하며, 약 0.1 torr에서 약 850 torr 범위 내인 것이 더 바람직하고, 가장 바람직한 것은 약 1 torr에서 약 760 torr 범위 내이다. 트리실란의 분압은 전체압의 약 0.0001%에서 약 100%인 것이 바람직하며, 전체압의 약 0.001%에서 약 50% 범위내인 것이 더 바람직하다. 상기 공급가스는 비활성의 운반기체와 같은, 트리실란 외의 가스나 가스들을 포함할 수 있다. 수소와 질소이 여기에 설명된 방법을 위한 적합한 운반기체들이다. 트리실란 증기를 운반하는 운반기체와 함께 사용되는 버블러에 의해, 더 바람직하기는 온도 제어되는 버블러에 의해 트리실란이 상기 챔버로 인입되는 것이 바람직하다.
공급가스(들)을 상기 CVD 챔버로 공급하기 위해 적절한 매니폴드(manifold)가 사용될 수 있다. 도시된 실시예들에서는, 상기 CVD 챔버내로 기체가 수평적으로 인입되는데, 상기 챔버가 단일 웨이퍼, 단일 흐름(pass), 층류 수평형 기체 인입 반응장치(larminar horizontal gas flow reactor)인 것이 가장 바람직하며, 바람직하기는 방사상으로 가열되는 것이다. 이 타입의 적절한 반응장치들은 상용화되어 있으며, 바람직한 모델은 아리조나주 피닉스의 ASM America사의 상용화된 EpsilonTM 단일 웨이퍼 시리즈이다. 여기에 설명된 방법들은 샤워헤드(showerhead) 배열과 같은 대용의 반응장치에도 채용될 수 있지만, 균일성과 증착률이 증가된 이점은 수평의, EpsilonTM 챔버의 단일 패스의 층류형 가스 유입 장치, 즉 회전 기판을 채용하는 장치에서 특히 뚜렷한데, 특히 공정 가스의 체재 시간이 짧은 경우 그러하다. CVD는 (인시츄로 또는 원격 플라즈마 발생기의 하류에서) 프리즈마 생성물을 상기 챔버로 인입시킴으로써 실행되지만, 열적 CVD가 바람직하다.
상기 공급가스는 실리콘 함유 박막을 도핑하거나 합금하기에 유용한, 당업자에게 알려진 다른 물질들도 원하는 바에 따라 포함할 수 있다. 상기 가스는 게르마늄 소스(source), 탄소 소스, 보론 소스, 갈륨 소스, 인듐 소스, 비소 소스, 인 소스, 안티몬 소스, 니트로겐 소스과 산소 소스를 포함하는 그룹 중에서 선택된 하나 이상의 화합물을 더 포함하는 것이 바람직하다. 이와 같은 소스의 특정 예들은: 실리콘 소스로서 실란, 디실란과 테트라실란을, 게르마늄 소스로서 디게르만과 트리게르만을, 니트로겐 소스로서 NF3, 암모니아, 히드라진과 질소 원자를, 탄소 소스로서 메탄, 에탄, 프로판등과 같은 다양한 하이드로카본을, 카본과 실리콘 소스 양자의 공급 소스로서 모노실릴메탄, 디실릴메탄, 트리실릴메탄과 테트라실릴메탄을, 질소과 산소 양자의 공급 소스로서 N2O NO2를, 안티몬, 아르세닉, 보론, 갈륨, 인듐과 인과 같은 도펀트들을 도펀트 전구체 소스로서 포함한다.
트리실란을 사용하는 CVD에 의해 실리콘 함유 박막 안으로 도펀트를 편입하는 것은 도펀트 전구체를 사용하여 인시츄로 도핑하는 것이 함께 이루어질 수 있다. 전기적 도펀트를 위한 전구체는 디보란, 중수소화된 디보란, 인, 비소 증기와 아르신을 포함한다. 실릴포스핀(silylphospine)[(H3Si)3-XPRX]과 실릴아르신(silylarsine)[(H3Si)3-XAsRX] (여기서 x는 0내지 2이며, RX=H와/혹은D)이 인과 비소의 바람직한 도펀트 소스가다. SbH3와 트리메틸인듐은 각각 안티몬과 인듐의 바람직한 공급 소스가다. 이와 같은 도펀트 전구체들은 이하에 기술된 바람직한 박막의 마련에 유용하며, 특히 보론, 인, 안티몬, 인듐과 비소가 도핑된 실리콘, SiC, SiGe, SiGeC 박막과 합금에 유용하다. 여기에 사용되는 바, "SiC", "SiGe"와 "SiGeC"는 상기 화학식이 가리키는 성분들이 다양한 비율로 포함되는 물질를 대표한다. 예를 들어, SiGe는 실리콘, 게르마늄과 예컨대 도펀트와 같은 임의의 다른 성분을 포함하는 물질이다. SiC, SiGe, SiGeC는 화학 스토이키오메트릭한(stoichiometric)한 화학식이 아니며, 따라서 상기 화학식이 가리키는 성분이 특정 비율로 함유된 물질에 한정되지 않는다.
공급가스 내의 도펀트 전구체의 상기 양은 상기 실리콘 함유 박막 안의 도펀트의 원하는 레벨을 공급하도록 조절된다. 상기 결과로 생긴 박막 내의 원하는 성질을 얻기 위해서는 그 양이 더 많거나 적은 것이 때때로 더 바람직함에도 불구하고, 상기 공급가스 내의 전형적인 농도는, 전체 공급가스의 무게를 토대로 한 무게 비로 계산했을 때, 약 1ppb에서 약 1%이다. 상기 바람직한 EpsilonTM의 단일-웨이퍼 시리즈 반응장치에서는, 운반기체 내의 희석된 도펀트 전구체의 혼합물이 원하는 도펀트 농도 및 도펀트 기체 농도에 따라 약 10에서 약 200 범위의 설정치를 갖는 유량 제어기를 통해 전달될 수 있다. 희석된 혼합물은 트리실란이나 어떤 적절한 운반기체와 혼합되어 더 희석되는 것이 바람직하다. 상기 바람직한 EpsilonTM 시리즈 반응장치에서의 증착을 위한 전형적인 전체 전달률은 약 20 slm에서 약 180 slm이며, 이와 같은 방법으로 사용되는 도펀트 전구체의 농도는 전체 유량에 비하여 낮다.
여기의 실리콘 함유 박막의 증착은 약 분당 5Å 이상의 속도(rate)로 이루어지는 것이 바람직하며, 약 분당 10Å 이상의 속도인 것이 더 바람직하고, 약 분당 20Å 이상의 속도인 것이 가장 바람직하다. 바람직한 일 실시예가 트리실란이 트리실란이 혼합 기판 표면에 기판 표면 1cm2당 최소 약 0.001 mg/min의 전달률로 전달되는 고율의 증착방법을 제공하며, 더 바람직한 것은 기판 표면 1cm2당 최소 약 0.003 mg/min의 전달률이다. CVD 조건하에서 바람직하게는 약 450℃에서 약 700℃ 범위의 온도에서, 이 실시예를 실행하면 실리콘 함유 물질(다른 실리콘 소스과 비교했을 때)이 비교적 빨리 증착되는데, 이 때 약 분당 10Å 이상의 속도면 바람직하고, 약 분당 25Å 이상의 속도면 더 바람직하고, 약 분당 50Å 이상의 속도가 가장 바람직하다. 게르마늄 소스도 트리실란과 함께 상기 표면으로 전달되어 실리콘 함유 물질로서 SiGe 함유 물질을 증착하는 것이 바람직하다.
바람직한 일 실시예에서, 혼합-형태구조의 실리콘 함유 박막이 상기 혼합 기판 위에 증착된다. "혼합-형태구조"의 박막은 본 명세서에서 쓰인 바에 의하며, 상기 기판의 다른 수평 영역에 둘 이상의 상이한 형태 구조를 포함하는 박막이다. 도 2a는 이와 같은 혼합 형태구조 실리콘 박막(210)을 도시한다. 상기 박막은 비정질의 산화물 표면상에 증착된 비에피택셜 영역(240)와 단결정 표면 상에 증착된 에피택셜 영역(260)을 포함한다. 상기 도시된 실시예에서, 상기 박막(210)은 상기 산화물 표면(230)과 상기 단결정 표면(220)의 경계에서 증착된 경계 영역(250)을 포함한다.
상기 혼합-형태구조 박막의 형태구조는 증착 온도, 압력, 반응물 분압(들)과 반응물 유량 및 하지 기판의 표면 형태구조에 의존한다. 트리실란을 사용하면, 단결정 박막 형성이 가능한 실리콘 함유 물질은 적절히 마련된 단결정 표면을 형성하는 경향이 있으며, 그에 반해 비단결정 박막은 비단결정질 표면을 형성하는 경향이 있다. 슈도모픽(psuedomorphic) 구조 형성이 가능한 실리콘 함유 물질의 경우, 하지의 단결정 표면은, 예를 들면 인시츄 세정 및/또는 수소 베이크 단계 이후에 산화물 층을 엑스시츄(ex-situ) 습식하는 것에 의해 적절히 처리되면, 에피택셜 박막 형성이 선호된다. 이와 같은 취급 방법은 당업자에게 공지되어 있다(Peter Van Zant의 "마이크로칩 제조" 4판, 뉴욕 맥그로우힐 출판사(2000), p385를 참조). 다결정질과 비정질 박막 형성은 비정질과 다결정질의 표면상에서 선호되고 에피택셜 박막 성장이 가능하도록 처리되지 않은 단결정 표면 위에서도 선호된다. 비정질의 박막 형성은 낮은 온도에서 비정질과 다결정의 기판 표면상에서 선호되는데, 이에 반해 다결정질의 박막은 비교적 높은 온도에서 비정질과 다결정 표면상에 형성되는 경향이 있다.
도 2a에 도시된 상기 실시예에서, 상기 단결정 표면(220)은 자연 산화물을 제거하기 위하여 HF 산으로 에칭하고 초순수(ultra-pure water)로 린스하고 극도로 순수한 비활성 기체하에서 건조하며 극도로 순수한 수소 가스 유출하에 베이킹하여 에피택셜 증착을 위해 미리 마련되었다(도 2A에 미도시). 상기 단결정 표면(220)에 에피택셜 증착에 적합한 활성 영역을 마련한 후, 상기 혼합 형태구조의 실리콘 박막(210)은 트리실란을 상기 단결정 표면(220)과 비정질 표면(230)으로 전달함으로써 약 575℃에서 증착된다. 이 증착온도에서, 에피택셜 영역(260)은 상기 단결정 표면(220)상에 증착되며, 비정질의 실리콘 영역(240)은 상기 비정질의 표면(230)상에 증착된다. 상기 표면들(220, 230)이 개략적으로 동일 평면 상에 있는 것으로 도시되어 있으나, 개시된 증착 방법들은 혼합 기판의 서로 다른 표면들이 동일 평면 상에 있지 아니한 경우에도 적용될 수 있다.
트리실란은 일정 주기의 시간 동안, 원하는 두께를 갖는 실리콘 함유 박막 형성에 효과적인 전달률로 상기 혼합 기판 표면에 전달되는 것이 바람직하다. 특정 표면상의 박막 두께는 목적에 따라, 약 10Å에서 약 10 micron 이상의 범위를 가질 수 있다. 어떤 특정 표면상의 상기 실리콘 함유 박막의 두께는 약 50Å에서 약 5000Å의 범위인 것이 바람직하며, 약 250Å에서 약 2500Å인 것이 더 바람직하다.
제 1 표면 형태구조를 갖는 제 1 표면과 제 2 표면 형태구조를 갖는 제 2 표면을 포함하는 혼합 기판에 있어서. 이 혼합 기판 위에 증착되는 상기 실리콘 함유 박막은 상기 제 1 표면에서는 두께 T1을, 상기 제 2 표면에서는 T2를 가지며, 이들 T1:T2는 약 10:1에서 약 1:10의 범위인 것이 바람직하며, 더 바람직한 것은 약 5:1에서 약 1:5이며, 약 2:1에서 약 1:2면 더욱 바람직하고, 가장 바람직한 것은 약 1.3:1에서 약 1:1.3이다. 여기서 설명된 CVD 조건하에서의 트리실란 증착은 증착 시간에 대략 비례하며 아래에 놓인 표면의 형태구조에는 비교적 독립적인 실리콘 함유 박막을 생산하는 경향이 있다. 더욱 상세하게는, 트리실란은 종래의 실리콘 전구체와 비교할 때, 빠른 핵생성 및 유전체 표면상의 매끄러운 박막 형성을 가능케한다. 도 6과 7을 이하에서 논의되는 도 8과 9와 비교해보라. 따라서, 바람직한 증착 조건하에서, 상기 핵생성 시간은 매우 다양한 표면상에서도 매우 짧은 경향이 있고 T1:T2는 약 1:1인 것이 바람직하다.
바람직한 일 실시예에서, 상기 실리콘 함유 박막은 약 1000Å 이하의 두께를 갖는 버퍼(buffer) 층으로, 약 10Å에서 약 500Å 범위인 것이 바람직하며, 약 50Å에서 약 300Å 범위인 것이 더 바람직하다. 이런 맥락에서, "버퍼층"은 연속적인 층의 증착을 촉진하는 목적, 또는 아래에 놓이는 층을 보호하려는 목적을 위해 기판상에 증착된다. 상기 버퍼층이 핵생성 촉진 목적을 위해 사용되면, 이것은 핵생성 층으로도 불리운다. 상기에 설명된 두께 범위는 예컨대, 결정질과 비정질의 표면 양자의 전체 혼합 기판 위의 증착을 의미한다.
예를 들어, 도 2b의 상기 실리콘 함유 박막(210)은 이것이 위에 놓인 박막의 계속적인 증착을 촉진하는 바, 버퍼층이다. 상기 도시된 실시예에서, 상기 박막(280)은 SiGe나 SiGeC와 같은 실리콘 게르마늄("SiGe") 함유 물질이다. 상기 위에 놓이는 박막(280)은 에피택셜 영역(260)상에는 에피택셜 형태구조를, 비단결정 영역(240)상에는 비단결정 형태구조를 갖는 혼합 형태구조 박막인 것이 바람직하다.
도 2b의 상기 버퍼층(210)을 설명하고자, (도 2a에 도시된 바와 같이, 단결정 실리콘 표면(220)과 비정질의 산화물 표면(230)을 갖는) 상기 혼합 기판상의 상기 위에 놓인 박막(280)의 증착은 문제가 있다고 가정한다. 왜냐하면 약 650℃ 이하의 온도에서는( 예를 들어, 도 1B와 상기 논의를 보라) 결함(defectivity)이 적은, 상기 단결정 표면(220)상의 단결정 성장을 촉진하는 조건하에서는 상기 산화물 상의 핵생성이 어렵기 때문이다. 이와 같은 어려움은 예를 들어, 실란과 같은 종래의 실리콘 소스과 게르마늄과 같은 게르마늄 소스 혼합물을 사용하는 SiGe 박막을 증착하려고 할 때, 직면한다. 상기 버퍼층(210)이 상기 위에 놓인 박막(280)의 증착을 개선하는데 (상기 단결정 표면(220)과 상기 산화물 표면(230)상의 직접적인 증착에 비해), 심지어 이 단계에서 산화물이 노출되지 않아서 상기 영역(240)은 다결정이고, 상기 영역(260)이 단결정이어도 그러하다. 상기 도시된 실시예에서, 상기 버퍼층(210)은 증착온도 약 600℃에서 트리실란과 트리실릴아르신(약 50 ppm, 전체 무게를 토대로)을 사용하여 증착된, 비소가 도핑된 실리콘 박막이다. 상기 영역(260)은 이하에서 논의되는 SiGe 증착을 촉진하기 위해 에피택셜 것이 바람직하며, 상기 영역(240)은 비정질이거나 다결정인 것이 바람직하다.
양 타입의 표면 상에서의 증착을 달성하는 것과 함께, 상기 증착된 박막이 양 표면 상에서 균일한 구성요소의 조성을 갖는 것이 바람직하다. 예를 들어, 상기 위에 놓인 박막(280) 내의 실리콘과 게르마늄의 각각의 양은 상기 박막 전반에서 일정하여, 상기 두 영역(240, 260)에서 일정한 것이 바람직하다. 하지만, 종래의 실리콘과 게르마늄 소스를 사용하면, 아래에 놓인 표면의 증착률이 상이하다는 문제 외에, 상기 증착된 박막의 조성도 변하는 경향이 있다. 예를 들어, 실란과 게르만을 사용하여 혼합 기판상에 직접 SiGe 박막을 증착하면, 결과로 생긴 박막의 두께와 조성 양자 모두 아래에 놓인 혼합 기판상에서 적지 않게 변화된다.
버퍼층의 사용은 증착 중인 상기 박막이 둘 이상의 성분을 함유하는 경우에 특히 유용하다. 왜냐하면 버퍼층 위의 증착이 두께와 조성에 있어 보다 균일한 박막을 만들기 때문이다. 도 2B의 상기 버퍼층(210) 위의 증착을 위해, 심지어 종래의 실리콘 및 게르마늄 소스를 사용해도 아래에 놓인 단결정과 비정질 기판 표면(220, 230)상에 상기 버퍼층이 없을 때보다 더 균일한 조성을 갖는 SiGe 박막을 만들어낸다.
바람직한 일 실시예에서, 트리실란이 양극 트랜지스터용 베이스구조 생산방법에 사용된다. 상기 베이스 구조를 만들기 위한 방법은 활성 영역 및 절연재를 갖는 기판 표면을 마련하는 단계와 상기 기판의 활성 영역과 상기 절연재상에 실리콘 함유 박막을 증착하기에 효과적인 조건하에서, 트리실란을 상기 기판 표면에 공급하는 단계를 포함한다.
바람직한 일 실시예에서, 상기 실리콘 함유 박막은 SiGe 박막의 형태로 상기 혼합 기판 위에 증착되는데, SiGe나 SiGeC 박막인 것이 바람직하며, 약 0.1 atomic %에서 약 80%의 게르마늄을 포함하는 박막으로, 약 1 atomic %에서 약 60 atomic % 인 것이 바람직하다. 상기 SiGe 함유 박막은 게르마늄 소스과 트리실란을 상기 챔버에 동시에 유입함으로써 증착되는 것이 바람직하며, 트리실란과 게르만 소스의 혼합물을 사용하는 것이 더 바람직하다. 상기 SiGe 함유 박막은 전술한 바, 버퍼층 위에 증착될 수 있는데, 실리콘이나 도핑된 실리콘 버퍼층 위에 증착되거나 상기 혼합 기판 위에 직접 증착되는 것이 바람직하다. 상기 게르마늄 소스는 게르만이나 디게르만인 것이 더 바람직하다. 위에서 논의된 바, 상기 박막 내의 성분들, 예컨대 실리콘, 게르마늄, 카본, 도펀트등의 비율은 공급가스의 조성을 바꿈으로써 바람직하게 조절될 수 있다. 상기 게르마늄 농도는 상기 박막의 두께를 통해 일정할 수 있으며, 또는 증착 중 상기 공급가스 내의 게르마늄 소스의 농도를 변화시키므로써 그레이드된 박막이 생산될 수 있다.
SiGe 증착을 위한 바람직한 혼합기체는 수소 운반기체, 게르마늄 소스으로서게르만이나 디게르만, 그리고 트리실란을 포함한다. 상기 공급가스 내의 트리실란 대 게르마늄의 무게비는 약 10: 90에서 약 99:1인 것이 바람직하며, 약 20: 80에서 약 95:5인 것이 더 바람직하다. 전술한 바, 바람직한 고율의 증착을 달성하기 위해서는, 상기 게르마늄 소스가 상기 혼합 기판 표면 1cm2당 최소 약 0.001mg/min의 전달률로 전달되는 것이 바람직하며, 더 바람직한 것은 상기 혼합 기판 표면 1cm2당 최소 약 0.003mg/min인 것이 더 바람직하다. 상기 게르마늄 소스의 전달률은 트리실란 전달률과 함께 제어되어 원하는 증착률과 박막 조성을 달성하는 것이 바람직하다. 게르마늄 소스의 전달률은 게르마늄 농도가 SiGe나 SiGeC 박막 내에서 그레이드 되도록 변화되는 것이 바람직하다.
상기의 아래에 놓인 혼합 기판 중 최소 하나의 표면의 형태구조와 조성은 상기 표면 위에서 변형된(strained) 헤테로에피택셜(heteroepitaxial)한 SiGe 박막 성장을 가능케 하는데 효과적인 것이 바람직하다. "헤테로에피택셜"하게 증착된 층은 박막 증착이 이루어진 상기 단결정 기판과는 상이한 조성을 갖는 에피택셜 박막을 말한다. 증착된 에피택셜 층은, 아래에 놓인 단결정 기판과 동일하되 고유 격자 상수는 다른, 최소 2차원의 격자 구조가 강요되면 "변형된다." 격자 변형은 격자구조가 아래에 놓인 단결정 기판 구조와 매치되는 방식으로 상기 박막이 증착될 때, 자유롭게 위치하는(free-standing) 부피가 큰 물질(bulk material)의 상기 격자 구조내에서 원자들이 정상적으로 점유하는 위치로부터 멀어지기 때문에 생긴다.
트리실란과 게르마늄 소스를 사용하는 CVD는 SiGe나 SiGeC와 같은 실리콘 함유 박막이 혼합 기판상에 형성되는 것을 가능케 한다. 도 3은 바람직한 실시예의 맥락에서, 트리실란을 사용했을 때 얻는 잇점들을 도시한다. 하지만 당업자들은 여기에 교시된 바에 따른 상기 바람직한 방법을 변형해도 비슷한 잇점이 제공된다는 것을 알 것이다. 도 3A는 반도체 기판(320)상에 필드 격리영역들(310)을 갖는 바람직한 구조(300)를 도시한다. 상기 도시된 실시예에서, 상기 반도체 기판(320)은 단결정 기판상에 형성된 에피택셜 실리콘을 포함하며, 상기 격리영역(310)은 실리콘 디산화물이다. 증착에 앞서, 에피택셜 증착을 위해 당업자에게 알려진 방법으로 상기 기판(320)이 마련되어 산화물이-없는 결정질의 표면(에피택셜 실리콘)과 비정질의 표면(330)을 갖는 활성 영역(340)을 드러내게 된다.
수소(운반기체), 트리실란과 게르만 혼합물을 포함하는 기체가 CVD 조건하에서 상기 산화물 표면(330)과 활성 영역 표면(340)으로 전달된다. 또다른 실시예(도 3에 도시되지 않음)에서는, 전술된 바, 상기 가스가 상기 산화물 표면(330)과 활성 영역 표면(340)상에 미리 증착된 버퍼층의 표면으로 전달된다. 상기 가스내의 트리실란과 게르만의 무게비는 약 15:1이다. 상기 기판(340)은 CVD 챔버 내에 수용되는 것이 바람직하며, 상기 트리실란은 액체 트리실란을 함유하는 온도-제어되는 버블러를 통해 운반가스를 버블링함으로써 상기 챔버로 유입되는 것이 바람직하다. 상기 증착 온도는 약 600℃이고, 증착은 평균 두께가 약 2500Å인 혼합 형태구조의 SiGe 박막(350) 증착에 효과적인 일정 주기의 시간동안 계속된다. 상기 비정질 표면상의 상기 SiGe 박막(350)의 일 영역(360)은 비에피택셜(예컨대 다결정이나 비정질인) 형태구조를 가지며, 반면 상기 단결정 표면(340)상의 일 영역(370)은 에피택셜 형태구조를 갖는다.
부가적으로 실리콘 함유 캡(cap) 층이 상기 실리콘 함유 층 위에 증착될 수 있다. 상기 캡 층의 증착은 실리콘 함유 박막 증착을 위해 여기서 설명된 방법으로 트리실란을 사용하여 이루어진다. 예를 들어, 도 3C에 도시된 실시예에서는, 보론이-도핑된 실리콘 캡 층(380)이 약 600℃의 증착온도에서 트리실란과 디보란(전체 대비 약 100ppm)을 포함하는 혼합기체를 사용하여 상기 박막(350)상에 증착된다. 상기 도시된 실시예에서, 상기 캡층(380) 증착을 위해 트리실란을 사용하는 것이 유리하다. 왜냐하면 상기 SiGe 박막(350)은 혼합-형태구조 SiGe 박막을 포함하는 혼합 기판이기 때문이다. 상기 캡층(380)의 형태구조는 상기 에피택셜하게 증착된 영역(370)상에서는 단결정이며, 상기 비단결정 영역(360)상에서는 비단결정이다.
상기 증착된 실리콘 함유 박막의 조성과 두께는 비교적 균일한 것이 바람직하다. 상기 실리콘 함량은 평균 조성을 토대로 했을 때, 상기 박막 부피를 통해 약 20% 이하로 변하는 것이 더 바람직하고, 약 10% 이하면 더욱 바람직하며, 약 2% 이하면 가장 바람직하다. 박막 조성은 2차 이온 질량 스펙트로메트리(SIMS)를 사용하여 결정되는 것이 바람직하다. 예를 들어, 도시된 실시예에서, 상기 SiGe 박막(350)은 상기 상기 비정질 표면 상의 비에피택셜 영역(360) 내의 실리콘 함량은 약 88%이며, 상기 단결정 표면(340)상의 에피택셜 영역(370) 내의 실리콘 함량은 약 92%이다. 따라서, 상기 SiGe 박막 내의 평균 실리콘 함량 약 90%와 비교할 때, 상기 도시된 실시예의 실리콘 함유량은 상기 박막이 부피를 통해 약 2% 변한다. 상기 증착된 박막의 두께는 평균 두께를 토대로 했을 때, 상기 박막 표면 전반에서 약 50% 이하로 변하는 게 바람직하며, 약 25% 이하면 더 바람직하고, 약 10% 이하면 가장 바람직하다. 박막 두께는 상기 박막 샘플을 횡으로 절단하고, 전자 현미경에 의해 상기 두께를 측정하여 결정되는 것이 바람직하다. 예를 들어, 상기 도시된 실시예에서, 상기 박막(350)은 상기 비정질 표면(330)상의 상기 영역(360) 내에서는 약 2400Å의 두께를 가지며, 상기 단결정 표면(340)상의 상기 영역(350) 내에서는 약 2600Å의 두께를 갖는다. 따라서, 상기 박막(350)의 평균 두께 2500Å과 비교하면, 상기 도시된 실시예에서 상기 두께는 평균 두께를 토대로 했을 때, 상기 박막 표면 전반에서 약 4%(±100Å) 이하로 변한다.
도 4를 참조하여 SiGe 이질접합 양극 트랜지스터("SiGe HBT")용 베이스 구조생산을 위한 바람직한 일 방법을 설명하겠다. 당업자들은 도시된 방법이 다른 공정들에도 적용됨을 알 것이다. 도 4의 구조(400)는 일련의 박막들을 필드 격리 영역(404)을 갖는 단결정 실리콘 n+ 기판(402)에서 증착함으로써 제조된다. 상기 필드 격리 영역(404)은 실리콘 산화물인 것이 바람직하지만, 실리콘 질화물와 같은 다른 유전 물질들일 수도 있다. 증착에 앞서, 상기 기판(402)의 상기 표면(408)은 연속적인 에피택셜 증착에 적합한 표면을 만들기 위해 당업자에게알려진 방법으로 처리된다. 상기 기판(402)은 n 도핑, 바람직하게는 비소로 도핑되므로, 상기 도시된 실시예는 npn 트랜지스터에 적합하다. 하지만, 당업자들을 설명된 방법들이 pnp 장치의 제조에도 동일하게 적용될 수 있음을 알 것이다.
제 1 증착된 박막(410)은 상기 단결정 표면(408)과 상기 필드 격리 영역(404)상에 약 580℃에서 약 700℃ 범위의 증착 온도에서 약 500Å 이하의 바람직한 두께로 증착되는 것이 바람직한 임의의 버퍼층이다. 상기 버퍼층은 도펀트 전구체를 사용하여 임의로 n 도핑되며, 인시츄(in situ)에서 이루어지는 것이 바람직하다. 상기 도시된 실시예에서는, 상기 버퍼층(410)이 상기 단결정 표면(408)상에는 에피택셜 영역(412)를 갖고, 상기 필드 격리 영역(404)상에는 다결정 영역(414)을 갖는 50Å의, 비소가 도핑된 혼합 형태구조 박막이다. 이것은 약 600℃ 온도에서 전구체로서 트리실란과 소량의 테트라실란을 사용하는 CVD에 의해 증착된다. 상기 버퍼층(410)은 제조과정중 연속적인 증착을 촉진하기 위해 사용되며 상기 에피택셜 영역(412)은 결과로 생기는 장치내의 콜렉터의 일부로서 기능한다.
제 2 박막은 p+ 도펀트 전구체를 갖는, 트리실란과 소량의 게르마늄 소스의 혼합물을 사용하여 상기 버퍼층(410) 위에 증착되는 p+ SiGe 층으로, 약 580℃에서 약 700℃ 범위내의 온도에서 CVD의해 증착되는 것이 바람직하다. 상기 SiGe 박막(416)은 바로 밑에 놓이는 상기 버퍼층(410)의 에피택셜 영역(418)과 비에피택셜 영역에 상응하게 상기 단결정 표면(408)상에는 에피택셜 영역(420)를 갖고, 상기 필드 격리 영역(404)상에는 다결정 영역(418)을 갖는다. 상기 버퍼층(410)(만일 사용된다면)은 약 100Å 이하의 두께를 갖는 것이 더 바람직하며, 별도의 마스킹(masking) 단계 없이 상기 에피택셜 영역(420)과 상기 다결정이나 비정질 영역(418)의 동시 증착을 촉진한다. 상기 SiGe 층(416)은 p 도펀트 약 1×1016 내지 약 5×1022 atoms/cm3을 함유하는 것이 바람직하다. 보론이 바람직한 p 도펀트이며, 디보란이 바람직한 도펀트 전구체이다.
상기 SiGe 층(416)의 상기 에피택셜 영역(420)은 헤테로에피택셜 층이며 따라서 압축 변형된다. 즉, 상기 영역은 하지의 에피택셜 실리콘 영역(412)의 격자 상수와 정확히 부합하지 않는 벌크(bulk) 격자 상수를 갖는다. 소자 성능을 제고하기 위해서는, 상기 SiGe 층내의 게르마늄 함유량이 비교적 높은 것이 유리하다. 그러나, 게르마늄 양이 증가하면 변위(strain)도 증가한다. 상기 SiGe 층의 두께가 임계 두께로 불리는 어떤 두께 이상으로 증가되면, 상기 박막/기판의 계면에서의 미스핏 전위(misfit dislocation)가 에너지적으로 선호될 수 있다. 이와 같은 전위는 캐리어의 이동도(mobility)를 감소시키고, 누설 전류 및 성능 저하를 초래하며, 심지어는 소자의 불량을 초래한다.
예를 들어, 약 10%의 게르마늄을 함유하는 SiGe는 Si<100> 상에서 스테이블하게(stable) 변형되는 박막을 위해서 약 300Å의 임계 두께를 가지며, 메타스테이블(metastable)하게 변형된 박막을 위해서는 약2000Å의 임계 두께를 갖는다. 상기 SiGe층이 약 500Å보다 얇으면 보다 높은 농도의 게르마늄이 사용될 수 있는데, 왜냐하면 이 농도의 게르마늄이 미스핏 전위의 형성을 초래하지 않고 편입되기 때문이다. 게르마늄 함량이 50%면, Si<100> 상의 메타스테이블하게 압축 변형된 박막을 위한 임계 두께가 약 100Å이다. 상기 SiGe층이 약 1000Å 보다 두꺼우면, 미스핏 전위 형성으로 초래되는 장치 고장의 위험을 낮추기 위해 더 농도가 낮은 게르마늄이 바람직하다. 상기 SiGe층(416)은 약 5 atomic %에서 약 50 atomic % 범위 내의 양을 갖는 게르마늄을 함유하는 것이 바람직하며, 약 10 atomic %에서 약 30 atomic % 범위 내의 양을 갖는 게르마늄을 함유하는 것이 더 바람직하고, 두께는 약 100Å에서 약 1500Å 범위 내인 것이 바람직하다. 상기 게르마늄 농도와 두께는 증착된 구조 내의 미스핏 전위을 피하면서 변형된 구조를 생산하도록 조절되는 것이 바람직하다.
상기 도시된 실시예에서, 상기 SiGe층(416)의 상기 에피택셜 영역(420)은 압축 변형된다. 상기 SiGe층(416)의 상기 에피택셜 영역(420)은 약 10 atomic %의 게르마늄과 약 1×1019 atoms/cm3의 보론을 함유하며, 트리실란과 게르만(부피비가 약 15:1)및 도펀트 전구체로서 소량의 디보란을 사용하여 증착된다. 결과로 생긴 보론이 도핑된 SiGe층(416)은 두께가 약 1000Å인 혼합 형태구조 박막이다. 상기 SiGe층(416)의 상기 에피택셜 영역(420)은 결과로 생긴, 장치의 베이스로서 기능한다.
제 3 박막(422)은 약 580℃에서 약 650℃ 범위의 증착 온도에서 트리실란 및 옵션에 따라 소량의 도펀트 전구체 p를 사용하는 CVD에 의해 상기 박막(416) 위에 증착된다. 상기 결과로 생긴 p가 도핑된 캡층(422)은 약 300Å에서 약 1000Å 범위의 두께를 갖는 것이 바람직하다. 상기 박막(422)도 상기 단결정 표면(408)상에는 에피택셜 영역(424)을 갖고, 상기 필드 격리 영역(404)상에는 다결정 영역(426)을 갖는 혼합 형태구조 박막이다. 상기 도시된 실시예에서, 상기 박막(422)은 인시츄 도펀트 전구체로서 디보란을 사용하는 보론으로 도핑되어 약 1×1017에서 약 1×1020 atoms/cm3 범위의 전구체 수준을 달성하게 된다. 이것은 약 600℃의 증착 온도에서 증착되며, 약 500Å의 두께를 갖는다.
상기 캡층(422)은 연속적인 공정 단계 중에 상기 SiGe층의 메타스테이블한 변형을 유지하는데 도움이 되며, 상기 구조 내 원하는 깊이에서의 이미터(emitter)-베이스 접합(junction) 형성을 촉진한다. 실리콘 소스으로서 트리실란이 사용되면, 사기 기판 표면 전반에서 조성상의 균일성이 더 증가된다. 따라서, 다결정 영역(418, 426)내 p 전구체의 양은 상기 에피택셜 베이스 영역(420)의 p 전구체의 수준과 거의 동일한 것이 바람직하다. 예컨대 에미터와 같은 부가적인 층은 도 4에 도시된 구조 상에 증착되어 당업자들에게 알려진 방법으로 복잡한 장치를 생산하게 된다. 상기 에피택셜 베이스 영역(420)에 연속적인 전기적 연결(connection)(미도시)은 위에 놓인 절연층을 통해 하나 이상의 도핑된 다결정 영역(418, 426)으로 뻗는 컨택트에 의해 이루어지는 것이 바람직하다.
전술한 것으로부터, 반도체 제조 공정단계의 수를 종래의 실리콘 소스를 트리실란으로 대체하므로써 줄일 수 있다는 것이 명백해진다. 예를 들어, 버퍼층(414) 증착 단계는 SiGe를 양 표면위에 직접 증착하기 위해 실란, 디실란, 디클로로실란과 트리클로로실란과 테트라클로로실란과 같은 실리콘 소스 대신 트리실란을 사용하므로써 생략될 수 있다. 나아가, 상기 바람직한 실시예의 버퍼층(414)이 있건 없건, 트리실란은 혼성(heterogeneous) 표면상의 증착을 한 단계에서 촉진한다. 반대로, 공정에 종래의 실리콘 소스를 사용하면 (이하의 도 5와 설명을 보라) 보통 실리콘 함유 박막을 상기 필드 격리 영역과 상기 활성 영역창(active area window)에 증착하고, 마스킹(masking)하고, 에칭하고(etching) 그 후에 다시 상기 에피택셜 베이스 층을 증착하는 별개 단계들이 필요하다. 실리콘 함유 박막을 필드 격리 영역에 증착하기 위한 상기 별개 단계들은 종래의 실리콘 소스를 트리실란으로 대체하고 상기 실리콘 함유 박막(416)을 동일 단계에서 상기 단결정 표면(408)과 상기 비에피택셜 물질(404)상에 증착하면 생략될 수 있다.
따라서, 바람직한 일 실시예에서, 반도체 장치 제조 공정단계 숫자를 줄이기 위한 방법이 제공된다. 이 방법은 제 1 실리콘 함유 박막을 제 1 실리콘 소스를 사용하는 제 1 표면에 증착하는 단계와, 별개 단계로, 제 2 실리콘 함유 박막을 제 2 실리콘 소스를 사용하는 제 2 실리콘 함유 표면에 증착하는 단계를 포함하며 여기서 상기 표면들을 서로 상이한 것을 특징으로 하는 반도체 장치 제조 공정을 확인하는 단계(identify)를 포함하는 것이 바람직하다. 상기 바람직한 방법은 상기 제 1, 제 2 실리콘 소스를 트리실란으로 대체하고 실리콘 함유 박막을 동일 단계에서 상기 제 1 표면과 제 2 표면에 증착하므로써 수정하는 단계를 포함한다. 상기 제 1과/또는 제 2 실리콘 소스는 실란이며, 상기 제 1 표면은 단결정 표면이고, 상기 제 2 표면은 비정질이거나 다결정인 것이 바람직하다.
바람직한 일 실시예에서, 상기 반도체 장치 제조 공정은 마스킹 단계를 생략하므로써 수정된다. 마스킹 단계는 종래에는 혼성 표면에 증착하기 위해 채용되었다. 예를 들어, 도 5에 도시된 공정 플로우에서, 도 5A의 다결정 박막(510)은 단결정 표면(520)과 비에피택셜 표면(530)을 포함하는 혼합 기판상에 실란, 디실란, 디클로로실란, 트리클로로실란이나 실리콘 테트라클로라이드와 같은 제 1 실리콘 소스를 사용하여 증착된다. 상기 산화물 표면상에서는 핵생성이 빈약한 바, 확장된 증착은 연속적이며 수락할 수 있게 단일한 두께를 낳는데, 확장된 공정은 상기 활성 영역창(520)상에 과도한 증착을 가져온다. 따라서, 영역(550)은 반드시 마스크되고, 에치되어 개별적인 증착으로 대체 되어야 한다. 상기 증착 온도는 상기 영역(540) 내의 원하는 비에피택셜(예컨대, 다결정의) 형태구조를 갖는 박막이 상기 비에피택셜 표면(530)상에 증착되도록 선택된다. 상기 공정 플로우가 이 영역에서 에칭을 요구하는 바, 이 증착 조건들은 상기 단결정 표면(520)상의 영역(550)에 다결정의 형태구조를 만드는 경향이 있다.
일련의 마스킹과 에칭 단계는 상기 영역(550) 내에 원하지 않는 다결정 형태구조를 원하는 에피택셜 형태구조로 대치하기 위해 사용된다. 알려진 포토리토그래피(photolitography)한 테크닉을 사용하여, 포토리시스트(photoresist) 마스크(560)가 형성되고 도 5B에 도시된 바와 같이 패턴이 형성된다. 상기 영역(550) 내의 노출된 실리콘 함유층은 도 5C에 도시된 바, 알려진 에칭 테크닉을 사용하여 에치되어, 아래에 놓인 단결정 표면(520)을 드러내는 창을 만들게 된다. 상기 에칭 중에 상기 포토리시스트 마스크(560)는 상기 아래에 놓인 다결정 영역(540)을 보호하는데, 이 영역은 나중에 상기 창(520) 안에 형성 중인 베이스 영역과 접촉하기 위해 사용된다. 도 5D에 도시된 바, 상기 포토리스트 마스크(560)는 그 후에 제거되며 제 2 실리콘 소스(아마도 동일한)를 사용하는 증착 공정이 수용가능한 에피택셜 박막(570)을 상기 단결정 표면(520)상에 증착한다. 이와 같은 종래의 증착 공정들은 도 1B와 관련하여 위에서 논의된 바, 기술분야에 알려져있다.
상기 바람직한 실시예들의 공정들은 실리콘 함유 박막을 단일 단계에서 혼합 기판의 양 표면상에 증착하기 위해 사용되며, 따라서 마스킹, 에칭과 도 5의 개별 증착 단계들이 생략되어 도 4의 공정 플로우와 더 유사해진다. 도 3B에 도시된 상기 구조는 상기 바람직한 실시예들의 예증이며, 도 5에 도시된 공정 플로우를 수정함으로써 단일 단계에서 상기 구조가 생산될 수 있다. 이러한 수정은 실란과 같은 실리콘 소스를 트리실란으로 대체하고, 상기 실리콘 함유 박막을 도 3에 도시된 대로, 두개의 표면에 하나의 단계에서 증착함으로써 수행된다.
도 1A에서 도 1C는 혼합 기판상에서의 종래의 증착방법이 갖는 문제들을 개략적으로 도시한 단면;
도 2A와 도 2B는 바람직한 실시예에 따라, 트리실란을 사용한 혼합기판상 증착을 도시하는 단면;
도 3A에서 3C는 바람직한 실시예에 따라, 필드 산화물 영역 사이의 창을 포함하여, 혼합기판상 증착을 도시하는 도면;
도 4는 바람직한 실시예에 따라 구성된, BiCMOS HBT를 위한 SiGe 베이스 구조를 도시하며;
도 5A에서 도 5D는 실리콘 함유 박막을 혼합기판상에 증착하기 위한 대안(alternative) 공정 플로우를 도시하며;
도 6은 실란과 게르만을 사용하여 증착된 SiGe 박막을 도시하는 주사 전자 포토마이크로그래프의 사진;
도 7은 도 6의 SiGe 박막의 단면을 도시하는 주사 전자 포토마이크로그래프의 사진;
도 8은 바람직한 실시예에 따라, 트리실란과 게르만을 사용하여 증착된 SiGe 박막을 도시하는 주사 전자 포토마이크로그래프의 사진;
도 9는 도 8의 SiGe 박막의 단면을 도시하는 주사 전자 포토마이크로그래프의 사진.

실시예 1
Si(100) 웨이퍼 상에 증착된 1500Å의 SiO2("산화물") 코팅(coating)을 포함하는 기판이 제공된다. 상기 기판은 상기 산화물 코팅의 약 20%를 제거하여 밑에 놓인 Si(100) 웨이퍼가 드러나도록 패턴이 형성되며, 그래서 단결정 표면과 비정질 산화물 표면을 가진 혼합 기판이 만들어진다. 상기 혼합 기판은 희석된 불화수소 산(acid) 용액 속에서 에칭 되고, 린스되고 건조되었다. 상기 혼합 기판은 그후에 Epsilon E2500 반응장치 시스템 안으로 적재되고 900℃, 대기압, 극도로 순수한 수소 80 slm이 유출되는 하에서 약 2분간 수소 베이크(bake)된다. 상기 혼합 기판은 그후에 약 600℃. 40 Torr 압력, 극도로 순수한 수소 가스가 20 slm이 유출되는 하에서 열적 안정(thermal equilibrium)에 도달할 수 있다. 상기 에칭, 건조와 베이킹 단계들은 에피택셜 박막 성장을 위해 상기 단결정 표면을 활성화시켰다.
순수한 수소 가스는 그후에 액체 트리실란을 통과해서(상기 트리실란을 함유하는 버블러 주위에서 수조를 사용하여 실온에서 유지되면서) 트리실란 증기를 가열된 기판으로 전달한다. 90 sccm 유량의 트리실란과 20 sccm의 유량의 극도로 순수한 수소로 이루어진, 상기 수소/트리실란 혼합물은 그후에 90 sccm이 유률로 상기 반응장치 안으로 15초간 유입되었다. 두께가 약 50Å인 연속적인, 비소가-도핑된 비정질의 실리콘 박막이 상기 노출된 산화물 상에 증착되었다. 고품질의 결정질의(crystal), 두께가 약 45Å인, 비소가-도핑된 에피택셜 실리콘 박막이 상기 노출된 Si<100> 활성 영역 상에 동시에 증착되었다. 그 후, 상기 트리실릴아르신 유출이 종결되었다. 이 증착은 버퍼층으로 사용되었다.
게르마늄 농도가 그레이드된, 보론이 도핑된 박막이 유률 25 sccm의 트리실란/수소를 사용하여 그 후에 연속적이며 중단되지 않는 몇개의 단계에서 증착되었다. 첫째로, 게르만(극도로 순수한 수소 안에 1.5%)이 0sccm에서 30sccm으로 변동하는 유량을 사용하여 상기 반응장치 안으로 45초 이상 유입되었다. 둘째로, 상기 게르만 유량은 30sccm에서 30초간 고정되었다. 셋째로, 상기 유량이 30초간 20sccm으로 변화되었다. 넷째로, 유량 90sccm의 디보란(100 ppm, 2 slm의 극도로 순수한 수소와 혼합된 90sccm)도 상기 반응장치 안으로 유입되는 동안에 상기 유량이 10초간 15sccm으로 변화되었다. 다섯째로, 상기 디보란 유량이 고정되고 상기 게르만 유량은 10sccm으로 30초간 감소되었다. 전체 두께가 1000Å인, 연속적이며, 부드럽고, 고도로 균일한 비정질의 실리콘 게르마늄 박막이 부분적으로 보론으로 도핑되어, 상기 제 1 단계에서 증착된 비정질의 실리콘 층위에 증착되었다. 전체 두께가 1100Å인, 고품질 결정질의, 헤테로에피택셜 SiGe 박막이 부분적으로 보론으로 도핑되어, 상기 제 1 단계에서 증착된 상기 에피택셜 실리콘 박막 위에 증착되었다.
그후에 보론이-도핑된 실리콘 캡층이 상기 디보란 유량을 유지하고, 상기 게르만 유출을 종결시키고, 상기 트리실란/수소 유률을 150초간 90sccm으로 증가시키므로써 증착되었다. 전체 두께가 490Å인, 연속적이며, 부드럽고, 비정질인 실리콘 박막이 부분적으로 보론으로 도핑되어, 상기 제 2 단계의 증착 시퀀스 동안 증착된 비정질의 실리콘 게르마늄 층위에 증착되었다. 두께가 475Å인, 고품질 결정의, 헤테로에피택셜, 보론이 도핑된 실리콘 박막이 2 단계에서 증착된 상기 에피택셜 실리콘 게르마늄 박막 위에 증착되었다. 두께와 조성의 균일성과 관련하여 모든 박막의 물리적 성질은 모든 층에서 전 표면에 걸쳐 고도로 균일했다.
이 실시예는 Si(As)/SiGe(B)/Si(B) 박막스택을 도 4에 도시된 구조와 유사한 패턴이 형성된 유전체 기판상에 증착하는 등온 등압 증착 공정에서 트리실란의 사용, 을 설명한다. 고품질의 박막스택을 두가지 타입의 기판상에 증착하기 위해 상기 실리콘 버퍼층을 마스크/패턴형성할 필요가 없음을 명심하라. 이것은 상기 버퍼층의 증착과 패턴형성을 위해 사용된 상기 공정 과정을 생략한 덕에 이 구조의 증착을 위한 제조 비용이 실질적으로 절감됨을 의미하며, 전체 기구제조 플로우를 위한 작업처리량의 증가를 의미하기도 한다.
실시예 2 (비교예)
실리콘 함유 박막이 온도 600℃에서 전구체로서 실란과 게르만을 사용하여 SiO2 기판(핵생성층 없이)상에 증착되었다. 결과로 생긴 SiGe 박막의 표면 거칠기는(원자력 현미경으로 측정하면) 10 micron × 10 micron 스캔 넓이에서 226Å 이었다. 도 6과 7에 도시된 SEM 마이크로그래프에 도시된 바와 같이. 상기 SiGe 박막의 주사 전자 검경(scanning electron microscopy SEM)은 피라미드형, 섬타입 증착을 암시하는, 깎은 면이 있는(faceted) 결정을 보여준다. 이 섬타입 증착은 고립된 핵이 처음 상기 표면에 형성되고 그 후에 함께 성장하여, 그림에 도시된 섬들을 형성하는 공정에 의해 증착이 이루어졌음을 보여준다. 이것은 실란이 사용되었을 때, 표면 형태구조에 대한 증착의 민감성,즉 산화물 상에 실란이 증착된 층들의 빈약한 핵생성과 그 결과 생기는 거칠기를 실증한다.
실시예 3
실리콘 함유 박막이 실시예 2에 설명된 대로, 온도 600℃에서 증착되었다. 다만 전구체로 실란과 게르만 대신 트리실란과 게르만이 사용되었다. 결과로 얻은 상기 SiGe 박막의 표면 거칠기(원자력 현미경으로 측정하면) 10 micron × 10 micron 스캔 넓이에서 18.4Å 이었다. 상기 SiGe 박막의 SEM은 도 8과 9에 도시된 SEM 마이크로그래프에거 실증되는 바(도 6과 7과 같은 매그니피케이션과 틸트 각도), 훨씬 균일한 표면을 보여주었다. 실란과 비교했을 때, 섬타입 증착이 상대적으로 적은 상기 증착은 상기 표면상에 고르게 이루어진 증착은 상기 실시예 2에서 설명된 핵생성과 성장이라는 메카니즘으로는 이루어지지 않았다. 이것은 트리실란이 사용되었을 때, 표면 형태구조에 대한 증착의 상대적 비민감성, 즉, 트리실란이 증착된 층의 탁월한 핵생성과 그에 따르는 매끄러움을 예증한다.
실시예 4-21
일련의 실리콘 함유 박막이 SiO2 기판(핵생성층 없이)상에 트리실란과 게르만을 사용하여 40torr의 압력에서 증착되었다. 표 1의 실시예들에서 트리실란 유출률은 77sccm(수소 운반체, 버블러)에서 고정되었다. 게르만(10% 게르만, 90% 수소) 유량과 증착온도는표 1에 도시되는 바처럼 변하였다. 게르마늄 농도(atomic %)와 결과로 생긴 SiGe 박막의 두께는 RBS에 의해 결정되었고 표면 거칠기는 원자력 현미경(AFM)에 의해 결정되었다. 표 1에 도시된 결과는 온도 범위에 걸쳐서 그리고 유출률 조건하에서 특히, 게르만 농도 범위에서 고도로 균일한 박막이 마련될 수 있음을 실증하며, 나아가 트리실란을 사용하면 증착이 표면 형태구조에 대해 상대적으로 덜 민감함을 보여준다.
표 1
번 호 온도 (℃) 게르만 유량 (sccm) 게르마늄 % 두께 (Å) 증착률 (Å/min) 거칠기 (Å)
1 450 25 5.0 34* 8.5 3.2
2 450 50 7.5 34* 11 4.1
3 450 100 11 59* 15 3.7
4 450 100 11 53* 13 nd
5 500 25 6.0 190 63 7.8
6 500 50 10 230 77 9.1
7 500 100 13.5 290 97 8.3
8 500 100 13.5 380* 127 7.2
9 550 25 6.0 630 315 5.2
10 550 50 9.5 670 335 13.6
11 550 100 14 900 450 12.1
12 550 100 14 1016 508 9.4
13 600 25 7.0 1160 580 8.1
14 600 50 13 1230 615 25.7
15 600 100 19 1685 843 31.8
16 650 25 11 630 630 23.3
17 650 50 17 800 800 31.5
18 650 100 27 1050 1050 50.2
19 700 25 11 680 680 18.1
20 700 50 18 835 835 37.8
21 700 100 31 960 960 44.9

본 발명의 몇몇 실시예들이 도시되고 설명되었지만, 기술분야의 통상의 지식을 가진 사람들이라면 발명의 원칙이나 정신에서 벗어나지 않으면서 본 실시예을 변형할 수 있음을 알 수 있을 것이다. 발명의 범위는 첨부된 청구항과 그 균등 물에 의해 정해질 것이다.
발명의 일 측면에 따르면, 챔버 내에 배치된, 제 1 표면 형태구조(morphology)를 갖는 제 1 표면과 상기 제 1 표면 형태구조와 상이한 제 2 표면 형태구조를 갖는 제 2 표면을 포함하는 기판을 마련하는 단계와; 화학기상증착 조건 하에서, 트리실란을 상기 챔버로 유입하는 단계와;
실리콘 함유 박막을 상기 기판상의 상기 제 1 표면과 상기 제 2 표면 위에 증착하는 단계를 포함하는 증착방법이 제공된다.
발명의 다른 측면에 따르면, 화학기상증착 조건하에, 트리실란을 혼합 기판 표면으로 상기 혼합 기판 표면 1cm2당 최소 약 0.001mg/min의 전달률로 전달하는 단계와; 실리콘 함유 물질을 상기 혼합 기판 표면상에 약 분당 10Å 이상의 속도(rate)로 증착하는 단계를 포함하는 고율의 증착방법이 제공된다.
발명의 또 다른 측면에 따르면, 이질접합 양극 트랜지스터(HBT)용 베이스 구조 생산 방법에 있어서, 활성 영역(active area)과 절연체를 포함하는 기판 표면을 마련하는 단계와; 실리콘 함유 박막을 상기 기판상의 상기 활성 영역과 상기 절연체 각각에 직접 증착하기에 효과적인 조건하에서, 트리실란을 상기 기판 표면에 공급하는 단계를 포함하는 방법이 제공된다.
발명의 또 다른 측면에 따르면, 반도체 장치 제조 공정 단계의 숫자를 줄이기 위한 방법에 있어서, (a) 제 1 실리콘 함유 박막을 비에피택셜 표면상에 제 1 실리콘 소스를 사용하여 증착하는 단계와 (b) 별도 단계로서, 제 2 실리콘 함유 박막을 단결정 표면상에 제 2 실리콘 소스를 사용하여 증착하는 단계를 포함하는 반도체 장치 제조 공정을 확인(identify)하는 단계와; 상기 제 1 실리콘 소스과 상기 제 2 실리콘 소스를 트리실란으로 대체하고 제 3 실리콘 함유 박막을 상기 에피택셜 표면과 상기 비에피택셜 표면에 동일 단계에서 동시에 증착하므로써 반도체 장치 제조 공정을 수정하는 단계를 포함하고, 상기 제 1 실리콘 소스과 상기 제 2 실리콘 소스는 각각 개별적으로, 실란,디실란, 디클로로실란, 트리클로로실란, 실리콘 테트라클로라이드를 포함하는 그룹에서 선택되는 것을 특징으로 하는 방법이 제공된다.
따라서, 혼합기판상의 실리콘 함유 박막 증착을 만족스럽게 수행하면서, 그 단계를 줄여서 비용 및 오염을 감소시킬 수 있게 되어, 반도체 산업 분야의 중요한 진일보를 이루게 된다.

Claims (35)

  1. 챔버 내에 배치되고, 단결정질의 표면 형태구조(surface morphology)를 갖는 제 1 표면 및 상기 제 1 표면 형태구조와 상이한 비정질, 다결정질 또는 비정질과 결정질이 혼합된 표면 형태구조를 갖는 제 2 표면을 포함하는 기판을 제공하는 단계;
    화학기상증착 조건하에, 상기 챔버로 트리실란을 인입시키는 단계; 및
    상기 기판상의 상기 제 1 표면과 상기 제 2 표면 상에 실리콘 함유 박막을 증착하는 단계를 포함하며,
    상기 실리콘 함유 박막은 상기 제 1 표면 상에서 결정질의 형태구조 및 상기 제 2 표면 상에서 비결정질의 형태구조를 포함하는 증착방법.
  2. 삭제
  3. 삭제
  4. 제 1항에 있어서,
    상기 챔버로, 상기 트리실란과 동시에 게르마늄 소스를 인입시켜 상기 실리콘 함유 박막인 SiGe 박막을 증착하는 단계를 더 포함하는 것을 특징으로 하는 증착방법.
  5. 제 4항에 있어서,
    상기 SiGe 박막은 0.1 atomic % 내지 80 atomic %의 게르마늄을 포함하는 것을 특징으로 하는 증착방법.
  6. 제 1항에 있어서,
    상기 제 1 표면은 반도체 재료를 포함하고, 상기 제 2 표면은 유전 재료를 포함하는 것을 특징으로 하는 증착방법.
  7. 제 6항에 있어서,
    상기 반도체 재료는 실리콘과 비소, 보론, 인듐, 인 및 안티몬을 포함하는 군으로부터 선택된 도펀트를 포함하는 것을 특징으로 하는 증착방법.
  8. 제 6항에 있어서,
    상기 유전 재료는 실리콘 이산화물, 실리콘 질화물, 금속 산화물, 금속 규산염을 포함하는 군으로부터 선택된 재료를 포함하는 것을 특징으로 하는 증착방법.
  9. 제 1항에 있어서,
    상기 실리콘 함유 박막은 500Å 이하의 두께를 갖는 실리콘 버퍼층인 것을 특징으로 하는 증착방법.
  10. 제 9항에 있어서,
    상기 챔버로 게르마늄 소스 및 실리콘 소스를 유입시켜 상기 버퍼층 상에 SiGe 박막을 증착하는 단계를 더 포함하는 것을 특징으로 하는 증착방법.
  11. 제 10항에 있어서,
    상기 실리콘 소스는 트리실란을 포함하는 것을 특징으로 하는 증착방법.
  12. 제 1항에 있어서,
    상기 제 1 표면의 적어도 일부는 상기 제 2 표면의 적어도 일부와 동일 평면 상에 있지 않는 것을 특징으로 하는 증착방법.
  13. 제 12항에 있어서,
    상기 실리콘 함유 박막은 상기 제 1 표면상에서 제 1 두께 T1를 갖고, 상기 제 2 표면 상에는 제 2 두께 T2를 가지며, T1:T2는 10:1 내지 1:10의 범위 내인 것을 특징으로 하는 증착방법.
  14. 제 13항에 있어서,
    상기 화학기상증착 조건은 400℃ 내지 750℃의 범위 내의 온도를 포함하는 것을 특징으로 하는 증착방법.
  15. 제 13항에 있어서,
    상기 실리콘 함유 박막은 상기 제 1 표면상에 제 1 두께 T1를 갖고, 상기 제 2 표면 상에는 제 2 두께 T2를 가지며, T1:T2는 2:1 내지 1:2 범위 내인 것을 특징으로 하는 증착방법.
  16. 제 15항에 있어서,
    상기 실리콘 함유 박막은 상기 제 1 표면 상에서 제 1 두께 T1를 갖고, 상기 제 2 표면 상에서는 제 2 두께 T2를 가지며, T1:T2는 1.3:1 내지 1:1.3의 범위 내인 것을 특징으로 하는 증착방법.
  17. 제 1항에 있어서,
    상기 챔버로 도펀트 전구체를 인입시켜, 상기 실리콘 함유 박막으로서 인시츄(in situ) 도핑된 실리콘 함유 박막을 증착하는 단계를 더 포함하는 것을 특징으로 하는 증착방법.
  18. 삭제
  19. 화학기상증착 조건하에서, 혼합 기판 표면으로 상기 혼합 기판 표면의 1cm2당 적어도 0.001mg/min의 전달률로 트리실란을 전달하는 단계; 및
    상기 혼합된 기판 표면 상에 분당 10Å 이상의 속도로 실리콘 함유 재료를 증착하는 단계를 포함하는 고속 증착방법.
  20. 제 19항에 있어서,
    상기 혼합된 기판 표면은 노출된 도전성 재료 및 노출된 유전 재료를 포함하는 것을 특징으로 하는 고속 증착방법.
  21. 제 20항에 있어서,
    상기 도전성 재료는 결정질 반도체를 포함하는 것을 특징으로 하는 고속 증착방법.
  22. 제 21항에 있어서,
    상기 결정질 반도체는 보론, 갈륨, 인듐, 인, 비소 및 안티몬을 포함하는 군으로부터 선택된 도펀트를 포함하는 것을 특징으로 하는 고속 증착방법.
  23. 제 19항에 있어서,
    상기 혼합된 기판 표면으로 게르마늄 소스를 전달시켜 상기 실리콘 함유 재료로서 SiGe 재료를 증착하는 단계를 더 포함하는 것을 특징으로 하는 고속 증착방법.
  24. 제 23항에 있어서,
    상기 혼합된 기판 표면으로 게르마늄 소스를 전달시키는 단계는, 상기 혼합된 기판 표면의 1cm2당 적어도 0.001mg/min의 전달률로 수행되는 것을 특징으로 하는 고속 증착방법.
  25. 활성 영역 및 절연체를 포함하는 기판 표면을 제공하는 단계;
    상기 기판 상의 상기 활성 영역 및 상기 절연체 상에 각각 바로 실리콘 함유 박막을 증착시킬 수 있는 조건하에서, 상기 기판 표면에 트리실란을 공급하는 단계를 포함하는 것을 특징으로 하는 이종접합 바이폴라 트랜지스터(heterojunction bipolar transistor; HBT)용 베이스 구조의 제조 방법.
  26. 제 25항에 있어서,
    상기 실리콘 함유 박막은 상기 활성 영역 상에서 제 1 두께 T1 및 상기 절연체 상에서 제 2 두께 T2를 가지며, T1:T2는 2:1 내지 1:2의 범위 내인 것을 특징으로 하는 이종접합 바이폴라 트랜지스터용 베이스 구조의 제조 방법.
  27. 제 26항에 있어서,
    상기 실리콘 함유 박막은 상기 활성 영역 상에 제 1 두께 T1를 갖고, 상기 절연체 상에는 제 2 두께 T2를 가지며, T1:T2는 1.3:1에서 1:1.3 범위 내인 것을 특징으로 하는 이종접합 바이폴라 트랜지스터용 베이스 구조의 제조 방법.
  28. 제 25항에 있어서,
    상기 실리콘 함유 박막 상에 캡층을 증착할 수 있는 조건하에서, 실리콘 소스를 공급하는 단계를 더 포함하는 것을 특징으로 하는 이종접합 바이폴라 트랜지스터용 베이스 구조의 제조 방법.
  29. 제 25항에 있어서,
    상기 실리콘 함유 박막으로서 SiGe 박막을 증착할 수 있는 조건하에서, 상기 기판 표면 상으로 상기 트리실란과 동시에 게르마늄 소스를 공급하는 단계를 더 포함하는 것을 특징으로 하는 이종접합 바이폴라 트랜지스터용 베이스 구조의 제조 방법.
  30. 제 25항에 있어서,
    상기 실리콘 함유 박막은 10Å 내지 500Å 범위 내의 두께를 갖는 핵생성 층인 것을 특징으로 하는 이종접합 바이폴라 트랜지스터용 베이스 구조의 제조 방법.
  31. 제 25항에 있어서,
    상기 실리콘 함유 박막은 50Å 내지 300Å 범위 내의 두께를 갖는 핵생성 층인 것을 특징으로 하는 이종접합 바이폴라 트랜지스터용 베이스 구조의 제조 방법.
  32. 제 30항에 있어서,
    상기 핵생성 층 상에 SiGe 박막을 증착하기에 효과적인 조건하에서, 상기 핵생성 층 상으로 트리실란 및 게르마늄 소스를 포함하는 혼합물을 공급하는 단계를 더 포함하는 것을 특징으로 하는 이종접합 바이폴라 트랜지스터용 베이스 구조의 제조 방법.
  33. 반도체 장치 제조 공정의 공정 단계의 수를 감소시키는 방법으로서,
    (a) 제 1 실리콘 함유 박막을 비에피택셜 표면상에 제 1 실리콘 소스를 사용하여 증착하는 단계와, (b) 별도 단계로서, 제 2 실리콘 함유 박막을 단결정 표면상에 제 2 실리콘 소스를 사용하여 증착하는 단계를 포함하는 반도체 장치 제조 공정을 확인(identify)하는 단계와;
    상기 제 1 실리콘 소스과 상기 제 2 실리콘 소스를 트리실란으로 대체하고 제 3 실리콘 함유 박막을 상기 에피택셜 표면과 상기 비에피택셜 표면에 동일 단계에서 동시에 증착함으로써 반도체 장치 제조 공정을 수정하는 단계를 포함하고,
    상기 제 1 실리콘 소스과 상기 제 2 실리콘 소스는 각각 개별적으로, 실란, 디실란, 디클로로실란, 트리클로로실란, 실리콘 테트라클로라이드를 포함하는 그룹에서 선택되는 것을 특징으로 하는 반도체 장치 제조 공정 단계 수를 줄이기 위한 방법.
  34. 제 33항에 있어서,
    상기 반도체 장치 제조 공정은 상기 제 1 실리콘 함유 박막을 증착하고 상기 제 2 실리콘 함유 박막을 증착하기 전에, 상기 에피택셜 표면상에 창을 열기 위한 마스킹(masking) 단계를 포함하는 것을 특징으로 하는 방법.
  35. 제 34항에 있어서,
    상기 반도체 장치 제조 공정의 수정은 상기 마스킹 단계의 생략을 포함하는 것을 특징으로 하는 방법.
KR1020037010624A 2001-02-12 2002-02-12 트리실란을 사용한, 혼합 기판상의 증착 KR100870507B1 (ko)

Applications Claiming Priority (15)

Application Number Priority Date Filing Date Title
US26833701P 2001-02-12 2001-02-12
US60/268,337 2001-02-12
US27925601P 2001-03-27 2001-03-27
US60/279,256 2001-03-27
US31160901P 2001-08-09 2001-08-09
US60/311,609 2001-08-09
US32364901P 2001-09-19 2001-09-19
US60/323,649 2001-09-19
US33269601P 2001-11-13 2001-11-13
US60/332,696 2001-11-13
US33372401P 2001-11-28 2001-11-28
US60/333,724 2001-11-28
US34045401P 2001-12-07 2001-12-07
US60/340,454 2001-12-07
PCT/US2002/004750 WO2002065517A2 (en) 2001-02-12 2002-02-12 Deposition method over mixed substrates using trisilane

Publications (2)

Publication Number Publication Date
KR20030076677A KR20030076677A (ko) 2003-09-26
KR100870507B1 true KR100870507B1 (ko) 2008-11-25

Family

ID=27569531

Family Applications (5)

Application Number Title Priority Date Filing Date
KR1020037010622A KR101027485B1 (ko) 2001-02-12 2002-02-01 반도체 박막 증착을 위한 개선된 공정
KR1020097009274A KR101050377B1 (ko) 2001-02-12 2002-02-01 반도체 박막 증착을 위한 개선된 공정
KR1020087027835A KR100934169B1 (ko) 2001-02-12 2002-02-12 반도체 박막 증착을 위한 개선된 프로세스
KR10-2003-7010623A KR20030076676A (ko) 2001-02-12 2002-02-12 반도체 박막 증착을 위한 개선된 공정
KR1020037010624A KR100870507B1 (ko) 2001-02-12 2002-02-12 트리실란을 사용한, 혼합 기판상의 증착

Family Applications Before (4)

Application Number Title Priority Date Filing Date
KR1020037010622A KR101027485B1 (ko) 2001-02-12 2002-02-01 반도체 박막 증착을 위한 개선된 공정
KR1020097009274A KR101050377B1 (ko) 2001-02-12 2002-02-01 반도체 박막 증착을 위한 개선된 공정
KR1020087027835A KR100934169B1 (ko) 2001-02-12 2002-02-12 반도체 박막 증착을 위한 개선된 프로세스
KR10-2003-7010623A KR20030076676A (ko) 2001-02-12 2002-02-12 반도체 박막 증착을 위한 개선된 공정

Country Status (8)

Country Link
US (15) US6821825B2 (ko)
EP (3) EP1421607A2 (ko)
JP (8) JP4866534B2 (ko)
KR (5) KR101027485B1 (ko)
AT (1) ATE400060T1 (ko)
AU (2) AU2002306436A1 (ko)
DE (2) DE60223662T2 (ko)
WO (5) WO2002080244A2 (ko)

Families Citing this family (765)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6143631A (en) * 1998-05-04 2000-11-07 Micron Technology, Inc. Method for controlling the morphology of deposited silicon on a silicon dioxide substrate and semiconductor devices incorporating such deposited silicon
US6974766B1 (en) 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
JP4029420B2 (ja) * 1999-07-15 2008-01-09 独立行政法人科学技術振興機構 ミリ波・遠赤外光検出器
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
FR2812763B1 (fr) * 2000-08-04 2002-11-01 St Microelectronics Sa Formation de boites quantiques
WO2002019363A2 (en) * 2000-08-28 2002-03-07 Applied Materials, Inc. Pre-polycoating of glass substrates
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
EP1421607A2 (en) * 2001-02-12 2004-05-26 ASM America, Inc. Improved process for deposition of semiconductor films
US7026219B2 (en) 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
US6830976B2 (en) * 2001-03-02 2004-12-14 Amberwave Systems Corproation Relaxed silicon germanium platform for high speed CMOS electronics and high speed analog circuits
US6750119B2 (en) * 2001-04-20 2004-06-15 International Business Machines Corporation Epitaxial and polycrystalline growth of Si1-x-yGexCy and Si1-yCy alloy layers on Si by UHV-CVD
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
JPWO2002099890A1 (ja) * 2001-06-05 2004-09-24 ソニー株式会社 半導体層及びその形成方法、並びに半導体装置及びその製造方法
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
JP2003077845A (ja) * 2001-09-05 2003-03-14 Hitachi Kokusai Electric Inc 半導体装置の製造方法および基板処理装置
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US20030124818A1 (en) * 2001-12-28 2003-07-03 Applied Materials, Inc. Method and apparatus for forming silicon containing films
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
JP2003224204A (ja) * 2002-01-29 2003-08-08 Mitsubishi Electric Corp キャパシタを有する半導体装置
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
JP3719998B2 (ja) * 2002-04-01 2005-11-24 松下電器産業株式会社 半導体装置の製造方法
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6720027B2 (en) * 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
KR100448714B1 (ko) * 2002-04-24 2004-09-13 삼성전자주식회사 다층 나노라미네이트 구조를 갖는 반도체 장치의 절연막및 그의 형성방법
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7601225B2 (en) 2002-06-17 2009-10-13 Asm International N.V. System for controlling the sublimation of reactants
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US7294582B2 (en) * 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
JP5005170B2 (ja) * 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
US6740568B2 (en) * 2002-07-29 2004-05-25 Infineon Technologies Ag Method to enhance epitaxial regrowth in amorphous silicon contacts
US7399500B2 (en) * 2002-08-07 2008-07-15 Schott Ag Rapid process for the production of multilayer barrier layers
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
JP4358492B2 (ja) * 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US6833322B2 (en) * 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
JP4065516B2 (ja) * 2002-10-21 2008-03-26 キヤノン株式会社 情報処理装置及び情報処理方法
US7092287B2 (en) * 2002-12-18 2006-08-15 Asm International N.V. Method of fabricating silicon nitride nanodots
JP2006511084A (ja) * 2002-12-20 2006-03-30 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ 半導体装置の製造方法
US7262133B2 (en) * 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US7244683B2 (en) * 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US7422961B2 (en) * 2003-03-14 2008-09-09 Advanced Micro Devices, Inc. Method of forming isolation regions for integrated circuits
US6998305B2 (en) * 2003-01-24 2006-02-14 Asm America, Inc. Enhanced selectivity for epitaxial deposition
WO2004081986A2 (en) * 2003-03-12 2004-09-23 Asm America Inc. Method to planarize and reduce defect density of silicon germanium
US7238595B2 (en) * 2003-03-13 2007-07-03 Asm America, Inc. Epitaxial semiconductor deposition methods and structures
JP4782670B2 (ja) * 2003-03-13 2011-09-28 エーエスエム アメリカ インコーポレイテッド エピタキシャルGe含有膜の成長方法及びエピタキシャル半導体成膜システム
US7682947B2 (en) * 2003-03-13 2010-03-23 Asm America, Inc. Epitaxial semiconductor deposition methods and structures
US7517768B2 (en) * 2003-03-31 2009-04-14 Intel Corporation Method for fabricating a heterojunction bipolar transistor
JP4954448B2 (ja) 2003-04-05 2012-06-13 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 有機金属化合物
JP4689969B2 (ja) * 2003-04-05 2011-06-01 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. Iva族およびvia族化合物の調製
JP4714422B2 (ja) 2003-04-05 2011-06-29 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. ゲルマニウムを含有するフィルムを堆積させる方法、及び蒸気送達装置
US7005160B2 (en) * 2003-04-24 2006-02-28 Asm America, Inc. Methods for depositing polycrystalline films with engineered grain structures
EP1482069A1 (en) * 2003-05-28 2004-12-01 Interuniversitair Microelektronica Centrum Vzw Method for producing polycrystalline silicon germanium suitable for micromachining
US6909186B2 (en) * 2003-05-01 2005-06-21 International Business Machines Corporation High performance FET devices and methods therefor
US7074630B2 (en) * 2003-05-20 2006-07-11 United Microelectronics Corp. Method of forming light emitter layer
US20040241948A1 (en) * 2003-05-29 2004-12-02 Chun-Feng Nieh Method of fabricating stacked gate dielectric layer
JP4158607B2 (ja) * 2003-06-09 2008-10-01 株式会社Sumco 半導体基板の製造方法
US7153772B2 (en) * 2003-06-12 2006-12-26 Asm International N.V. Methods of forming silicide films in semiconductor devices
US7122408B2 (en) * 2003-06-16 2006-10-17 Micron Technology, Inc. Photodiode with ultra-shallow junction for high quantum efficiency CMOS image sensor and method of formation
WO2004113585A2 (en) * 2003-06-18 2004-12-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
US7282738B2 (en) * 2003-07-18 2007-10-16 Corning Incorporated Fabrication of crystalline materials over substrates
EP1647046A2 (en) * 2003-07-23 2006-04-19 ASM America, Inc. DEPOSITION OF SiGE ON SILICON-ON-INSULATOR STRUCTURES AND BULK SUBSTRATES
JP2007511892A (ja) * 2003-07-30 2007-05-10 エーエスエム アメリカ インコーポレイテッド 緩和シリコンゲルマニウム層のエピタキシャル成長
EP1652226A2 (en) * 2003-08-04 2006-05-03 ASM America, Inc. Surface preparation prior to deposition on germanium
US9532994B2 (en) 2003-08-29 2017-01-03 The Regents Of The University Of California Agents and methods for enhancing bone formation by oxysterols in combination with bone morphogenic proteins
DE10341806B4 (de) * 2003-09-10 2008-11-06 Texas Instruments Deutschland Gmbh Verfahren zur Herstellung einer epitaktischen Silizium-Germanium Basisschicht eines heterobipolaren pnp Transistors
US7175966B2 (en) * 2003-09-19 2007-02-13 International Business Machines Corporation Water and aqueous base soluble antireflective coating/hardmask materials
US20050064629A1 (en) * 2003-09-22 2005-03-24 Chen-Hua Yu Tungsten-copper interconnect and method for fabricating the same
US8501594B2 (en) 2003-10-10 2013-08-06 Applied Materials, Inc. Methods for forming silicon germanium layers
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7132338B2 (en) 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
JP4655578B2 (ja) * 2003-10-20 2011-03-23 東京エレクトロン株式会社 成膜装置及び成膜方法
US6987055B2 (en) * 2004-01-09 2006-01-17 Micron Technology, Inc. Methods for deposition of semiconductor material
US7078302B2 (en) 2004-02-23 2006-07-18 Applied Materials, Inc. Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal
JP4982355B2 (ja) 2004-02-27 2012-07-25 エーエスエム アメリカ インコーポレイテッド ゲルマニウム膜の形成方法
US7098150B2 (en) * 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
FR2868203B1 (fr) * 2004-03-29 2006-06-09 St Microelectronics Sa Procede de fabrication d'un transistor bipolaire a base extrinseque monocristalline
JP4874527B2 (ja) * 2004-04-01 2012-02-15 トヨタ自動車株式会社 炭化珪素半導体基板及びその製造方法
US7084040B2 (en) * 2004-04-23 2006-08-01 Northrop Grumman Corp. Method for growth of group III-V semiconductor material on a dielectric
EP1738001A2 (en) * 2004-04-23 2007-01-03 ASM America, Inc. In situ doped epitaxial films
US7202142B2 (en) * 2004-05-03 2007-04-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method for producing low defect density strained -Si channel MOSFETS
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
RU2384652C2 (ru) * 2004-05-20 2010-03-20 Акцо Нобель Н.В. Барботер для постоянной доставки пара твердого химиката
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
KR101176668B1 (ko) * 2004-06-10 2012-08-23 어플라이드 머티어리얼스, 인코포레이티드 Uv 방사를 이용한 실리콘-함유 막들의 저온 에피택셜 성장
US7396743B2 (en) 2004-06-10 2008-07-08 Singh Kaushal K Low temperature epitaxial growth of silicon-containing films using UV radiation
US7285503B2 (en) * 2004-06-21 2007-10-23 Applied Materials, Inc. Hermetic cap layers formed on low-k films by plasma enhanced chemical vapor deposition
JP3945519B2 (ja) * 2004-06-21 2007-07-18 東京エレクトロン株式会社 被処理体の熱処理装置、熱処理方法及び記憶媒体
SG190665A1 (en) 2004-07-30 2013-06-28 Rinat Neuroscience Corp Antibodies directed against amyloid-beta peptide and methods using same
WO2006012766A2 (en) * 2004-08-04 2006-02-09 Oc Oerlikon Balzers Ag Adhesion layer for thin film transistors
DE102004056170A1 (de) * 2004-08-06 2006-03-16 Aixtron Ag Vorrichtung und Verfahren zur chemischen Gasphasenabscheidung mit hohem Durchsatz
US7629270B2 (en) * 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US7253084B2 (en) 2004-09-03 2007-08-07 Asm America, Inc. Deposition from liquid sources
US20060051975A1 (en) * 2004-09-07 2006-03-09 Ashutosh Misra Novel deposition of SiON dielectric films
JP4428175B2 (ja) * 2004-09-14 2010-03-10 株式会社Sumco 気相エピタキシャル成長装置および半導体ウェーハの製造方法
US7309660B2 (en) * 2004-09-16 2007-12-18 International Business Machines Corporation Buffer layer for selective SiGe growth for uniform nucleation
US7071125B2 (en) * 2004-09-22 2006-07-04 Intel Corporation Precursors for film formation
US7966969B2 (en) 2004-09-22 2011-06-28 Asm International N.V. Deposition of TiN films in a batch reactor
US7314513B1 (en) 2004-09-24 2008-01-01 Kovio, Inc. Methods of forming a doped semiconductor thin film, doped semiconductor thin film structures, doped silane compositions, and methods of making such compositions
TW200619416A (en) * 2004-09-30 2006-06-16 Aviza Tech Inc Method and apparatus for low temperature dielectric deposition using monomolecular precursors
EP1655767B1 (en) * 2004-10-13 2017-03-22 Imec Method for making a passivated semiconductor substrate
WO2006044268A1 (en) * 2004-10-13 2006-04-27 Dow Global Technologies Inc. Catalysed diesel soot filter and process for its use
US7674726B2 (en) * 2004-10-15 2010-03-09 Asm International N.V. Parts for deposition reactors
US7427571B2 (en) * 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
US20060084283A1 (en) * 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
JP4945072B2 (ja) * 2004-11-09 2012-06-06 株式会社東芝 半導体装置及びその製造方法
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
JP2006176811A (ja) * 2004-12-21 2006-07-06 Rikogaku Shinkokai 結晶性SiC膜の製造方法
KR100579860B1 (ko) * 2004-12-23 2006-05-12 동부일렉트로닉스 주식회사 원자층 증착법(ald) 및 ⅲ족 중금속을 이용한 반도체소자의 p형 폴리실리콘막 형성 방법
US9640649B2 (en) * 2004-12-30 2017-05-02 Infineon Technologies Americas Corp. III-nitride power semiconductor with a field relaxation feature
US7704896B2 (en) * 2005-01-21 2010-04-27 Asm International, N.V. Atomic layer deposition of thin films on germanium
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7687383B2 (en) * 2005-02-04 2010-03-30 Asm America, Inc. Methods of depositing electrically active doped crystalline Si-containing films
US7772088B2 (en) * 2005-02-28 2010-08-10 Silicon Genesis Corporation Method for manufacturing devices on a multi-layered substrate utilizing a stiffening backing substrate
US7629267B2 (en) * 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
UY29504A1 (es) 2005-04-29 2006-10-31 Rinat Neuroscience Corp Anticuerpos dirigidos contra el péptido amiloide beta y métodos que utilizan los mismos.
US7875556B2 (en) * 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7473655B2 (en) * 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
WO2007000186A1 (en) * 2005-06-29 2007-01-04 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Deposition method of ternary films
US20070031598A1 (en) * 2005-07-08 2007-02-08 Yoshikazu Okuyama Method for depositing silicon-containing films
US20070010072A1 (en) * 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
US7195934B2 (en) 2005-07-11 2007-03-27 Applied Materials, Inc. Method and system for deposition tuning in an epitaxial film growth apparatus
US7674687B2 (en) * 2005-07-27 2010-03-09 Silicon Genesis Corporation Method and structure for fabricating multiple tiled regions onto a plate using a controlled cleaving process
US20070029043A1 (en) * 2005-08-08 2007-02-08 Silicon Genesis Corporation Pre-made cleavable substrate method and structure of fabricating devices using one or more films provided by a layer transfer process
US7166520B1 (en) * 2005-08-08 2007-01-23 Silicon Genesis Corporation Thin handle substrate method and structure for fabricating devices using one or more films provided by a layer transfer process
US7427554B2 (en) * 2005-08-12 2008-09-23 Silicon Genesis Corporation Manufacturing strained silicon substrates using a backing material
US20070054048A1 (en) * 2005-09-07 2007-03-08 Suvi Haukka Extended deposition range by hot spots
TW200713455A (en) * 2005-09-20 2007-04-01 Applied Materials Inc Method to form a device on a SOI substrate
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
DE102005047221B4 (de) * 2005-10-01 2015-08-06 APSOL GmbH Halbleiterschichtstruktur, Bauelement mit einer solchen Halbleiterschichtstruktur, Halbleiterschichtstruktur-Scheiben und Verfahren zu deren Herstellung
US7943721B2 (en) * 2005-10-05 2011-05-17 Kovio, Inc. Linear and cross-linked high molecular weight polysilanes, polygermanes, and copolymers thereof, compositions containing the same, and methods of making and using such compounds and compositions
US7294581B2 (en) * 2005-10-17 2007-11-13 Applied Materials, Inc. Method for fabricating silicon nitride spacer structures
US20070096091A1 (en) * 2005-11-03 2007-05-03 Chih-Chun Wang Layer structure and removing method thereof and mehod of testing semiconductor machine
US7300849B2 (en) * 2005-11-04 2007-11-27 Atmel Corporation Bandgap engineered mono-crystalline silicon cap layers for SiGe HBT performance enhancement
US20070119370A1 (en) 2005-11-04 2007-05-31 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US7651919B2 (en) * 2005-11-04 2010-01-26 Atmel Corporation Bandgap and recombination engineered emitter layers for SiGe HBT performance optimization
US7439558B2 (en) 2005-11-04 2008-10-21 Atmel Corporation Method and system for controlled oxygen incorporation in compound semiconductor films for device performance enhancement
US8530934B2 (en) 2005-11-07 2013-09-10 Atmel Corporation Integrated circuit structures containing a strain-compensated compound semiconductor layer and methods and systems related thereto
US7416995B2 (en) * 2005-11-12 2008-08-26 Applied Materials, Inc. Method for fabricating controlled stress silicon nitride films
US7465669B2 (en) * 2005-11-12 2008-12-16 Applied Materials, Inc. Method of fabricating a silicon nitride stack
US20070116888A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
JP4792956B2 (ja) * 2005-12-13 2011-10-12 セイコーエプソン株式会社 半導体基板の製造方法及び半導体装置の製造方法
JP4792957B2 (ja) * 2005-12-14 2011-10-12 セイコーエプソン株式会社 半導体基板の製造方法及び半導体装置の製造方法
US7553516B2 (en) * 2005-12-16 2009-06-30 Asm International N.V. System and method of reducing particle contamination of semiconductor substrates
US7718518B2 (en) * 2005-12-16 2010-05-18 Asm International N.V. Low temperature doped silicon layer formation
US20070154637A1 (en) * 2005-12-19 2007-07-05 Rohm And Haas Electronic Materials Llc Organometallic composition
US7312154B2 (en) * 2005-12-20 2007-12-25 Corning Incorporated Method of polishing a semiconductor-on-insulator structure
JP2009521801A (ja) 2005-12-22 2009-06-04 エーエスエム アメリカ インコーポレイテッド ドープされた半導体物質のエピタキシャル堆積
US20070148890A1 (en) * 2005-12-27 2007-06-28 Enicks Darwin G Oxygen enhanced metastable silicon germanium film layer
WO2007077917A1 (ja) * 2005-12-28 2007-07-12 Hitachi Kokusai Electric Inc. 半導体装置の製造方法および基板処理装置
WO2007081807A2 (en) * 2006-01-09 2007-07-19 International Rectifier Corporation Iii-nitride power semiconductor with a field relaxation feature
US20070178678A1 (en) * 2006-01-28 2007-08-02 Varian Semiconductor Equipment Associates, Inc. Methods of implanting ions and ion sources used for same
KR100745372B1 (ko) * 2006-02-06 2007-08-02 삼성전자주식회사 반도체 제조설비의 개스플로우량 감시장치 및 그 방법
US9670244B2 (en) 2006-02-27 2017-06-06 The Regents Of The University Of California Oxysterol compounds and the hedgehog pathway
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US7863157B2 (en) * 2006-03-17 2011-01-04 Silicon Genesis Corporation Method and structure for fabricating solar cells using a layer transfer process
US7901968B2 (en) * 2006-03-23 2011-03-08 Asm America, Inc. Heteroepitaxial deposition over an oxidized surface
US7598153B2 (en) * 2006-03-31 2009-10-06 Silicon Genesis Corporation Method and structure for fabricating bonded substrate structures using thermal processing to remove oxygen species
EP2002484A4 (en) 2006-04-05 2016-06-08 Silicon Genesis Corp METHOD AND STRUCTURE FOR MANUFACTURING PHOTOVOLTAIC CELLS USING A LAYER TRANSFER PROCESS
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
KR101170210B1 (ko) * 2006-05-01 2012-08-01 어플라이드 머티어리얼스, 인코포레이티드 탄소 합금된 si 필름을 사용한 초박형 접합 형성 방법
DE102006020825A1 (de) * 2006-05-04 2007-11-08 Siltronic Ag Verfahren zur Herstellung einer Schichtenstruktur
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
KR101499260B1 (ko) * 2006-05-12 2015-03-05 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 상 변화 메모리 재료의 저온 증착
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US7691757B2 (en) 2006-06-22 2010-04-06 Asm International N.V. Deposition of complex nitride films
US7648853B2 (en) 2006-07-11 2010-01-19 Asm America, Inc. Dual channel heterostructure
US8153513B2 (en) 2006-07-25 2012-04-10 Silicon Genesis Corporation Method and system for continuous large-area scanning implantation process
US7547621B2 (en) * 2006-07-25 2009-06-16 Applied Materials, Inc. LPCVD gate hard mask
DE112007001814T5 (de) 2006-07-31 2009-06-04 Applied Materials, Inc., Santa Clara Verfahren zum Bilden kohlenstoffhaltiger Siliziumepitaxieschichten
JP5175285B2 (ja) * 2006-07-31 2013-04-03 アプライド マテリアルズ インコーポレイテッド エピタキシャル層形成中の形態制御方法
KR100753546B1 (ko) * 2006-08-22 2007-08-30 삼성전자주식회사 트랜지스터의 게이트 및 그 형성 방법.
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
KR101506136B1 (ko) 2006-10-24 2015-03-26 다우 코닝 코포레이션 네오펜타실란을 포함하는 조성물 및 이의 제조 방법
US7550758B2 (en) 2006-10-31 2009-06-23 Atmel Corporation Method for providing a nanoscale, high electron mobility transistor (HEMT) on insulator
KR101097112B1 (ko) 2006-11-02 2011-12-22 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 박막의 cvd/ald용으로 유용한 안티몬 및 게르마늄 착체
US7642150B2 (en) * 2006-11-08 2010-01-05 Varian Semiconductor Equipment Associates, Inc. Techniques for forming shallow junctions
US7741200B2 (en) * 2006-12-01 2010-06-22 Applied Materials, Inc. Formation and treatment of epitaxial layer containing silicon and carbon
US7837790B2 (en) * 2006-12-01 2010-11-23 Applied Materials, Inc. Formation and treatment of epitaxial layer containing silicon and carbon
US20080132039A1 (en) * 2006-12-01 2008-06-05 Yonah Cho Formation and treatment of epitaxial layer containing silicon and carbon
US20080138955A1 (en) * 2006-12-12 2008-06-12 Zhiyuan Ye Formation of epitaxial layer containing silicon
US8394196B2 (en) * 2006-12-12 2013-03-12 Applied Materials, Inc. Formation of in-situ phosphorus doped epitaxial layer containing silicon and carbon
US7897495B2 (en) * 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
US7960236B2 (en) * 2006-12-12 2011-06-14 Applied Materials, Inc. Phosphorus containing Si epitaxial layers in N-type source/drain junctions
US8110412B2 (en) * 2006-12-22 2012-02-07 Spansion Llc Integrated circuit wafer system with control strategy
US20080173239A1 (en) 2007-01-24 2008-07-24 Yuri Makarov Method, system, and apparatus for the growth of SiC and related or similar material, by chemical vapor deposition, using precursors in modified cold-wall reactor
US7901508B2 (en) * 2007-01-24 2011-03-08 Widetronix, Inc. Method, system, and apparatus for the growth of SiC and related or similar material, by chemical vapor deposition, using precursors in modified cold-wall reactor
US9064960B2 (en) * 2007-01-31 2015-06-23 Applied Materials, Inc. Selective epitaxy process control
ITMI20070271A1 (it) * 2007-02-14 2008-08-15 St Microelectronics Srl Processo peer fabbricare un dispositivo tft con regioni di source e dain aventi un profilo di drogante graduale
JP2008218661A (ja) * 2007-03-02 2008-09-18 Fujitsu Ltd 電界効果型半導体装置及びその製造方法
US8367548B2 (en) * 2007-03-16 2013-02-05 Asm America, Inc. Stable silicide films and methods for making the same
EP1973150A1 (en) * 2007-03-20 2008-09-24 S.O.I. Tec Silicon on Insulator Technologies S.A. A (110) oriented silicon substrate and a bonded pair of substrates comprising said (110) oriented silicon substrate and corresponding methods of fabricating same
US7456061B2 (en) * 2007-03-30 2008-11-25 Agere Systems Inc. Method to reduce boron penetration in a SiGe bipolar device
US20080246101A1 (en) * 2007-04-05 2008-10-09 Applied Materials Inc. Method of poly-silicon grain structure formation
JP4854591B2 (ja) * 2007-05-14 2012-01-18 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US7629256B2 (en) * 2007-05-14 2009-12-08 Asm International N.V. In situ silicon and titanium nitride deposition
ES2331824B1 (es) * 2007-06-18 2010-10-22 Consejo Superior De Investigaciones Cientificas (Csic) Microcabidades opticas y esponjas fotonicas, procedimiento de producc ion y sus aplicaciones en la fabricacion de dispositivos fotonicos.
US8017182B2 (en) * 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US7638170B2 (en) 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
EP2168161B1 (en) * 2007-06-25 2015-09-23 Sandisk 3D LLC Nonvolatile memory device containing carbon or nitrogen doped diode and method of making thereof
US8072791B2 (en) * 2007-06-25 2011-12-06 Sandisk 3D Llc Method of making nonvolatile memory device containing carbon or nitrogen doped diode
US8102694B2 (en) * 2007-06-25 2012-01-24 Sandisk 3D Llc Nonvolatile memory device containing carbon or nitrogen doped diode
KR100812089B1 (ko) * 2007-06-26 2008-03-07 주식회사 동부하이텍 플래시 메모리 소자의 제조 방법
US7799376B2 (en) * 2007-07-27 2010-09-21 Dalsa Semiconductor Inc. Method of controlling film stress in MEMS devices
JP5164465B2 (ja) * 2007-07-27 2013-03-21 株式会社アルバック 樹脂基板
US7851307B2 (en) * 2007-08-17 2010-12-14 Micron Technology, Inc. Method of forming complex oxide nanodots for a charge trap
US7759199B2 (en) * 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US7972898B2 (en) * 2007-09-26 2011-07-05 Eastman Kodak Company Process for making doped zinc oxide
US20090206275A1 (en) * 2007-10-03 2009-08-20 Silcon Genesis Corporation Accelerator particle beam apparatus and method for low contaminate processing
US7776698B2 (en) 2007-10-05 2010-08-17 Applied Materials, Inc. Selective formation of silicon carbon epitaxial layer
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US7772097B2 (en) * 2007-11-05 2010-08-10 Asm America, Inc. Methods of selectively depositing silicon-containing films
US8282735B2 (en) * 2007-11-27 2012-10-09 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
EP2231164A1 (en) 2007-12-03 2010-09-29 The Regents of the University of California Oxysterols for activation of hedgehog signaling, osteoinduction, antiadipogenesis, and wnt signaling
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
US7989360B2 (en) 2008-01-07 2011-08-02 Micron Technology, Inc. Semiconductor processing methods, and methods for forming silicon dioxide
US8347814B2 (en) * 2008-01-22 2013-01-08 Raytheon Canada Limited Method and apparatus for coating a curved surface
US8318252B2 (en) * 2008-01-28 2012-11-27 Air Products And Chemicals, Inc. Antimony precursors for GST films in ALD/CVD processes
US20090203197A1 (en) * 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8003957B2 (en) * 2008-02-11 2011-08-23 Varian Semiconductor Equipment Associates, Inc. Ethane implantation with a dilution gas
US20090200494A1 (en) * 2008-02-11 2009-08-13 Varian Semiconductor Equipment Associates, Inc. Techniques for cold implantation of carbon-containing species
US20090258151A1 (en) * 2008-04-10 2009-10-15 Raytheon Company Method and Apparatus for Coating Curved Surfaces
US7720342B2 (en) * 2008-04-15 2010-05-18 Hewlett-Packard Development Company, L.P. Optical device with a graded bandgap structure and methods of making and using the same
US7947552B2 (en) * 2008-04-21 2011-05-24 Infineon Technologies Ag Process for the simultaneous deposition of crystalline and amorphous layers with doping
US9175390B2 (en) 2008-04-25 2015-11-03 Asm International N.V. Synthesis and use of precursors for ALD of tellurium and selenium thin films
US20090267118A1 (en) * 2008-04-29 2009-10-29 International Business Machines Corporation Method for forming carbon silicon alloy (csa) and structures thereof
US8398776B2 (en) * 2008-05-12 2013-03-19 Raytheon Canada Limited Method and apparatus for supporting workpieces in a coating apparatus
JP5519649B2 (ja) * 2008-05-29 2014-06-11 エヌディーエスユー リサーチ ファウンデーション 官能化されたシランの形成法
US7943527B2 (en) * 2008-05-30 2011-05-17 The Board Of Trustees Of The University Of Illinois Surface preparation for thin film growth by enhanced nucleation
US8246748B2 (en) * 2008-07-09 2012-08-21 Raytheon Canada Limited Method and apparatus for coating surfaces
US8343583B2 (en) 2008-07-10 2013-01-01 Asm International N.V. Method for vaporizing non-gaseous precursor in a fluidized bed
JP5336956B2 (ja) * 2008-07-31 2013-11-06 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US8491967B2 (en) 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8252112B2 (en) * 2008-09-12 2012-08-28 Ovshinsky Innovation, Llc High speed thin film deposition via pre-selected intermediate
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8012876B2 (en) * 2008-12-02 2011-09-06 Asm International N.V. Delivery of vapor precursor from solid source
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
DE102008063402B4 (de) * 2008-12-31 2013-10-17 Advanced Micro Devices, Inc. Verringerung der Schwellwertspannungsfluktuation in Transistoren mit einer Kanalhalbleiterlegierung durch Verringern der Abscheideungleichmäßigkeiten
US7749917B1 (en) * 2008-12-31 2010-07-06 Applied Materials, Inc. Dry cleaning of silicon surface for solar cell applications
US20100178758A1 (en) * 2009-01-15 2010-07-15 Macronix International Co., Ltd. Methods for fabricating dielectric layer and non-volatile memory
JP2012516572A (ja) * 2009-01-30 2012-07-19 エイエムジー・アイデアルキャスト・ソーラー・コーポレーション シード層及びシード層の製造方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8486191B2 (en) 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
DE102009002758A1 (de) * 2009-04-30 2010-11-11 Evonik Degussa Gmbh Bandgap Tailoring von Solarzellen aus Flüssigsilan mittels Germanium-Zugabe
US20100279479A1 (en) * 2009-05-01 2010-11-04 Varian Semiconductor Equipment Associates, Inc. Formation Of Raised Source/Drain On A Strained Thin Film Implanted With Cold And/Or Molecular Carbon
DE102009032854B4 (de) * 2009-07-13 2015-07-23 Texas Instruments Deutschland Gmbh Verfahren zur Herstellung von Bipolartransistorstrukturen in einem Halbleiterprozess
JP2011023718A (ja) * 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US20110020623A1 (en) * 2009-07-22 2011-01-27 Raytheon Company Method and Apparatus for Repairing an Optical Component Substrate Through Coating
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8273617B2 (en) 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
US8421162B2 (en) 2009-09-30 2013-04-16 Suvolta, Inc. Advanced transistors with punch through suppression
CN102687243B (zh) 2009-10-26 2016-05-11 Asm国际公司 用于含va族元素的薄膜ald的前体的合成和使用
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US8975429B2 (en) 2010-01-28 2015-03-10 Ndsu Research Foundation Method of producing cyclohexasilane compounds
US9028924B2 (en) 2010-03-25 2015-05-12 Novellus Systems, Inc. In-situ deposition of film stacks
US20130157466A1 (en) * 2010-03-25 2013-06-20 Keith Fox Silicon nitride films for semiconductor device applications
US8709551B2 (en) * 2010-03-25 2014-04-29 Novellus Systems, Inc. Smooth silicon-containing films
US20120142172A1 (en) * 2010-03-25 2012-06-07 Keith Fox Pecvd deposition of smooth polysilicon films
US8741394B2 (en) 2010-03-25 2014-06-03 Novellus Systems, Inc. In-situ deposition of film stacks
US8530286B2 (en) 2010-04-12 2013-09-10 Suvolta, Inc. Low power semiconductor transistor structure and method of fabrication thereof
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
JP5692763B2 (ja) * 2010-05-20 2015-04-01 東京エレクトロン株式会社 シリコン膜の形成方法およびその形成装置
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
US8569128B2 (en) 2010-06-21 2013-10-29 Suvolta, Inc. Semiconductor structure and method of fabrication thereof with mixed metal types
US8759872B2 (en) 2010-06-22 2014-06-24 Suvolta, Inc. Transistor with threshold voltage set notch and method of fabrication thereof
US8466045B2 (en) * 2010-07-02 2013-06-18 Tokyo Electron Limited Method of forming strained epitaxial carbon-doped silicon films
WO2012002994A1 (en) * 2010-07-02 2012-01-05 Matheson Tri-Gas, Inc. Selective epitaxy of si-containing materials and substitutionally doped crystalline si-containing materials
US8263988B2 (en) * 2010-07-16 2012-09-11 Micron Technology, Inc. Solid state lighting devices with reduced crystal lattice dislocations and associated methods of manufacturing
US9017486B2 (en) * 2010-09-09 2015-04-28 International Business Machines Corporation Deposition chamber cleaning method including stressed cleaning layer
SG10201507319XA (en) * 2010-09-15 2015-10-29 Praxair Technology Inc Method for extending lifetime of an ion source
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8377783B2 (en) 2010-09-30 2013-02-19 Suvolta, Inc. Method for reducing punch-through in a transistor device
JP5544343B2 (ja) * 2010-10-29 2014-07-09 東京エレクトロン株式会社 成膜装置
SG10201509808WA (en) * 2010-11-30 2015-12-30 Entegris Inc Ion implanter system including remote dopant source, and method comprising same
US8404551B2 (en) 2010-12-03 2013-03-26 Suvolta, Inc. Source/drain extension control for advanced transistors
US8901537B2 (en) 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
US9484432B2 (en) 2010-12-21 2016-11-01 Intel Corporation Contact resistance reduction employing germanium overlayer pre-contact metalization
EP2474643B1 (en) 2011-01-11 2016-01-06 Imec Method for direct deposition of a germanium layer
DE102011009964A1 (de) * 2011-02-01 2012-08-02 Linde Aktiengesellschaft Verfahren zum Weich-, Hart- und Hochtemperaturlöten
DE102011009963A1 (de) * 2011-02-01 2012-08-02 Linde Aktiengesellschaft Verfahren zum Lichtbogenfügen und Schutzgasmischung
US8461875B1 (en) 2011-02-18 2013-06-11 Suvolta, Inc. Digital circuits having improved transistors, and methods therefor
US8525271B2 (en) 2011-03-03 2013-09-03 Suvolta, Inc. Semiconductor structure with improved channel stack and method for fabrication thereof
US8400219B2 (en) 2011-03-24 2013-03-19 Suvolta, Inc. Analog circuits having improved transistors, and methods therefor
US8748270B1 (en) 2011-03-30 2014-06-10 Suvolta, Inc. Process for manufacturing an improved analog transistor
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US8999861B1 (en) 2011-05-11 2015-04-07 Suvolta, Inc. Semiconductor structure with substitutional boron and method for fabrication thereof
US8796048B1 (en) 2011-05-11 2014-08-05 Suvolta, Inc. Monitoring and measurement of thin film layers
US8811068B1 (en) 2011-05-13 2014-08-19 Suvolta, Inc. Integrated circuit devices and methods
US8569156B1 (en) 2011-05-16 2013-10-29 Suvolta, Inc. Reducing or eliminating pre-amorphization in transistor manufacture
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8735987B1 (en) 2011-06-06 2014-05-27 Suvolta, Inc. CMOS gate stack structures and processes
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10043934B2 (en) * 2011-06-08 2018-08-07 International Business Machines Corporation Silicon-containing heterojunction photovoltaic element and device
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US8995204B2 (en) 2011-06-23 2015-03-31 Suvolta, Inc. Circuit devices and methods having adjustable transistor body bias
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8629016B1 (en) 2011-07-26 2014-01-14 Suvolta, Inc. Multiple transistor types formed in a common epitaxial layer by differential out-diffusion from a doped underlayer
WO2013022753A2 (en) 2011-08-05 2013-02-14 Suvolta, Inc. Semiconductor devices having fin structures and fabrication methods thereof
US8748986B1 (en) 2011-08-05 2014-06-10 Suvolta, Inc. Electronic device with controlled threshold voltage
US8778811B2 (en) * 2011-08-18 2014-07-15 Intermolecular, Inc. Low temperature migration enhanced Si-Ge epitaxy with plasma assisted surface activation
US8645878B1 (en) 2011-08-23 2014-02-04 Suvolta, Inc. Porting a circuit design from a first semiconductor process to a second semiconductor process
US8614128B1 (en) 2011-08-23 2013-12-24 Suvolta, Inc. CMOS structures and processes based on selective thinning
US8713511B1 (en) 2011-09-16 2014-04-29 Suvolta, Inc. Tools and methods for yield-aware semiconductor manufacturing process target generation
US8841742B2 (en) 2011-09-27 2014-09-23 Soitec Low temperature layer transfer process using donor structure with material in recesses in transfer layer, semiconductor structures fabricated using such methods
JP5741382B2 (ja) * 2011-09-30 2015-07-01 東京エレクトロン株式会社 薄膜の形成方法及び成膜装置
US9236466B1 (en) 2011-10-07 2016-01-12 Mie Fujitsu Semiconductor Limited Analog circuits having improved insulated gate transistors, and methods therefor
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8895327B1 (en) 2011-12-09 2014-11-25 Suvolta, Inc. Tipless transistors, short-tip transistors, and methods and circuits therefor
US8819603B1 (en) 2011-12-15 2014-08-26 Suvolta, Inc. Memory circuits and methods of making and designing the same
US8883600B1 (en) 2011-12-22 2014-11-11 Suvolta, Inc. Transistor having reduced junction leakage and methods of forming thereof
US8599623B1 (en) 2011-12-23 2013-12-03 Suvolta, Inc. Circuits and methods for measuring circuit elements in an integrated circuit device
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8970289B1 (en) 2012-01-23 2015-03-03 Suvolta, Inc. Circuits and devices for generating bi-directional body bias voltages, and methods therefor
US8877619B1 (en) 2012-01-23 2014-11-04 Suvolta, Inc. Process for manufacture of integrated circuits with different channel doping transistor architectures and devices therefrom
US9093550B1 (en) 2012-01-31 2015-07-28 Mie Fujitsu Semiconductor Limited Integrated circuits having a plurality of high-K metal gate FETs with various combinations of channel foundation structure and gate stack structure and methods of making same
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US9406567B1 (en) 2012-02-28 2016-08-02 Mie Fujitsu Semiconductor Limited Method for fabricating multiple transistor devices on a substrate with varying threshold voltages
US9127345B2 (en) 2012-03-06 2015-09-08 Asm America, Inc. Methods for depositing an epitaxial silicon germanium layer having a germanium to silicon ratio greater than 1:1 using silylgermane and a diluent
US8863064B1 (en) 2012-03-23 2014-10-14 Suvolta, Inc. SRAM cell layout structure and devices therefrom
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
KR102025441B1 (ko) 2012-04-06 2019-09-25 노벨러스 시스템즈, 인코포레이티드 증착 후 소프트 어닐링
JP6262723B2 (ja) 2012-05-07 2018-01-17 ザ リージェンツ オブ ザ ユニバーシティ オブ カリフォルニアThe Regents Of The University Of California オキシステロールアナログoxy133は、骨発生及びヘッジホッグシグナル伝達を誘導し、脂肪生成を阻害する
US9117668B2 (en) 2012-05-23 2015-08-25 Novellus Systems, Inc. PECVD deposition of smooth silicon films
US9064924B2 (en) * 2012-05-24 2015-06-23 International Business Machines Corporation Heterojunction bipolar transistors with intrinsic interlayers
US8889529B2 (en) * 2012-05-24 2014-11-18 International Business Machines Corporation Heterojunction bipolar transistors with thin epitaxial contacts
US9299698B2 (en) 2012-06-27 2016-03-29 Mie Fujitsu Semiconductor Limited Semiconductor structure with multiple transistors having various threshold voltages
US9064694B2 (en) * 2012-07-12 2015-06-23 Tokyo Electron Limited Nitridation of atomic layer deposited high-k dielectrics using trisilylamine
US9388491B2 (en) 2012-07-23 2016-07-12 Novellus Systems, Inc. Method for deposition of conformal films with catalysis assisted low temperature CVD
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8637955B1 (en) 2012-08-31 2014-01-28 Suvolta, Inc. Semiconductor structure with reduced junction leakage and method of fabrication thereof
US9171715B2 (en) 2012-09-05 2015-10-27 Asm Ip Holding B.V. Atomic layer deposition of GeO2
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9112057B1 (en) 2012-09-18 2015-08-18 Mie Fujitsu Semiconductor Limited Semiconductor devices with dopant migration suppression and method of fabrication thereof
US9041126B2 (en) 2012-09-21 2015-05-26 Mie Fujitsu Semiconductor Limited Deeply depleted MOS transistors having a screening layer and methods thereof
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US8946035B2 (en) * 2012-09-27 2015-02-03 Taiwan Semiconductor Manufacturing Co., Ltd. Replacement channels for semiconductor devices and methods for forming the same using dopant concentration boost
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
WO2014057748A1 (ja) 2012-10-12 2014-04-17 住友電気工業株式会社 Iii族窒化物複合基板およびその製造方法、ならびにiii族窒化物半導体デバイスの製造方法
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
CN104854698A (zh) 2012-10-31 2015-08-19 三重富士通半导体有限责任公司 具有低变化晶体管外围电路的dram型器件以及相关方法
JP2014093345A (ja) * 2012-11-01 2014-05-19 Japan Advanced Institute Of Science & Technology Hokuriku 複数の基板上へシリコン膜を一括して形成する方法
US8816754B1 (en) 2012-11-02 2014-08-26 Suvolta, Inc. Body bias circuits and methods
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9093997B1 (en) 2012-11-15 2015-07-28 Mie Fujitsu Semiconductor Limited Slew based process and bias monitors and related methods
US9512519B2 (en) 2012-12-03 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition apparatus and method
US9070477B1 (en) 2012-12-12 2015-06-30 Mie Fujitsu Semiconductor Limited Bit interleaved low voltage static random access memory (SRAM) and related methods
US9112484B1 (en) 2012-12-20 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit process and bias monitors and related methods
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
CN103107095A (zh) * 2013-01-25 2013-05-15 京东方科技集团股份有限公司 薄膜晶体管及其制作方法、阵列基板、显示装置
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9268885B1 (en) 2013-02-28 2016-02-23 Mie Fujitsu Semiconductor Limited Integrated circuit device methods and models with predicted device metric variations
US8994415B1 (en) 2013-03-01 2015-03-31 Suvolta, Inc. Multiple VDD clock buffer
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8988153B1 (en) 2013-03-09 2015-03-24 Suvolta, Inc. Ring oscillator with NMOS or PMOS variation insensitivity
US9299801B1 (en) 2013-03-14 2016-03-29 Mie Fujitsu Semiconductor Limited Method for fabricating a transistor device with a tuned dopant profile
US9449967B1 (en) 2013-03-15 2016-09-20 Fujitsu Semiconductor Limited Transistor array structure
US9112495B1 (en) 2013-03-15 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit device body bias circuits and methods
US9214630B2 (en) 2013-04-11 2015-12-15 Air Products And Chemicals, Inc. Method of making a multicomponent film
CA2911205A1 (en) 2013-05-02 2014-11-06 The Regents Of The University Of California Bone-selective osteogenic oxysterol-bone targeting agents
US9478571B1 (en) 2013-05-24 2016-10-25 Mie Fujitsu Semiconductor Limited Buried channel deeply depleted channel transistor
US8895415B1 (en) 2013-05-31 2014-11-25 Novellus Systems, Inc. Tensile stressed doped amorphous silicon
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
EP2978868A4 (en) * 2013-07-12 2017-01-04 Hewlett-Packard Development Company L.P. Amorphous thin metal film
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US8976575B1 (en) 2013-08-29 2015-03-10 Suvolta, Inc. SRAM performance monitor
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9920077B2 (en) 2013-09-27 2018-03-20 L'Air Liquide, SociétéAnonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Amine substituted trisilylamine and tridisilylamine compounds and synthesis methods thereof
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US20150171321A1 (en) 2013-12-13 2015-06-18 Micron Technology, Inc. Methods of forming metal on inhomogeneous surfaces and structures incorporating metal on inhomogeneous surfaces
US9218963B2 (en) 2013-12-19 2015-12-22 Asm Ip Holding B.V. Cyclical deposition of germanium
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
KR102195139B1 (ko) 2014-02-20 2020-12-24 삼성전자주식회사 반도체 장치의 제조 방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP2014166957A (ja) * 2014-04-24 2014-09-11 Sumitomo Electric Ind Ltd 炭化珪素半導体およびその製造方法と製造装置
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9710006B2 (en) 2014-07-25 2017-07-18 Mie Fujitsu Semiconductor Limited Power up body bias circuits and methods
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10177310B2 (en) 2014-07-30 2019-01-08 Hewlett Packard Enterprise Development Lp Amorphous metal alloy electrodes in non-volatile device applications
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
WO2016019268A1 (en) * 2014-08-01 2016-02-04 3M Innovative Properties Company Substrate with amorphous, covalently-bonded layer and method of making the same
US9319013B2 (en) 2014-08-19 2016-04-19 Mie Fujitsu Semiconductor Limited Operational amplifier input offset correction with transistor threshold voltage adjustment
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN105609406B (zh) * 2014-11-19 2018-09-28 株式会社日立国际电气 半导体器件的制造方法、衬底处理装置、气体供给系统
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9390925B1 (en) 2014-12-17 2016-07-12 GlobalFoundries, Inc. Silicon—germanium (SiGe) fin formation
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US11124876B2 (en) 2015-03-30 2021-09-21 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10403744B2 (en) * 2015-06-29 2019-09-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices comprising 2D-materials and methods of manufacture thereof
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
WO2017040623A1 (en) 2015-09-01 2017-03-09 Silcotek Corp. Thermal chemical vapor deposition coating
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US20170211180A1 (en) * 2016-01-22 2017-07-27 Silcotek Corp. Diffusion-rate-limited thermal chemical vapor deposition coating
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
JP6575433B2 (ja) * 2016-05-23 2019-09-18 株式会社デンソー 半導体装置の製造方法
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
WO2018067191A1 (en) 2016-10-03 2018-04-12 Applied Materials, Inc. Multi-channel flow ratio controller and processing chamber
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
WO2018125141A1 (en) * 2016-12-29 2018-07-05 Intel Corporation Methods for incorporating stabilized carbon into silicon nitride films
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10460932B2 (en) * 2017-03-31 2019-10-29 Asm Ip Holding B.V. Semiconductor device with amorphous silicon filled gaps and methods for forming
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
RU2661320C1 (ru) * 2017-04-26 2018-07-13 Закрытое акционерное общество Научно-инженерный центр "ИНКОМСИСТЕМ" Способ гидрофобизации субстрата
KR20180122297A (ko) * 2017-05-02 2018-11-12 어플라이드 머티어리얼스, 인코포레이티드 텅스텐 필러들을 형성하는 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
FR3073665B1 (fr) * 2017-11-15 2019-11-29 Centre National De La Recherche Scientifique Procede de fabrication de couche mince transferable
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
WO2020028028A1 (en) 2018-07-30 2020-02-06 Applied Materials, Inc. Method of selective silicon germanium epitaxy at low temperatures
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11791159B2 (en) 2019-01-17 2023-10-17 Ramesh kumar Harjivan Kakkad Method of fabricating thin, crystalline silicon film and thin film transistors
US11562903B2 (en) * 2019-01-17 2023-01-24 Ramesh kumar Harjivan Kakkad Method of fabricating thin, crystalline silicon film and thin film transistors
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11589572B2 (en) 2019-05-23 2023-02-28 Scott A. Butz Moving decoy support system
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
WO2020252306A1 (en) 2019-06-14 2020-12-17 Silcotek Corp. Nano-wire growth
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11649560B2 (en) 2019-06-20 2023-05-16 Applied Materials, Inc. Method for forming silicon-phosphorous materials
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210035449A (ko) 2019-09-24 2021-04-01 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
CN111074217A (zh) * 2019-12-24 2020-04-28 江苏杰太光电技术有限公司 一种掺杂非晶硅的靶材及太阳能电池制备方法
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11551926B2 (en) * 2021-01-22 2023-01-10 Micron Technology, Inc. Methods of forming a microelectronic device, and related systems and additional methods
KR20230170068A (ko) * 2021-04-21 2023-12-18 엔테그리스, 아이엔씨. 규소 전구체 화합물 및 규소 함유 막의 형성 방법
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
FR3131332A1 (fr) * 2021-12-23 2023-06-30 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Nouveaux dérivés de silyle et polysilyle inorganiques d’éléments du groupe v et procédés de synthèse de ceux-ci et procédés d’utilisation de ceux-ci pour un dépôt
WO2023121973A1 (en) * 2021-12-23 2023-06-29 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude New inorganic silyl and polysilyl derivatives of group v elements and methods of synthesizing the same and methods of using the same for deposition
EP4215649A1 (en) 2022-01-24 2023-07-26 Ivan Timokhin Preparation of shaped crystalline layers by use of the inner shape/surface of the ampule as a shape forming surface
WO2024004998A1 (ja) * 2022-06-29 2024-01-04 株式会社日本触媒 シリコン膜の製造方法及びシリコン膜

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5510146A (en) * 1991-07-16 1996-04-23 Seiko Epson Corporation CVD apparatus, method of forming semiconductor film, and method of fabricating thin-film semiconductor device
KR19990029923A (ko) * 1997-09-18 1999-04-26 니시무로 타이죠 플랫 패널의 제조방법
KR100209856B1 (ko) * 1990-08-31 1999-07-15 가나이 쓰도무 반도체장치의 제조방법

Family Cites Families (348)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US117956A (en) * 1871-08-08 Improvement in barrel-pitching machines
US2002A (en) * 1841-03-12 Tor and planter for plowing
US495218A (en) * 1893-04-11 Elastic tire
US1217956A (en) * 1916-11-18 1917-03-06 Pittsburgh Plate Glass Co Pot for the manufacture of plate-glass, and the method of making the same.
US1268064A (en) * 1917-06-19 1918-05-28 Johnson & Johnson First-aid packet.
US2155225A (en) * 1936-04-11 1939-04-18 Westinghouse Air Brake Co Empty and load apparatus
US3185817A (en) * 1954-09-30 1965-05-25 North American Aviation Inc Gyroscope filtering and computing system
US3091239A (en) * 1958-08-25 1963-05-28 Moeller Wilhelm Apparatus for intravasal injection of gaseous and liquid media
US3187215A (en) * 1961-10-02 1965-06-01 Bendix Corp Spark gap device
US3292741A (en) * 1964-10-27 1966-12-20 Bendix Corp Parking mechanism for dual brake
DE2023992A1 (de) 1970-05-15 1971-12-02 Siemens Ag Verfahren zum Dotieren von Silicium- oder Germaniumkristallen mit Antimon und/ oder Wismut im Einzonenofen
US3900597A (en) * 1973-12-19 1975-08-19 Motorola Inc System and process for deposition of polycrystalline silicon with silane in vacuum
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
JPS6047202B2 (ja) 1976-01-13 1985-10-21 東北大学金属材料研究所長 超硬高純度の配向多結晶質窒化珪素
GB1573154A (en) * 1977-03-01 1980-08-13 Pilkington Brothers Ltd Coating glass
US4217374A (en) * 1978-03-08 1980-08-12 Energy Conversion Devices, Inc. Amorphous semiconductors equivalent to crystalline semiconductors
US4200666A (en) 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
US4223048A (en) 1978-08-07 1980-09-16 Pacific Western Systems Plasma enhanced chemical vapor processing of semiconductive wafers
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4237150A (en) 1979-04-18 1980-12-02 The United States Of America As Represented By The United States Department Of Energy Method of producing hydrogenated amorphous silicon film
FR2464478A1 (fr) * 1979-09-04 1981-03-06 Suisse Horlogerie Detecteur d'avance d'un moteur pas a pas
US4411729A (en) * 1979-09-29 1983-10-25 Fujitsu Limited Method for a vapor phase growth of a compound semiconductor
US4363828A (en) * 1979-12-12 1982-12-14 International Business Machines Corp. Method for depositing silicon films and related materials by a glow discharge in a disiland or higher order silane gas
US4379020A (en) * 1980-06-16 1983-04-05 Massachusetts Institute Of Technology Polycrystalline semiconductor processing
US4444812A (en) * 1980-07-28 1984-04-24 Monsanto Company Combination gas curtains for continuous chemical vapor deposition production of silicon bodies
JPS57209810A (en) 1981-06-17 1982-12-23 Asahi Chem Ind Co Ltd Preparation of silicon nitride
US4452875A (en) 1982-02-15 1984-06-05 Canon Kabushiki Kaisha Amorphous photoconductive member with α-Si interlayers
JPH0635323B2 (ja) 1982-06-25 1994-05-11 株式会社日立製作所 表面処理方法
US4737379A (en) 1982-09-24 1988-04-12 Energy Conversion Devices, Inc. Plasma deposited coatings, and low temperature plasma method of making same
JPS5958819A (ja) 1982-09-29 1984-04-04 Hitachi Ltd 薄膜形成方法
JPS5978919A (ja) 1982-10-26 1984-05-08 Mitsui Toatsu Chem Inc アモルフアスシリコン膜の形成方法
JPS5978918A (ja) 1982-10-26 1984-05-08 Mitsui Toatsu Chem Inc 広バンドギャップアモルファスシリコン膜の形成方法
JPS5989407A (ja) 1982-11-15 1984-05-23 Mitsui Toatsu Chem Inc アモルフアスシリコン膜の形成方法
JPS6043485B2 (ja) 1982-12-08 1985-09-28 豊田株式会社 高速道路の安全対策装置車
JPS6043485A (ja) 1983-08-19 1985-03-08 Mitsui Toatsu Chem Inc アモルフアスシリコン膜の形成方法
US4557794A (en) 1984-05-07 1985-12-10 Rca Corporation Method for forming a void-free monocrystalline epitaxial layer on a mask
US4578142A (en) * 1984-05-10 1986-03-25 Rca Corporation Method for growing monocrystalline silicon through mask layer
US4634605A (en) * 1984-05-23 1987-01-06 Wiesmann Harold J Method for the indirect deposition of amorphous silicon and polycrystalline silicone and alloys thereof
US4592933A (en) * 1984-06-29 1986-06-03 International Business Machines Corporation High efficiency homogeneous chemical vapor deposition
US4707197A (en) 1984-08-02 1987-11-17 American Telephone And Telegraph Company, At&T Bell Laboratories Method of producing a silicide/Si heteroepitaxial structure, and articles produced by the method
US4631804A (en) 1984-12-10 1986-12-30 At&T Bell Laboratories Technique for reducing substrate warpage springback using a polysilicon subsurface strained layer
JPS61153277A (ja) 1984-12-27 1986-07-11 Agency Of Ind Science & Technol 微結晶シリコン薄膜の製造方法
JPS61191015A (ja) * 1985-02-20 1986-08-25 Hitachi Ltd 半導体の気相成長方法及びその装置
US4615762A (en) 1985-04-30 1986-10-07 Rca Corporation Method for thinning silicon
US4695331A (en) 1985-05-06 1987-09-22 Chronar Corporation Hetero-augmentation of semiconductor materials
JPS61291410A (ja) * 1985-06-17 1986-12-22 Mitsubishi Chem Ind Ltd ケイ素の製造方法
US5769950A (en) * 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
JPH0650730B2 (ja) 1985-09-30 1994-06-29 三井東圧化学株式会社 半導体薄膜の製造方法
JPS6276812A (ja) 1985-09-30 1987-04-08 Toshiba Corp ヒステリシス回路
JPS6277612A (ja) 1985-10-01 1987-04-09 Nippon Atom Ind Group Co Ltd プラント異常診断方法
US4891092A (en) * 1986-01-13 1990-01-02 General Electric Company Method for making a silicon-on-insulator substrate
EG18056A (en) 1986-02-18 1991-11-30 Solarex Corp Dispositif feedstock materials useful in the fabrication of hydrogenated amorphous silicon alloys for photo-voltaic devices and other semiconductor devices
JPS62253771A (ja) 1986-04-28 1987-11-05 Hitachi Ltd 薄膜形成方法
US4755481A (en) * 1986-05-15 1988-07-05 General Electric Company Method of making a silicon-on-insulator transistor
US4761269A (en) * 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4747367A (en) * 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
JP2592238B2 (ja) * 1986-06-24 1997-03-19 セイコー電子工業株式会社 薄膜トランジスタの製造方法
JPS633414A (ja) 1986-06-24 1988-01-08 Agency Of Ind Science & Technol シリコン膜の製造方法
EP0254651B1 (en) 1986-06-28 1991-09-04 Nihon Shinku Gijutsu Kabushiki Kaisha Method and apparatus for chemical vapor deposition
US4684542A (en) 1986-08-11 1987-08-04 International Business Machines Corporation Low pressure chemical vapor deposition of tungsten silicide
US4720395A (en) 1986-08-25 1988-01-19 Anicon, Inc. Low temperature silicon nitride CVD process
US5082696A (en) * 1986-10-03 1992-01-21 Dow Corning Corporation Method of forming semiconducting amorphous silicon films from the thermal decomposition of dihalosilanes
KR900007686B1 (ko) * 1986-10-08 1990-10-18 후지쓰 가부시끼가이샤 선택적으로 산화된 실리콘 기판상에 에피택셜 실리콘층과 다결정 실리콘층을 동시에 성장시키는 기상 증착방법
US4854263B1 (en) 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
EP0305143B1 (en) * 1987-08-24 1993-12-08 Fujitsu Limited Method of selectively forming a conductor layer
JPH01134932A (ja) 1987-11-19 1989-05-26 Oki Electric Ind Co Ltd 基板清浄化方法及び基板清浄化装置
JP2534525B2 (ja) * 1987-12-19 1996-09-18 富士通株式会社 β−炭化シリコン層の製造方法
DE3803895C1 (ko) * 1988-02-09 1989-04-13 Degussa Ag, 6000 Frankfurt, De
JP2835723B2 (ja) 1988-02-26 1998-12-14 富士通株式会社 キャパシタ及びキャパシタの製造方法
JPH01217958A (ja) 1988-02-26 1989-08-31 Toshiba Corp 寄生電流誤動作防止回路
EP0332101B1 (en) * 1988-03-11 1997-06-04 Fujitsu Limited Semiconductor device having a region doped to a level exceeding the solubility limit
KR900015914A (ko) * 1988-04-13 1990-11-10 미다 가쓰시게 유기재료와 무기재료와의 적층구조체
JPH01268064A (ja) 1988-04-20 1989-10-25 Hitachi Ltd 多結晶シリコン薄膜の形成方法
US4933206A (en) 1988-08-17 1990-06-12 Intel Corporation UV-vis characteristic writing in silicon nitride and oxynitride films
US5091761A (en) * 1988-08-22 1992-02-25 Hitachi, Ltd. Semiconductor device having an arrangement of IGFETs and capacitors stacked thereover
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
JPH02225399A (ja) 1988-11-11 1990-09-07 Fujitsu Ltd エピタキシャル成長方法および成長装置
JPH02155225A (ja) 1988-12-08 1990-06-14 Mitsui Toatsu Chem Inc 非晶質半導体薄膜の形成方法
JPH02235327A (ja) * 1989-03-08 1990-09-18 Fujitsu Ltd 半導体成長装置および半導体成長方法
US4963506A (en) 1989-04-24 1990-10-16 Motorola Inc. Selective deposition of amorphous and polycrystalline silicon
US5194398A (en) * 1989-06-28 1993-03-16 Mitsui Toatsu Chemicals, Inc. Semiconductor film and process for its production
US5037666A (en) * 1989-08-03 1991-08-06 Uha Mikakuto Precision Engineering Research Institute Co., Ltd. High-speed film forming method by microwave plasma chemical vapor deposition (CVD) under high pressure
JP2947828B2 (ja) 1989-09-04 1999-09-13 株式会社日立製作所 半導体装置の製造方法
US5214002A (en) * 1989-10-25 1993-05-25 Agency Of Industrial Science And Technology Process for depositing a thermal CVD film of Si or Ge using a hydrogen post-treatment step and an optional hydrogen pre-treatment step
US5068124A (en) 1989-11-17 1991-11-26 International Business Machines Corporation Method for depositing high quality silicon dioxide by pecvd
US5198387A (en) * 1989-12-01 1993-03-30 Texas Instruments Incorporated Method and apparatus for in-situ doping of deposited silicon
JPH03185817A (ja) 1989-12-15 1991-08-13 Seiko Epson Corp 半導体膜の形成方法
JPH03187215A (ja) 1989-12-15 1991-08-15 Sharp Corp シリコン薄膜の製造方法
US4992299A (en) 1990-02-01 1991-02-12 Air Products And Chemicals, Inc. Deposition of silicon nitride films from azidosilane sources
JP3469251B2 (ja) * 1990-02-14 2003-11-25 株式会社東芝 半導体装置の製造方法
JP2917392B2 (ja) 1990-04-10 1999-07-12 セイコーエプソン株式会社 半導体装置の製造方法
US5316844A (en) * 1990-04-16 1994-05-31 Hoya Electronics Corporation Magnetic recording medium comprising an aluminum alloy substrate, now magnetic underlayers, magnetic layer, protective layer, particulate containing protective layer and lubricant layer
US5250452A (en) 1990-04-27 1993-10-05 North Carolina State University Deposition of germanium thin films on silicon dioxide employing interposed polysilicon layer
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
MY107855A (en) * 1990-07-06 1996-06-29 Tsubouchi Kazuo Metal film forming method.
JPH0485818A (ja) 1990-07-26 1992-03-18 Fujitsu Ltd 半導体装置の製造方法
JP3193402B2 (ja) 1990-08-31 2001-07-30 株式会社日立製作所 半導体装置の製造方法
US5080933A (en) * 1990-09-04 1992-01-14 Motorola, Inc. Selective deposition of polycrystalline silicon
US5504704A (en) * 1990-10-29 1996-04-02 Nec Corporation Semiconductor memory device
KR0169495B1 (ko) * 1990-10-31 1999-01-15 쥐. 마샬 애비 폐쇄 혈관신생 이식 물질
US6893906B2 (en) * 1990-11-26 2005-05-17 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and driving method for the same
DE69131570T2 (de) 1990-11-16 2000-02-17 Seiko Epson Corp Verfahren zur Herstellung einer Dünnfilm-Halbleiteranordnung
JPH10223911A (ja) 1990-11-16 1998-08-21 Seiko Epson Corp 薄膜半導体装置
US5849601A (en) * 1990-12-25 1998-12-15 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and method for manufacturing the same
US5110757A (en) * 1990-12-19 1992-05-05 North American Philips Corp. Formation of composite monosilicon/polysilicon layer using reduced-temperature two-step silicon deposition
JPH0691249B2 (ja) * 1991-01-10 1994-11-14 インターナショナル・ビジネス・マシーンズ・コーポレイション 変調ドープ形misfet及びその製造方法
JP3091239B2 (ja) 1991-01-28 2000-09-25 三菱レイヨン株式会社 プラスチック光ファイバコード
US5112773A (en) * 1991-04-10 1992-05-12 Micron Technology, Inc. Methods for texturizing polysilicon utilizing gas phase nucleation
JP2907403B2 (ja) * 1991-03-22 1999-06-21 キヤノン株式会社 堆積膜形成装置
JP2794499B2 (ja) * 1991-03-26 1998-09-03 株式会社半導体エネルギー研究所 半導体装置の作製方法
JPH04299515A (ja) 1991-03-27 1992-10-22 Shin Etsu Chem Co Ltd X線リソグラフィ−マスク用x線透過膜およびその製造方法
JP3200863B2 (ja) * 1991-04-23 2001-08-20 セイコーエプソン株式会社 半導体装置の製造方法
JPH04332115A (ja) 1991-05-02 1992-11-19 Shin Etsu Chem Co Ltd X線リソグラフィ−マスク用x線透過膜
DE69224640T2 (de) 1991-05-17 1998-10-01 Lam Res Corp VERFAHREN ZUR BESCHICHTUNG EINES SIOx FILMES MIT REDUZIERTER INTRINSISCHER SPANNUNG UND/ODER REDUZIERTEM WASSERSTOFFGEHALT
JP2508948B2 (ja) * 1991-06-21 1996-06-19 日本電気株式会社 半導体装置の製造方法
JPH07187892A (ja) * 1991-06-28 1995-07-25 Internatl Business Mach Corp <Ibm> シリコン及びその形成方法
JPH0521385A (ja) * 1991-07-10 1993-01-29 Nippon Steel Corp アルミニウム合金薄膜の製造方法
JP3121131B2 (ja) 1991-08-09 2000-12-25 アプライド マテリアルズ インコーポレイテッド 低温高圧のシリコン蒸着方法
US5614257A (en) 1991-08-09 1997-03-25 Applied Materials, Inc Low temperature, high pressure silicon deposition method
US5695819A (en) 1991-08-09 1997-12-09 Applied Materials, Inc. Method of enhancing step coverage of polysilicon deposits
US5225032A (en) * 1991-08-09 1993-07-06 Allied-Signal Inc. Method of producing stoichiometric, epitaxial, monocrystalline films of silicon carbide at temperatures below 900 degrees centigrade
JP3181357B2 (ja) * 1991-08-19 2001-07-03 株式会社東芝 半導体薄膜の形成方法および半導体装置の製造方法
JP2845303B2 (ja) * 1991-08-23 1999-01-13 株式会社 半導体エネルギー研究所 半導体装置とその作製方法
JPH0562811A (ja) 1991-09-03 1993-03-12 Matsushita Electric Ind Co Ltd 機能トリミング方法
JPH0562911A (ja) * 1991-09-04 1993-03-12 Fujitsu Ltd 半導体超格子の製造方法
JP3118037B2 (ja) * 1991-10-28 2000-12-18 キヤノン株式会社 堆積膜形成方法および堆積膜形成装置
US5231056A (en) * 1992-01-15 1993-07-27 Micron Technology, Inc. Tungsten silicide (WSix) deposition process for semiconductor manufacture
US5352636A (en) 1992-01-16 1994-10-04 Applied Materials, Inc. In situ method for cleaning silicon surface and forming layer thereon in same chamber
US5485019A (en) 1992-02-05 1996-01-16 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for forming the same
US5324684A (en) * 1992-02-25 1994-06-28 Ag Processing Technologies, Inc. Gas phase doping of semiconductor material in a cold-wall radiantly heated reactor under reduced pressure
JPH05315269A (ja) * 1992-03-11 1993-11-26 Central Glass Co Ltd 薄膜の製膜方法
JP2951146B2 (ja) * 1992-04-15 1999-09-20 キヤノン株式会社 光起電力デバイス
JP3156878B2 (ja) 1992-04-30 2001-04-16 株式会社東芝 半導体装置およびその製造方法
US5306666A (en) * 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5242847A (en) 1992-07-27 1993-09-07 North Carolina State University At Raleigh Selective deposition of doped silion-germanium alloy on semiconductor substrate
US5461250A (en) * 1992-08-10 1995-10-24 International Business Machines Corporation SiGe thin film or SOI MOSFET and method for making the same
JP2740087B2 (ja) 1992-08-15 1998-04-15 株式会社東芝 半導体集積回路装置の製造方法
JP3200197B2 (ja) 1992-09-24 2001-08-20 コマツ電子金属株式会社 気相成長装置及びその排気管
US6004683A (en) * 1992-11-04 1999-12-21 C. A. Patents, L.L.C. Plural layered metal repair tape
KR960700492A (ko) * 1992-12-10 1996-01-20 켄트 허친슨 전압 인가 방법 및 전계발광 디스플레이 패널(increased brightness drive system for an electroluminescent display panel)
US5563093A (en) * 1993-01-28 1996-10-08 Kawasaki Steel Corporation Method of manufacturing fet semiconductor devices with polysilicon gate having large grain sizes
JPH06302526A (ja) 1993-04-16 1994-10-28 Kokusai Electric Co Ltd アモルファスシリコン膜の形成方法
JPH06310493A (ja) 1993-04-23 1994-11-04 Kawasaki Steel Corp 半導体装置の製造装置
JP2508581B2 (ja) 1993-05-28 1996-06-19 日本電気株式会社 化学気相成長法
DE4419074C2 (de) * 1993-06-03 1998-07-02 Micron Semiconductor Inc Verfahren zum gleichmäßigen Dotieren von polykristallinem Silizium mit halbkugelförmiger Körnung
US5385869A (en) * 1993-07-22 1995-01-31 Motorola, Inc. Semiconductor chip bonded to a substrate and method of making
US5648293A (en) 1993-07-22 1997-07-15 Nec Corporation Method of growing an amorphous silicon film
US5471330A (en) 1993-07-29 1995-11-28 Honeywell Inc. Polysilicon pixel electrode
JP2641385B2 (ja) 1993-09-24 1997-08-13 アプライド マテリアルズ インコーポレイテッド 膜形成方法
US5360986A (en) 1993-10-05 1994-11-01 Motorola, Inc. Carbon doped silicon semiconductor device having a narrowed bandgap characteristic and method
US6083810A (en) * 1993-11-15 2000-07-04 Lucent Technologies Integrated circuit fabrication process
US5413813A (en) 1993-11-23 1995-05-09 Enichem S.P.A. CVD of silicon-based ceramic materials on internal surface of a reactor
US5656531A (en) 1993-12-10 1997-08-12 Micron Technology, Inc. Method to form hemi-spherical grain (HSG) silicon from amorphous silicon
JPH07249618A (ja) * 1994-03-14 1995-09-26 Fujitsu Ltd 半導体装置の製造方法
US6162667A (en) 1994-03-28 2000-12-19 Sharp Kabushiki Kaisha Method for fabricating thin film transistors
JP3494467B2 (ja) * 1994-04-28 2004-02-09 沖電気工業株式会社 半導体薄膜の形成方法
JP2630257B2 (ja) 1994-06-03 1997-07-16 日本電気株式会社 半導体装置の製造方法
CN1269196C (zh) * 1994-06-15 2006-08-09 精工爱普生株式会社 薄膜半导体器件的制造方法
US20020009827A1 (en) * 1997-08-26 2002-01-24 Masud Beroz Microelectronic unit forming methods and materials
US6121081A (en) 1994-11-15 2000-09-19 Micron Technology, Inc. Method to form hemi-spherical grain (HSG) silicon
US5656819A (en) * 1994-11-16 1997-08-12 Sandia Corporation Pulsed ion beam source
FI97731C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
JPH08213343A (ja) 1995-01-31 1996-08-20 Sony Corp 半導体装置およびその製造方法
US5677236A (en) 1995-02-24 1997-10-14 Mitsui Toatsu Chemicals, Inc. Process for forming a thin microcrystalline silicon semiconductor film
KR0180779B1 (ko) 1995-02-27 1999-03-20 김주용 반도체소자의 캐패시터 제조방법
US5698771A (en) * 1995-03-30 1997-12-16 The United States Of America As Represented By The United States National Aeronautics And Space Administration Varying potential silicon carbide gas sensor
JPH08306688A (ja) 1995-04-28 1996-11-22 Sanyo Electric Co Ltd 半導体装置の製造方法
JP3169337B2 (ja) 1995-05-30 2001-05-21 キヤノン株式会社 光起電力素子及びその製造方法
US5654589A (en) 1995-06-06 1997-08-05 Advanced Micro Devices, Incorporated Landing pad technology doubled up as local interconnect and borderless contact for deep sub-half micrometer IC application
DE69627978T2 (de) 1995-08-04 2003-12-04 Seiko Epson Corp Verfahren zur herstellung von einem dünnschichtfeldeffekttransistor, verfahren zur herstellung eines aktiven matrixsubstrats und flüssigkristallanzeige
JP3305929B2 (ja) 1995-09-14 2002-07-24 株式会社東芝 半導体装置及びその製造方法
US6161498A (en) * 1995-09-14 2000-12-19 Tokyo Electron Limited Plasma processing device and a method of plasma process
JP3432059B2 (ja) 1995-09-25 2003-07-28 キヤノン株式会社 光起電力素子の形成方法
US5893949A (en) * 1995-12-26 1999-04-13 Xerox Corporation Solid phase epitaxial crystallization of amorphous silicon films on insulating substrates
JPH09191117A (ja) * 1996-01-09 1997-07-22 Mitsui Toatsu Chem Inc 半導体薄膜
US5869389A (en) * 1996-01-18 1999-02-09 Micron Technology, Inc. Semiconductor processing method of providing a doped polysilicon layer
JP3109570B2 (ja) 1996-01-27 2000-11-20 株式会社半導体エネルギー研究所 半導体装置作製方法
US5786027A (en) * 1996-02-14 1998-07-28 Micron Technology, Inc. Method for depositing polysilicon with discontinuous grain boundaries
JP3841910B2 (ja) 1996-02-15 2006-11-08 株式会社半導体エネルギー研究所 半導体装置の作製方法
US5789030A (en) 1996-03-18 1998-08-04 Micron Technology, Inc. Method for depositing doped amorphous or polycrystalline silicon on a substrate
JP4093604B2 (ja) * 1996-03-25 2008-06-04 純一 半那 導電性パターンの形成方法
JPH09270421A (ja) * 1996-04-01 1997-10-14 Mitsubishi Electric Corp 表面処理装置および表面処理方法
US5863598A (en) * 1996-04-12 1999-01-26 Applied Materials, Inc. Method of forming doped silicon in high aspect ratio openings
JP2795313B2 (ja) 1996-05-08 1998-09-10 日本電気株式会社 容量素子及びその製造方法
US5951923A (en) * 1996-05-23 1999-09-14 Ebara Corporation Vaporizer apparatus and film deposition apparatus therewith
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
US5930106A (en) * 1996-07-11 1999-07-27 Micron Technology, Inc. DRAM capacitors made from silicon-germanium and electrode-limited conduction dielectric films
US5913921A (en) * 1996-07-12 1999-06-22 Glenayre Electronics, Inc. System for communicating information about nodes configuration by generating advertisements having era values for identifying time reference for which the configuration is operative
JPH1041321A (ja) 1996-07-26 1998-02-13 Sony Corp バイポーラトランジスタの製造方法
US5731238A (en) 1996-08-05 1998-03-24 Motorola Inc. Integrated circuit having a jet vapor deposition silicon nitride film and method of making the same
US5916365A (en) * 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
JP2954039B2 (ja) * 1996-09-05 1999-09-27 日本電気株式会社 SiGe薄膜の成膜方法
US5763021A (en) 1996-12-13 1998-06-09 Cypress Semiconductor Corporation Method of forming a dielectric film
KR100236069B1 (ko) * 1996-12-26 1999-12-15 김영환 캐패시터 및 그 제조방법
TW471031B (en) * 1997-01-08 2002-01-01 Ebara Corp Vapor feed supply system
JPH10203895A (ja) * 1997-01-20 1998-08-04 Sony Corp シリコンゲルマニウム混晶の成膜方法
JP3050152B2 (ja) * 1997-01-23 2000-06-12 日本電気株式会社 半導体装置の製造方法
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
JP3084395B2 (ja) * 1997-05-15 2000-09-04 工業技術院長 半導体薄膜の堆積方法
US6351039B1 (en) * 1997-05-28 2002-02-26 Texas Instruments Incorporated Integrated circuit dielectric and method
JP3408401B2 (ja) * 1997-05-30 2003-05-19 シャープ株式会社 半導体記憶素子およびその製造方法
US6069068A (en) * 1997-05-30 2000-05-30 International Business Machines Corporation Sub-quarter-micron copper interconnections with improved electromigration resistance and reduced defect sensitivity
FR2765394B1 (fr) * 1997-06-25 1999-09-24 France Telecom Procede d'obtention d'un transistor a grille en silicium-germanium
JPH1174485A (ja) 1997-06-30 1999-03-16 Toshiba Corp 半導体装置およびその製造方法
US5904565A (en) * 1997-07-17 1999-05-18 Sharp Microelectronics Technology, Inc. Low resistance contact between integrated circuit metal levels and method for same
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US6100184A (en) * 1997-08-20 2000-08-08 Sematech, Inc. Method of making a dual damascene interconnect structure using low dielectric constant material for an inter-level dielectric layer
JPH1197667A (ja) * 1997-09-24 1999-04-09 Sharp Corp 超微粒子あるいは超細線の形成方法およびこの形成方法による超微粒子あるいは超細線を用いた半導体素子
JP3727449B2 (ja) * 1997-09-30 2005-12-14 シャープ株式会社 半導体ナノ結晶の製造方法
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US6228181B1 (en) * 1997-10-02 2001-05-08 Shigeo Yamamoto Making epitaxial semiconductor device
US6027760A (en) * 1997-12-08 2000-02-22 Gurer; Emir Photoresist coating process control with solvent vapor sensor
KR100268936B1 (ko) 1997-12-16 2000-10-16 김영환 반도체 소자의 양자점 형성 방법
TW439151B (en) 1997-12-31 2001-06-07 Samsung Electronics Co Ltd Method for forming conductive layer using atomic layer deposition process
EP0928015A3 (en) 1997-12-31 2003-07-02 Texas Instruments Incorporated Method of preventing boron penetration
US6027705A (en) 1998-01-08 2000-02-22 Showa Denko K.K. Method for producing a higher silane
US6042654A (en) * 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
US5933761A (en) * 1998-02-09 1999-08-03 Lee; Ellis Dual damascene structure and its manufacturing method
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
JP4208281B2 (ja) * 1998-02-26 2009-01-14 キヤノン株式会社 積層型光起電力素子
JP3854731B2 (ja) 1998-03-30 2006-12-06 シャープ株式会社 微細構造の製造方法
US6181012B1 (en) * 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
JPH11330463A (ja) * 1998-05-15 1999-11-30 Sony Corp 半導体装置および半導体装置の製造方法
US6148761A (en) 1998-06-16 2000-11-21 Applied Materials, Inc. Dual channel gas distribution plate
US6048790A (en) * 1998-07-10 2000-04-11 Advanced Micro Devices, Inc. Metalorganic decomposition deposition of thin conductive films on integrated circuits using reducing ambient
WO2000003425A1 (en) 1998-07-10 2000-01-20 Applied Materials, Inc. Plasma process to deposit silicon nitride with high film quality and low hydrogen content
JP2000038679A (ja) * 1998-07-21 2000-02-08 Canon Inc 堆積膜形成方法および堆積膜形成装置
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6144050A (en) * 1998-08-20 2000-11-07 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with strontium barrier film and process for making same
US6291876B1 (en) 1998-08-20 2001-09-18 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with composite atomic barrier film and process for making same
US6188134B1 (en) * 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6077775A (en) * 1998-08-20 2000-06-20 The United States Of America As Represented By The Secretary Of The Navy Process for making a semiconductor device with barrier film formation using a metal halide and products thereof
JP3259690B2 (ja) 1998-08-26 2002-02-25 日本電気株式会社 電界効果型トランジスタ及びその製造方法
US6027975A (en) * 1998-08-28 2000-02-22 Lucent Technologies Inc. Process for fabricating vertical transistors
JP2000077658A (ja) 1998-08-28 2000-03-14 Toshiba Corp 半導体装置の製造方法
US6319782B1 (en) 1998-09-10 2001-11-20 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method of fabricating the same
KR100287180B1 (ko) * 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
TW382787B (en) * 1998-10-02 2000-02-21 United Microelectronics Corp Method of fabricating dual damascene
US6268068B1 (en) * 1998-10-06 2001-07-31 Case Western Reserve University Low stress polysilicon film and method for producing same
KR100327328B1 (ko) * 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
JP2000150647A (ja) * 1998-11-11 2000-05-30 Sony Corp 配線構造およびその製造方法
US6107147A (en) * 1998-12-18 2000-08-22 Texas Instruments Incorporated Stacked poly/amorphous silicon gate giving low sheet resistance silicide film at submicron linewidths
WO2000042231A2 (en) * 1999-01-15 2000-07-20 The Regents Of The University Of California Polycrystalline silicon germanium films for forming micro-electromechanical systems
KR100363083B1 (ko) * 1999-01-20 2002-11-30 삼성전자 주식회사 반구형 그레인 커패시터 및 그 형성방법
US6235568B1 (en) * 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
JP3754568B2 (ja) * 1999-01-29 2006-03-15 シャープ株式会社 量子細線の製造方法
JP3869572B2 (ja) 1999-02-10 2007-01-17 シャープ株式会社 量子細線の製造方法
JP4731655B2 (ja) 1999-02-12 2011-07-27 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP2000243831A (ja) * 1999-02-18 2000-09-08 Sony Corp 半導体装置とその製造方法
JPH11317530A (ja) * 1999-02-22 1999-11-16 Semiconductor Energy Lab Co Ltd 半導体装置
US6153541A (en) 1999-02-23 2000-11-28 Vanguard International Semiconductor Corporation Method for fabricating an oxynitride layer having anti-reflective properties and low leakage current
US6281559B1 (en) * 1999-03-03 2001-08-28 Advanced Micro Devices, Inc. Gate stack structure for variable threshold voltage
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
EP1036807B1 (en) 1999-03-18 2007-12-12 Kaneka Corporation Curable composition
US6365465B1 (en) * 1999-03-19 2002-04-02 International Business Machines Corporation Self-aligned double-gate MOSFET by selective epitaxy and silicon wafer bonding techniques
JP3443379B2 (ja) 1999-03-23 2003-09-02 松下電器産業株式会社 半導体膜の成長方法及び半導体装置の製造方法
US6207567B1 (en) 1999-04-12 2001-03-27 United Microelectronics Corp. Fabricating method of glue layer and barrier layer
US6197669B1 (en) 1999-04-15 2001-03-06 Taiwan Semicondcutor Manufacturing Company Reduction of surface defects on amorphous silicon grown by a low-temperature, high pressure LPCVD process
US6037258A (en) * 1999-05-07 2000-03-14 Taiwan Semiconductor Manufacturing Company Method of forming a smooth copper seed layer for a copper damascene structure
JP2000323420A (ja) 1999-05-14 2000-11-24 Sony Corp 半導体装置の製造方法
US6346732B1 (en) 1999-05-14 2002-02-12 Kabushiki Kaisha Toshiba Semiconductor device with oxide mediated epitaxial layer
US6146517A (en) 1999-05-19 2000-11-14 Infineon Technologies North America Corp. Integrated circuits with copper metallization for interconnections
JP2000340684A (ja) 1999-05-31 2000-12-08 Sony Corp 半導体装置の製造方法
KR20010001543A (ko) 1999-06-05 2001-01-05 김기범 구리 배선 구조를 가지는 반도체 소자 제조 방법
JP2001007301A (ja) 1999-06-17 2001-01-12 Sony Corp 半導体装置およびその製造方法
US6539891B1 (en) 1999-06-19 2003-04-01 Genitech, Inc. Chemical deposition reactor and method of forming a thin film using the same
EP1065728B1 (en) 1999-06-22 2009-04-22 Panasonic Corporation Heterojunction bipolar transistors and corresponding fabrication methods
KR100306812B1 (ko) * 1999-06-29 2001-11-01 박종섭 반도체 소자의 게이트 형성방법
JP2001015736A (ja) 1999-06-29 2001-01-19 Sony Corp 半導体装置の製造方法
JP3324573B2 (ja) * 1999-07-19 2002-09-17 日本電気株式会社 半導体装置の製造方法および製造装置
US6391785B1 (en) * 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
JP4192353B2 (ja) 1999-09-21 2008-12-10 株式会社デンソー 炭化珪素半導体装置及びその製造方法
US6727169B1 (en) * 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR20010047128A (ko) 1999-11-18 2001-06-15 이경수 액체원료 기화방법 및 그에 사용되는 장치
US6373112B1 (en) * 1999-12-02 2002-04-16 Intel Corporation Polysilicon-germanium MOSFET gate electrodes
US6252284B1 (en) * 1999-12-09 2001-06-26 International Business Machines Corporation Planarized silicon fin device
EP1247292B1 (en) 1999-12-15 2009-02-04 Genitech Co., Ltd. Method of forming copper interconnections and thin films using chemical vapor deposition with catalyst
US6184128B1 (en) * 2000-01-31 2001-02-06 Advanced Micro Devices, Inc. Method using a thin resist mask for dual damascene stop layer etch
TW408653U (en) * 2000-02-03 2000-10-11 Hu Hou Fei Ratcheting tool
US6649496B2 (en) * 2000-03-23 2003-11-18 Matsushita Electric Industrial Co., Ltd. Production method for semiconductor crystal
US6348373B1 (en) * 2000-03-29 2002-02-19 Sharp Laboratories Of America, Inc. Method for improving electrical properties of high dielectric constant films
JP2001284340A (ja) * 2000-03-30 2001-10-12 Hitachi Kokusai Electric Inc 半導体製造装置および半導体装置の製造方法
WO2001078123A1 (en) 2000-04-11 2001-10-18 Genitech Co., Ltd. Method of forming metal interconnects
KR100363088B1 (ko) * 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6593191B2 (en) * 2000-05-26 2003-07-15 Amberwave Systems Corporation Buried channel strained silicon FET using a supply layer created through ion implantation
US6342448B1 (en) * 2000-05-31 2002-01-29 Taiwan Semiconductor Manufacturing Company Method of fabricating barrier adhesion to low-k dielectric layers in a copper damascene process
JP3687651B2 (ja) 2000-06-08 2005-08-24 ジニテック インク. 薄膜形成方法
US6444512B1 (en) 2000-06-12 2002-09-03 Motorola, Inc. Dual metal gate transistors for CMOS process
US6252295B1 (en) * 2000-06-19 2001-06-26 International Business Machines Corporation Adhesion of silicon carbide films
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US6368954B1 (en) * 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
US20020011612A1 (en) * 2000-07-31 2002-01-31 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US6274463B1 (en) * 2000-07-31 2001-08-14 Hewlett-Packard Company Fabrication of a photoconductive or a cathoconductive device using lateral solid overgrowth method
US6403981B1 (en) * 2000-08-07 2002-06-11 Advanced Micro Devices, Inc. Double gate transistor having a silicon/germanium channel region
JP2004519090A (ja) * 2000-08-07 2004-06-24 アンバーウェーブ システムズ コーポレイション 歪み表面チャネル及び歪み埋め込みチャネルmosfet素子のゲート技術
JP4710187B2 (ja) * 2000-08-30 2011-06-29 ソニー株式会社 多結晶シリコン層の成長方法および単結晶シリコン層のエピタキシャル成長方法
US6365479B1 (en) * 2000-09-22 2002-04-02 Conexant Systems, Inc. Method for independent control of polycrystalline silicon-germanium in a silicon-germanium HBT and related structure
JP4044276B2 (ja) * 2000-09-28 2008-02-06 株式会社東芝 半導体装置及びその製造方法
US6372559B1 (en) * 2000-11-09 2002-04-16 International Business Machines Corporation Method for self-aligned vertical double-gate MOSFET
US6613695B2 (en) * 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
US6444495B1 (en) 2001-01-11 2002-09-03 Honeywell International, Inc. Dielectric films for narrow gap-fill applications
US6583048B2 (en) * 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
EP1421607A2 (en) 2001-02-12 2004-05-26 ASM America, Inc. Improved process for deposition of semiconductor films
US7026219B2 (en) 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
US6482705B1 (en) * 2001-04-03 2002-11-19 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having a MOSFET with an amorphous SiGe gate electrode and an elevated crystalline SiGe source/drain structure and a device thereby formed
US6770134B2 (en) * 2001-05-24 2004-08-03 Applied Materials, Inc. Method for fabricating waveguides
US6905542B2 (en) * 2001-05-24 2005-06-14 Arkadii V. Samoilov Waveguides such as SiGeC waveguides and method of fabricating the same
KR20080103609A (ko) * 2001-05-30 2008-11-27 에이에스엠 아메리카, 인코포레이티드 저온 로딩 및 소성
US6858196B2 (en) * 2001-07-19 2005-02-22 Asm America, Inc. Method and apparatus for chemical synthesis
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
JP2003068654A (ja) * 2001-08-27 2003-03-07 Hoya Corp 化合物単結晶の製造方法
DE10211312A1 (de) 2002-03-14 2003-10-02 Wacker Siltronic Halbleitermat Verfahren und Vorrichtung zur epitaktischen Beschichtung einer Halbleiterscheibe sowie epitaktisch beschichtete Halbleiterscheibe
US7307273B2 (en) * 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
US7335545B2 (en) * 2002-06-07 2008-02-26 Amberwave Systems Corporation Control of strain in device layers by prevention of relaxation
US7077388B2 (en) * 2002-07-19 2006-07-18 Asm America, Inc. Bubbler for substrate processing
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US20040142558A1 (en) * 2002-12-05 2004-07-22 Granneman Ernst H. A. Apparatus and method for atomic layer deposition on substrates
US7238595B2 (en) * 2003-03-13 2007-07-03 Asm America, Inc. Epitaxial semiconductor deposition methods and structures
US7005160B2 (en) 2003-04-24 2006-02-28 Asm America, Inc. Methods for depositing polycrystalline films with engineered grain structures
US7601223B2 (en) 2003-04-29 2009-10-13 Asm International N.V. Showerhead assembly and ALD methods
US7537662B2 (en) 2003-04-29 2009-05-26 Asm International N.V. Method and apparatus for depositing thin films on a surface
US7208362B2 (en) * 2003-06-25 2007-04-24 Texas Instruments Incorporated Transistor device containing carbon doped silicon in a recess next to MDD to create strain in channel
TWI270986B (en) * 2003-07-29 2007-01-11 Ind Tech Res Inst Strained SiC MOSFET
US7208427B2 (en) * 2003-08-18 2007-04-24 Advanced Technology Materials, Inc. Precursor compositions and processes for MOCVD of barrier materials in semiconductor manufacturing
US7156380B2 (en) * 2003-09-29 2007-01-02 Asm International, N.V. Safe liquid source containers
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7132338B2 (en) * 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
EP1738001A2 (en) 2004-04-23 2007-01-03 ASM America, Inc. In situ doped epitaxial films
US7253084B2 (en) * 2004-09-03 2007-08-07 Asm America, Inc. Deposition from liquid sources
US7332439B2 (en) * 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7195985B2 (en) * 2005-01-04 2007-03-27 Intel Corporation CMOS transistor junction regions formed by a CVD etching and deposition sequence
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7687383B2 (en) 2005-02-04 2010-03-30 Asm America, Inc. Methods of depositing electrically active doped crystalline Si-containing films
US7396415B2 (en) 2005-06-02 2008-07-08 Asm America, Inc. Apparatus and methods for isolating chemical vapor reactions at a substrate surface
US8105908B2 (en) 2005-06-23 2012-01-31 Applied Materials, Inc. Methods for forming a transistor and modulating channel stress
JP2007188976A (ja) * 2006-01-11 2007-07-26 Shinko Electric Ind Co Ltd 発光装置の製造方法
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
JP2007319735A (ja) 2006-05-30 2007-12-13 Fuji Xerox Co Ltd マイクロリアクター装置及び微小流路の洗浄方法
US20080026149A1 (en) * 2006-05-31 2008-01-31 Asm America, Inc. Methods and systems for selectively depositing si-containing films using chloropolysilanes
US8278176B2 (en) 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100209856B1 (ko) * 1990-08-31 1999-07-15 가나이 쓰도무 반도체장치의 제조방법
US5510146A (en) * 1991-07-16 1996-04-23 Seiko Epson Corporation CVD apparatus, method of forming semiconductor film, and method of fabricating thin-film semiconductor device
KR19990029923A (ko) * 1997-09-18 1999-04-26 니시무로 타이죠 플랫 패널의 제조방법

Also Published As

Publication number Publication date
US7585752B2 (en) 2009-09-08
JP5134358B2 (ja) 2013-01-30
US7285500B2 (en) 2007-10-23
AU2002240403A1 (en) 2002-08-28
JP2008098668A (ja) 2008-04-24
US6958253B2 (en) 2005-10-25
US20030022528A1 (en) 2003-01-30
US20020197831A1 (en) 2002-12-26
KR101050377B1 (ko) 2011-07-20
WO2002065508A2 (en) 2002-08-22
DE60223662T2 (de) 2008-10-30
WO2002065516A8 (en) 2004-07-08
JP4224847B2 (ja) 2009-02-18
KR20090052907A (ko) 2009-05-26
KR20030076676A (ko) 2003-09-26
US20050250302A1 (en) 2005-11-10
WO2002080244A3 (en) 2004-03-18
US20100012030A1 (en) 2010-01-21
US6743738B2 (en) 2004-06-01
JP4417625B2 (ja) 2010-02-17
EP1374290B1 (en) 2008-07-02
JP2004525509A (ja) 2004-08-19
US20030068869A1 (en) 2003-04-10
EP1421607A2 (en) 2004-05-26
AU2002306436A1 (en) 2002-10-15
US6821825B2 (en) 2004-11-23
JP2005503000A (ja) 2005-01-27
WO2002064853A3 (en) 2003-11-20
DE60227350D1 (de) 2008-08-14
JP2004532511A (ja) 2004-10-21
US20050208740A1 (en) 2005-09-22
KR100934169B1 (ko) 2009-12-29
US20050048745A1 (en) 2005-03-03
KR20030076677A (ko) 2003-09-26
EP1374290A2 (en) 2004-01-02
JP2008252104A (ja) 2008-10-16
KR101027485B1 (ko) 2011-04-06
WO2002065517A3 (en) 2003-10-30
US7186582B2 (en) 2007-03-06
WO2002065517A2 (en) 2002-08-22
US7273799B2 (en) 2007-09-25
US6716751B2 (en) 2004-04-06
US20050064684A1 (en) 2005-03-24
WO2002065516A3 (en) 2003-11-13
US20080014725A1 (en) 2008-01-17
EP1374291B1 (en) 2007-11-21
US7893433B2 (en) 2011-02-22
JP2004523903A (ja) 2004-08-05
WO2002065516A2 (en) 2002-08-22
WO2002080244A2 (en) 2002-10-10
KR20080104391A (ko) 2008-12-02
EP1374291A2 (en) 2004-01-02
WO2002065508A3 (en) 2003-09-25
US20030082300A1 (en) 2003-05-01
WO2002064853A2 (en) 2002-08-22
US20070102790A1 (en) 2007-05-10
US6716713B2 (en) 2004-04-06
JP2004529496A (ja) 2004-09-24
US20020173113A1 (en) 2002-11-21
US20080073645A1 (en) 2008-03-27
US6962859B2 (en) 2005-11-08
US6900115B2 (en) 2005-05-31
ATE400060T1 (de) 2008-07-15
WO2002080244A9 (en) 2004-04-22
DE60223662D1 (de) 2008-01-03
JP4866534B2 (ja) 2012-02-01
US20030068851A1 (en) 2003-04-10
KR20030076675A (ko) 2003-09-26
US7547615B2 (en) 2009-06-16
JP2011228724A (ja) 2011-11-10
US8360001B2 (en) 2013-01-29
US8067297B2 (en) 2011-11-29
US20020168868A1 (en) 2002-11-14

Similar Documents

Publication Publication Date Title
KR100870507B1 (ko) 트리실란을 사용한, 혼합 기판상의 증착
US7186630B2 (en) Deposition of amorphous silicon-containing films
US7863163B2 (en) Epitaxial deposition of doped semiconductor materials
US7816236B2 (en) Selective deposition of silicon-containing films
US7939447B2 (en) Inhibitors for selective deposition of silicon containing films
US20120024223A1 (en) Thin films and methods of making them using cyclohexasilane
KR20090015138A (ko) 클로로폴리실란들을 이용한 실리콘-포함 막들의 선택적 증착 방법들 및 시스템들
KR20140089404A (ko) 반도체 박막의 선택적 에피택셜 형성
EP1887617B1 (en) Deposition method over mixed substrates using trisilane

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121031

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20131101

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20141107

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20151016

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20161019

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20171018

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20181018

Year of fee payment: 11