CN1269196C - 薄膜半导体器件的制造方法 - Google Patents

薄膜半导体器件的制造方法 Download PDF

Info

Publication number
CN1269196C
CN1269196C CNB200310101574XA CN200310101574A CN1269196C CN 1269196 C CN1269196 C CN 1269196C CN B200310101574X A CNB200310101574X A CN B200310101574XA CN 200310101574 A CN200310101574 A CN 200310101574A CN 1269196 C CN1269196 C CN 1269196C
Authority
CN
China
Prior art keywords
film
substrate
semiconductor
semiconductor device
semiconductor film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNB200310101574XA
Other languages
English (en)
Other versions
CN1495869A (zh
Inventor
宫坂光敏
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Seiko Epson Corp
Original Assignee
Seiko Epson Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Seiko Epson Corp filed Critical Seiko Epson Corp
Publication of CN1495869A publication Critical patent/CN1495869A/zh
Application granted granted Critical
Publication of CN1269196C publication Critical patent/CN1269196C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/762Charge transfer devices
    • H01L29/765Charge-coupled devices
    • H01L29/768Charge-coupled devices with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66757Lateral single gate single channel transistors with non-inverted structure, i.e. the channel layer is formed before the gate
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16CSHAFTS; FLEXIBLE SHAFTS; ELEMENTS OR CRANKSHAFT MECHANISMS; ROTARY BODIES OTHER THAN GEARING ELEMENTS; BEARINGS
    • F16C29/00Bearings for parts moving only linearly
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • H01L21/02661In-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/7866Non-monocrystalline silicon transistors
    • H01L29/78672Polycrystalline or microcrystalline silicon transistor
    • H01L29/78675Polycrystalline or microcrystalline silicon transistor with normal-type structure, e.g. with top gate
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16CSHAFTS; FLEXIBLE SHAFTS; ELEMENTS OR CRANKSHAFT MECHANISMS; ROTARY BODIES OTHER THAN GEARING ELEMENTS; BEARINGS
    • F16C2360/00Engines or pumps
    • F16C2360/44Centrifugal pumps
    • F16C2360/45Turbo-molecular pumps
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • G02F1/133Constructional arrangements; Operation of liquid crystal cells; Circuit arrangements
    • G02F1/1333Constructional arrangements; Manufacturing methods
    • G02F1/1345Conductors connecting electrodes to cell terminals
    • G02F1/13454Drivers integrated on the active matrix substrate
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F2202/00Materials and properties
    • G02F2202/10Materials and properties semiconductor
    • G02F2202/104Materials and properties semiconductor poly-Si

Abstract

本发明的一种形成薄膜半导体器件的方法,所述半导体器件具有基板、衬底保护层和半导体膜,所述衬底保护层是形成在基板的一部分上的绝缘层,所述半导体膜形成在所述衬底保护层上,所述方法包括下列步骤:在成膜室内的上部电极和下部电极之间放置衬底;并利用等离子体照射所述衬底保护层的表面以形成所述半导体膜,所述半导体膜包括结晶部分,通过向所述上部电极施加微波,及通过将硅烷气和冲淡气体引入成膜室,来产生等离子体。

Description

薄膜半导体器件的制造方法
本申请是申请号为95190552.X、申请日为1995年6月15日的母案的分案申请。
技术领域
本发明涉及适用于有源矩阵液晶显示等的薄膜半导体器件制造方法、薄膜半导体器件、液晶显示装置和电子仪器
背景技术
近年来,伴随液晶显示(LCD)的大画面化和高分辨率化,其驱动方式由单纯的矩阵方式向有源矩阵方式过渡,因此能显示大容量的信息。
有源矩阵方式可以对具有超过数十万个像素的画面进行液晶显示,对每一个像素形成一个开关晶体管。使用可以进行透过型显示的熔融石英板和玻璃等透明绝缘基板作为各种液晶显示基板。通常使用非晶硅和多晶硅等半导体膜作为薄膜晶体管(TFT)的有源层,但是,对于想要由薄膜晶体管形成与驱动电路的一体化的情况,使用工作速度快的多晶硅则较为有利。当以多晶硅膜作为有源层时,使用熔融石英板作为基板,通常用最高工作温度超过1000℃的所谓高温处理的制造方法来作成TFT。另一方面,当以非晶硅膜作为有源层时,使用通常的玻璃板。对于要促进LCD的显示画面大型化和低价格化的场合使用这种便宜的普通玻璃作为绝缘基板则是必不可缺的。但是,如上所述,非晶硅膜存在着其电特性比多晶硅膜差得远和工作速度慢等内在的问题。而且,高温处理的多晶硅TFT由于使用熔融石英板,因面存在难以实现LCD的大型化和低价格化的问题。结果,迫切需要以在通常的玻璃基板上生成多晶硅膜等的半导体膜作为有源层从而制成薄膜半导体器件的技术。然而,使用易批量生产的大型普通玻璃时,为了避免基板变形,最高工作温度须在约570℃以下,因此受到很大的限制。也就是说,很希望有这样一种技术,该技术能在上述限制下形成可得到液晶显示工作的薄膜晶体管并形成可使驱动电路高速工作的薄膜晶体管的有源层。这就是现在所谓的低温处理Poly-SiTFT。
以往的低温处理Poly-Si TFT在SID(Society forInformation Display)’93文摘的P.387(1993)中已示出。按照该技术,首先,使用甲硅烷(SiH4)作为原料气体在550℃的淀积温度下用LP CVD法淀积非晶硅膜(α-Si)、对该α-Si膜施加激光照射,使α-Si膜变质为Poly-Si膜。将Poly-Si膜形成电路图形后,用ECR-PECVD法将作为绝缘栅膜的SiO2膜在100℃的基板温度下进行淀积。在绝缘栅膜上用钽(Ta)形成栅极后,以栅极作为掩模将施主或受主杂质以离子注入方式注入到硅膜内,以自对准(self-align)方式形成晶体管的源/漏极。这时的离子注入使用所谓离子掺杂法的质量非分离型的注入装置,使用由氢稀释了的磷化氢(PH3)和乙硼烷(B2H6)作为原料气体。注入离子的激活温度是300℃。其后淀积层间绝缘膜、用氧化铟锡(ITO)和铝(Al)等制成电极和布线等,从而完成薄膜半导体器件。
但是,依据以往技术的低温处Poly-Si TFT,存在如下的内在问题,这些问题成为阻碍批量生产的主要因素。
(问题1)由于工作温度高达550℃,所以不能使用便宜的玻璃,招致产品价格的提高。加之,因玻璃自身的重量变形随着大型化而增大,因而不能实现液晶显示装置的大型化。
(问题2)对整个基板进行均匀的激光照射的这样一种适当的照射条件是较苛刻的、故适用范围窄。因此,随每一批产品的不同,结晶化有时均匀有时不均匀,因此不能进行稳定的生产。
(问题3)当用离子掺杂法以及继而在大约300℃~350℃的低温下对相对于栅极来说源/漏极是自对准的自对准TFT进行激活性化时,常常会产生不能激活的问题。即源漏阻抗会达到数千兆欧姆。这一问题在想要作成轻掺杂漏极(LDD)TFT时更加突出,明显地成为成品率低下的原因。
(问题4)低温处理Poly-Si TFT中只有用ECR-PECVD法制成的SiO2才显示良好的晶体管特性,但ECR-PECVD装置由于ECR源的大型化有困难因而不适于LCD的大型化。此外,合格率极低。因此,不能得到适合大型基板的适于批量生产的实用的栅极氧化膜制造装置。
(问题5)用激光照射等的熔融结晶化来形成硅等的半导体膜时产生局部凝集,因此,在基板内半导体膜的电特性产生很大的变动、半导体膜表面变得粗糙从而使栅源间和栅漏间的耐压性能降低。
(问题6)当使用廉价而普通的玻璃作为基板时,有效地防止杂质从基板混入半导体膜的衬底保护膜不是显示出最好的电特性的薄膜半导体器件的衬底保护膜。即,如果为了防止杂质的混入而将衬底保护膜作得厚一些,则由于衬底保护膜的应力使薄膜半导体器件的电特性变坏或者使薄膜半导体器件发生裂缝。
(问题7)当用等离子体化学汽相淀积法(PECVD法)形成半导体膜时,清洗成膜室内部时构成清洗气体的元素氟(F)和碳(C)等残留在成膜室内,接着在淀积半导体膜时作为杂质混入半导体膜中。其结果,基板间杂质的混入量不同,因而不能稳定地制造出优良的薄膜半导体器件。
(问题8)当用低压化学汽相淀积法(LPCVD法)淀积半导体膜时,随着淀积温度的降低要兼顾基板内的均匀性和淀积速度是困难的。即,当淀积温度降低时淀积速度也下降,为了弥补这一点,若加大压力的话则基板内的均匀性则明显变坏。这一倾向随着基板的增大而更加显著,成为大型LCD的批量生产的一个大的障碍。
(问题9)在薄膜半导体器件的电特性的离散性方面除了基板内部的离散之外,还有同一批产品内的基板之间的离散和批与批之间产品的离散这样三种类型的离散。在以往技术的薄膜半导体器件及其制造方法中对这三种类型的离散都不能控制,特别是对于批与批之间的离散则几乎没有任何考虑。
(问题10)用PECVD法形成半导体膜时,半导体膜和衬底保护膜的接合性差,在半导体膜上会产生无数个弹坑状的孔,还会引起大量的膜的剥落。
发明内容
因此,本发明的目标是解决上述问题,其目的旨在提供用现实的简单的手段在可以使用通常的大型玻璃基板的工作温度下稳定地制造良好的薄膜半导体器件的方法。
本发明的一种形成薄膜半导体器件的方法,所述半导体器件具有基板、衬底保护层和半导体膜,所述衬底保护层是形成在基板的一部分上的绝缘层,所述半导体膜形成在所述衬底保护层上,所述方法包括下列步骤:在成膜室内的上部电极和下部电极之间放置衬底;并利用等离子体照射所述衬底保护层的表面以形成所述半导体膜,所述半导体膜包括结晶部分,通过向所述上部电极施加微波,及通过将硅烷气和冲淡气体引入成膜室,来产生等离子体。
参照附图说明本发明的基础原理和作用。
第1图(a)~(d)是用剖面示出形成MIS场效应晶体管的薄膜半导体器件的制造工序的概略图。在利用该图概要叙述低温处理Poly-Si TFT的制造方法,之后再详细说明本发明的各个工序。
(1、本发明的薄膜半导体器件的制造方法的概要)
在本发明中作为基板101的一个例子使用普通的无碱玻璃。首先用常压化学汽相淀积法(APCVD法)、PECVD法或溅射法等形成作为绝缘性物质的衬底保护膜102。接着淀积作为薄膜半导体器件的有源层的纯硅膜的半导体膜。半导体膜由LPCVD法、PECVD法、APCVD法等化学汽相淀积法(CVD法)或溅射法、蒸发法等物理汽相淀积法(PVD法)形成。对这样得到的半导体膜用激光等光学能量或电磁波能量进行短时间的照射使其结晶化。若最初淀积的半导体膜或是非晶质的、或是非晶质和微结晶混合的混晶质,该工序称之为结晶化。另一方面,若最初淀积的半导体膜是多结晶质的,该工序称之为再结晶化。本说明书中只要没有特别声明,把两者都笼统称之为结晶化。如果是激光等能量强度很高,结晶化时半导体膜一度熔融再经过冷却固化过程而结晶化。在本申请中,将此称之为熔融结晶化法。与此相对,把不经过熔融在固相下进行半导体膜的结晶化的方法称为固相生长法(SPC法)。固相生长法主要分成三类,在550℃左右到650℃左右温度下经过数小时到数十小时的结晶化的热处理法(Furnace-SPC法);在不到1秒至1分钟左右的短时间内、在700℃到1000℃的高温下进行结晶化的快速热处理法(RTA法);以及激光等的能量强度低时产生的极短时间固相生长法(VST-SPC法)。本申请的发明也可以适用于任何一类这样的结晶化方法,但是,从以高的生产效率制造大型基板的观点出发,本发明特别适用于熔融结晶化法、RTA法、VST-SPC法。这些结晶方法由于照射时间非常短且照射区域对整个基板而言是局部的,所以,半导体膜结晶化时基板整体并没有加热,因而不会发生因基板的加热而引起的变形和断裂。此后,在该半导体膜上形成电路图形、再往后作成晶体管有源层的半导体膜103。(第1图(a))
半导体膜形成后,用CVD法或PVD法等形成绝缘栅膜104。可以考虑用各种各样的制造方法来形成绝缘膜,但是绝缘膜形成的温度最好在350℃以下。这对于为了防止MOS界面的热性能变坏和绝缘栅膜的热性能变坏是重要的。同样的原则对下面所有的工序都适用。绝缘栅膜形成后的所有工序的工作温度都必须控制在350℃以下。由此,便可以容易而稳定地制造高性能的薄膜半导体器件。
接下来用PVD法或CVD法等淀积栅极105的薄膜。由于通常栅极和栅极布线是由同一材料在同一工序中作成的,该材料电阻很低,对350℃左右的热工序来说是很稳定的。栅极薄膜淀积后进行电路图形的成形,接着进行向半导体膜注入杂质离子106,形成源漏区107和沟道区108。(第1图(c))这时由于栅极成为离子注入的掩模、沟道只在栅极下面形成,从而形成自对准的结构。杂质离子注入有两种方法可以适用,一种是离子掺杂法,使用质量非分离型离子注入装置注入所注入杂质元素的氢化物和氢;另一种是离子注入法,使用质量分离型离子注入装置只注入所要的杂质元素。作为离子掺杂法的原料气体,使用已在氢气中稀释过的浓度从0.1%左右到10%左右的磷化氢(PH3)和乙硼烷(B2H6)等的注入杂质元素的氢化物。用离子注入法注入了所要的杂质元素本身之后接着注入氢离子(质子或氢分子离子)。如上所述,为了保持MOS界面和绝缘栅膜的稳定,无论是离子掺杂法还是离子注入法都必须使基板温度在350℃以下。另一方面为了使注入杂质的激活在350℃以下的低温下经常保持稳定,离子注入时的基板温度最好在200℃以上。为了要调整晶体管的阈值电压而进行沟道掺杂、或者是为了使作成LDD结构那样地使注入的低浓度的杂质离子在低温下可靠地激活,离子注入时基板温度必须在250℃以上,这样在基板温度较高的状态下进行离子注入时,伴随半导体膜的离子注入在结晶破坏的同时产生再结晶化,结果可以防止离子注入部分的非晶质化。即,离子注入的区域在注入之后依然留下结晶质,其后的激活温度即使在低于350℃左右的低温下,也可以使注入离子激活。制成CMOS TFT时,使用聚酰亚胺树脂等适当的掩模材料交互掩蔽地覆盖NMOS或PMOS的一方,用上述方法进行各自的离子注入。如果离子注入时的基板温度在300℃以下,可以使用便宜的易于保存等处理的普通光致抗蚀膜来代替聚酰亚胺树脂作为对离子注入时的掩模材料。
其次用CVD法或PVD法形成层间绝缘膜109。离子注入和层间绝缘膜形成后,在约350℃以下的适当的热环境下经过数十分钟至数小时的热处理进行注入离子的激活和层间绝缘膜的烧结。为了使注入离子可靠地激活,该热处理的温度最好也要在250℃以上。此外,为了有效地烧结层间绝缘膜,温度最好在300℃以上。通常绝缘栅膜和层间绝缘膜其膜质量是不一样的。因此,在层间绝缘膜形成后在两个绝缘膜上开接触孔时,绝缘膜的蚀刻速度通常是不一样的。在这样的条件下,接触孔的形状愈往下愈宽,呈倒圆锥形状,或者出现“遮檐”,当往后形成电极时则不能得到很好的电导通状态,成为所谓接触不良的原因。如果有效地进行层间绝缘膜的烧结,则可以将这样的接触不良抑制到最低限度。层间绝缘膜形成之后,在源漏极上开接触孔,用PVD法或CVD法形成源漏电极110和布线,从而完成半导体器件。(第1图(d))
(2、关于本发明的薄膜半导体器件的制造方法的每个工序的详细说明)
(2-1、适用于本发明的基板和衬底保护膜)
首先就适用于本发明的基板和衬底保护膜进行说明。作为适用于本发明的基板,使用金属等的导电性物质、磁化硅(SiC)或氧化铝(Al2O3)以及氮化铝(AlN)等的陶瓷材料、熔融石英或玻璃等透明绝缘性物质、硅片等的半导体基板以及将这些加工后的LSI、蓝宝石(三面晶系Al2O3结晶)等的结晶性绝缘物质等。作为廉价的普通玻璃基板可以使用コ-ニンダジヤパン株式会社(科宁日本有限公司)制的#7059玻璃和#1737玻璃、或日本电气硝子株式会社(日本电气玻璃有限公司)制的OA-2玻璃、(株)NHテワノグラス(株)NH技术制的NA35玻璃等。不管基板的种类如何,至少在基板表面的一部分上构成绝缘性物质,再在该绝缘性物质上淀积半导体膜。本申请将该绝缘性物质称为衬底保护膜。例如当使用熔融石英作为基板时,由于基板本身是绝缘性物质,因而可以直接在熔融石英基板上淀积半导体膜。或者也可以在熔融石英基板上形成了氧化硅膜(SiOx:0<X≤2)和氮化硅膜(Si3Nx:0<X≤4)等绝缘性物质作为衬底保护膜之后再淀积半导体膜。使用普通玻璃作为基板时,半导体膜可以直接在作为绝缘性物质的普通玻璃上淀积,但是,为了不使玻璃含有的钠(Na)等可动离子混入半导体膜中,最好在玻璃基板上用氧化硅膜或氮化硅膜等的绝缘性物质形成了衬底保护膜之后再淀积半导体膜。这样一来,薄膜半导体器件经过长时间使用和在高电压下使用,其工作特性不会变化,增加了稳定性。本申请将这种稳定性称为晶体管的可靠性。除了使用蓝宝石等的结晶性绝缘物质作为基板的情况之外,半导体膜最好在衬底保护膜上淀积。使用各种陶瓷基板作为基板时,衬底保护膜起到防止陶瓷中添加的助烧结原料向半导体部分扩散混入的作用。还有,当用金属材料作为基板时,为了确保绝缘性,衬底保护膜是必不可缺少的。在半导体基板和LSI元件中晶体管之间和布线之间的层间绝缘膜等起到衬底保护膜的作用。关于基板的形状和大小,只要在制造工序的热环境下不发生伸缩和扭曲等变形,几乎不加任何限制。即,从直径3英寸(76.2mm)左右的圆板到560.mm×720mm左右以上的长方形基板可以任意选择。
首先将基板用纯水洗净后,用APCVD法、LPCVD法、PECVD法等的CVD法、或溅射法等的PVD法由氧化硅膜和氧化铝膜、氧化钽膜等的氧化膜、或氮化硅膜等的氮化膜在基板上形成衬底保护膜。开始在基板上形成硅膜、铝膜、钽膜等的金属膜,然后可以利用热或电化学反应形成氧化膜和氮化膜。例如,用溅射法淀积100nm左右的钽,然后在450℃左右的氧化气氛之下进行热氧化,可以形成200nm左右的氧化钽膜。在APCVD法中,如果基板温度在250℃至450℃左右,所用的原料是甲硅烷和氧气,则可以淀积成氧化硅膜。在PECVD法和溅射法中,基板温度从室温到400℃左右之间形成这些衬底保护膜。
在本申请的发明中,在衬底保护膜上形成的半导体膜起晶体管有源层的作用,由于半导体膜是由结晶化作成的,所以衬底保护膜的性质对半导体膜的质量影响极大。首先要求衬底保护膜的表面粗细度用中心线平均粗细度表示应在3.0nm以下。当用CVD法在衬底保护膜上淀积硅等的半导体膜时,在膜形成的最初期阶段先在基板上产生几个核。该核慢慢成长,另一方面在未发现核的衬底保护膜上产生新的核。这些核成长互相碰在一起,不久便连成一片面形成膜。起用于这种成膜机制的淀积膜不论是非晶质还是结晶质,都是从与成膜初期阶段的核相对应的区域开始构成的。因而若核的发生密度低、构成淀积膜的区域就大。如果构成结晶化之前的半导体膜的区域大,构成结晶化了的半导体膜的结晶颗粒也大。如果半导体膜的结晶颗粒大,将此作为晶体管有源层的薄膜半导体器件的迁移率等电性能也好。根据本申请的发明者的实验,如果衬底保护膜的表面粗细度以中心线平均粗细度表示在3.0nm以下,说明核的产生密度可以抑制得比较低,结果可以制造高性能的薄膜半导体器件。这是考虑到衬底保护膜表面的凹凸是核发生的一个原因,凹凸越大核发生的密度就越高的缘故。在将半导体膜进行熔融结晶化时,最好是衬底保护膜的表面粗细度以中心线平均粗细度表示应在1.5nm以下。当表面达到这样的平滑度时,熔融的硅等的半导体物质便很顺利地在衬底保护膜上扩展。因此容易生长成大粒径的结晶颗粒,薄膜半导体器件的特性可以很快地得到改善。同时在熔融半导体物质冷却固化的过程中不会产生熔融物质的局部凝集,从而提高了熔融区域内的均匀度。LSI的比例定律也适用于薄膜半导体器件,可以认为这可以促进今后伴随集成化而出现的元件微细化技术的发展。这样随着晶体管尺寸<<1μm数量级向亚微米数量级趋于微细化时,如何避免局部凝集便成为一个重要的课题。当用熔融结晶化形成半导体膜时,最理想的是衬底保护膜的表面粗细度以中心线平均粗细度表示在1.0nm以下。由此,可以得到没有局部凝集的由大粒径的结晶颗粒构成的均匀的膜。
衬底保护膜的另一个作用是防止杂质元素从基板扩散混入。因此,使衬底保护膜至少用两种以上的不同的膜叠合起来,是很有效的。例如在基板上从下层开始淀积氧化钽膜、氮化硅膜、氧化硅膜。通常基板内含有各种各样的杂质元素,这些杂质在绝缘物质中的扩散系数都不同。很容易产生某种杂质元素在构成衬底保护膜的某一层内扩散得慢、而在另一层内扩散得快。基板中含有各式各样的杂质元素;如后面所述那样,在对衬底保护膜的膜厚给出规定限制之外,用多个不用的膜进行叠合形成衬底保护膜,其防止杂质扩散混入的能力要比用一层构成的衬底保护膜高。衬底保护膜可以考虑使用各种各样的材料,但是,如果从用CVD等法所简单地成膜的观点考虑,最合适的是用氮化硅膜和氧化硅膜的叠层。对这种双层的情况、或者多层的情况也一样,最好最上层是氧化硅膜。这是因为衬底保护膜和半导体层的界面上必然发生的界面能级以氧化硅膜为最少。特别是当半导体膜是数百nm以下的薄膜以便在晶体管的工作状态下使耗尽层扩大到整个膜时,抑制该界面能级尤其重要。本申请的发明的薄膜半导体器件的最合适的半导体膜厚随制造方法的不同多少有点差别,但是大约都在150nm以下。而且,由于半导体膜的质量高,所以结晶粒界面的俘获能级和结晶粒界内的结晶缺陷少。根据这一理由,在晶体管的工作状态下耗尽层扩大到整个半导体膜。当衬底保护膜和半导体膜的界面上产生的界面能级多时,由于施主离子和受主离子实质上起同等的作用,使沟道形成时的耗尽层扩展延迟,阈值电压便提高了。即成为晶体管特性变差的一个主要因素。衬底保护膜表面的晶体管特性效率的出现是因为半导体膜厚大约在150nm以下,而且因为是沟道部分的实质上的杂质浓度(如果是NMOS,则为(受主离子浓度)-(施主离子浓度)+(像受主离子那样起作用的俘获能级和结晶缺陷浓度);如果是PMOS,则为(施主离子浓度)-(受主离子浓度)+(像施主离子那样起作用的俘获能级和结晶缺陷的浓度))为1×1018cm-3左右以下时、或阈值电压(Vth)的绝对值为4.5V左右以下时的薄膜半导体器件。为了进一步提高满足这些条件的晶体管的特性,衬底保护膜表面的调整是不可缺少的,当衬底保护膜是多层时最好使最上层为氧化硅膜,这就是调整措施之一。
衬底保护膜的厚度必须足够厚以便防止从基板来的杂质离子的扩散混入,该值至少应为100nm左右。考虑批与批和基板与基板之间的离散度,该厚度值最好在200nm左右,如果是300nm,保护膜的作用可以得到充分发挥。当衬底保护膜兼作为IC元件间和连结这些元件的布线间的层间绝缘膜时,膜厚通常在400nm至600nm左右。如果绝缘膜太厚,由于会产生由绝缘膜应力引起的裂缝,所以最大膜厚最好是2μm左右。如果进一步考虑合格率的话,最厚不应超过1μm左右。当如上所述那样衬底保护膜由下层的氮化硅膜和上层的氧化硅膜构成时,这一关系也是一样的,各自的膜厚最薄为50nm,加起来为100nm,这一点是必要的。在本发明的薄膜半导体器件中,绝缘栅膜是由350℃左右以下的CVD法和PVD法形成的。这时为了得到清洁的MOS界面,在绝缘栅膜形成之前要先除去半导体膜表面上的自然氧化膜,再形成栅极氧化膜。在该自然氧化膜除去工序中。不仅是半导体膜表面的自然氧化膜,没有半导体膜的衬底保护膜露出来的氧化硅膜表面也一样要去掉一部分。为了在自然氧化膜除去工序之后衬底保护膜仍然正确地发挥作用,构成衬底保护膜的氧化硅膜的膜厚必须至少在100nm以上。即,氧化硅膜的最小膜厚是100nm左右、氮化硅膜的最小膜厚是50nm左右。50nm以下的薄膜,膜连不成一片,某些地方会出现空隙。由于在这种场合下衬底保护膜的防止杂质混入的功能就丧失了,所以不论用什么样的膜都必须保护50nm的最低限厚度。氮化硅膜和氧化硅膜等的上限值如前所述为2μm左右。然而,如果衬底保护膜的膜厚有300nm的话就能充分发挥其作用,反之,如果膜厚超过该值太多,由于会发生起因于膜的应力的裂缝和晶体管特性的变坏,所以理想的上限值约为500nm。当用不同的膜进行叠合时,因膜的应力状态不同,如果各层各自都在500nm左右以下应该没有问题。
一般,用CVD法或PVD法在低于350左右的淀积温度下所形成的氧化硅膜其内部有很强的应力。这种应力的一部分通常在膜形成后的高温热处理中会得到释放。但是在本发明的低温处理中,绝缘栅形成之后的工序最高温度只在350℃左右以下。只经过这样的低温热处理的氧化硅膜难以释放其应力,所以氧化硅膜的膜厚达到2μm左右以上时基板就会龟裂。随着基板的大型化当其尺寸大到300mm×300mm左右以上时,应力更容易集中,更容易产生龟裂。这种情况对单层氧化硅膜或多层膜都一样,当氧化硅膜总厚度到2μm以上时,与其膜厚相对应就会发生龟裂。本发明的薄膜半导体器件中,在基板表面的一部分上设置绝缘性物质的衬底保护膜,在该衬底保护膜上形成由半导体膜、绝缘栅膜、和栅电极组成的场效应晶体管,进一步设置层间绝缘膜以得到场效应晶体管布线间的电绝缘性能。衬底保护膜的最上层至少由氧化硅膜形成,此外,绝缘栅膜的MOS界面一侧也由氧化硅膜形成,进而,层间绝缘膜的一部分通常也由氧化硅膜形成。如果三种氧化硅膜的膜厚之和在2μm以下,那么即使在低温处理的大型基板上形成的薄膜半导体器件也不会发生龟裂。当然,如果衬底保护膜的膜厚和绝缘栅膜的膜厚以及层间绝缘膜的膜厚之和在2μm以下,则更能可靠地防止龟裂。
刚才就衬底保护层的最上层最好是氧化硅膜的理由进行了说明,如上所述,象本发明的薄膜半导体器件那样,在使用高质量的半导体薄膜作为晶体管的有源层时,半导体膜和衬底保护膜之间的界面控制是重要的。特别是在由熔融结晶化形成半导体膜时,希望衬底保护膜的表面尽可能清洁。如果衬底保护膜清洁,不单是衬底保护膜和半导体膜之间存在的界面能级减少了,在半导体膜的熔融过程中也不会有污垢等的杂质进入半导体膜内部。因此,用一台成膜装置可以连续地对衬底保护膜和半导体膜成膜。如果衬底保护膜是氮化硅膜或氧化硅膜、或者是两者叠合起来的而且半导体膜是硅膜或硅-锗膜的话,则衬底保护膜和半导体膜容易用一台PECVD装置连续成膜。从薄膜半导体器件的批量生产考虑,定期清洗这些膜的成膜室内部、除去附着在PECVD装置的成膜室内的薄膜是必要的。如果不清洗,成膜室内部不断附着薄膜,膜便会剥落或者带来微粒的异常发生从而导致产品合格率明显下降。另一方面通过清洗工序除去成膜室内的薄膜,必然在成膜室内残留可以说是构成氟(F)和碳(C)等的清洗气体的微量元素。在这种状态下淀积半导体膜时,残留元素作为杂质进入半导体膜中,使晶体管特性变坏。而且,在清洗工序之后连续处理几块基板、在处理规定的块数之后进行清洗工序的话,则清洗工序刚完之后的基板内所进入的杂质的量多,而刚刚在清洗工序之前的基板内所进入的杂质的量少。换言之,不同的基板之间杂质混入量不同,为此,不能稳定地制造优良的薄膜半导体器件。因此,在本发明中,该清洗工序和先前的连续成膜工序一样作为一连串作业的一个环节来进行。即,对每一块基板成膜处理时都加进清洗工序。首先,作为第1工序,在将基板放置到PECVD装置的成膜室之前,将该基板前一块基板处理时附着在成膜室内的薄膜除去。具体地说将NF3、CF4、CHF3、CH2F2、CH3F等清洗气体的某一种气体、或者这些清洗气体和氧气(O2)、氢气(H2)、氨气(NH3)等的反应控制气体的混合气体、或者必要时再将氦(He)、氩(Ar)、氮(N2)等惰性气体适当混合导入成膜室内,形成等离子体。由该工序将成膜室内附着的薄膜除掉。该清洗工序结束后将成膜室内抽成真空,尽量不使其留下残留气体。接着在第2工序中,淀积氮化硅膜或氧化硅膜使之作为对残留杂质元素的钝化膜。即,用该钝化膜将杂质元素隔离。钝化膜和衬底保护膜一样,为了可靠地防止杂质混入,需要100nm左右的膜厚。当每一块基板处理完之后都需要将该钝化膜去掉。所以,如果钝化膜太厚,由于刚才第1工序的清洗时间和钝化膜的成膜的第2工序的时间都变长、生产效率降低,因此钝化膜最厚不超过1μm左右的上限值。当用氮化硅膜作钝化膜时,使用氨(NH3)和硅烷(SiH4、Si2H6…等)作为原料气体,当用氧化硅膜时,则使用笑气(N2O)和硅烷:在第3工序中,将基板放进成膜室内,之后在第4工序中在基板上使衬底保护膜成膜。虽然在基板上衬底保护膜是起到衬底保护膜的作用,但是在基板之外的成膜室内也起到第2钝化膜的作用。衬底保护膜其自身可以防止杂质向衬底保护膜中扩散,如果与第2工序中成膜的钝化膜合起来,就可以几乎是完全防止杂质向半导体膜中扩散。在与第4工序连在一起的不破坏真空的第5工序中使半导体膜成膜,在第6工序中,从成膜室取出基板,完成一块基板的处理作业。以后对各基板反复进行同样的基板处理作业。根据这样的基板处理作业如进行衬底保护膜和半导体膜的连续成膜的话,则衬底保护膜和半导体膜之间的界面很清洁,因而能制造出优质的薄膜半导体器件。进而,可将向半导体膜中混入的氟和碳等的不纯物混入量降低到最小限度,而且假如混入了极微量的不纯物,由于不纯物的量在基板内是始终保持不变的,所以结果可以稳定而高效地制造出优良薄膜半导体器件。
(2-2、本发明的半导体膜和用于成膜的原料物质)
在本发明中半导体膜在任何一种基板上淀积。这一点在以下所述的全部发明中都是共同的。适用于本发明的半导体膜的种类除了硅(Si)、锗(Gt)等的单一的半导体膜之外,也可是硅-锗(SixGe1-x:0<X<1)、硅-碳(SixG1-x:0<X<1)、锗-碳(GexG1-x:0<X<1)等四族元素复合体半导体膜或是镓砷(GaAs)、铟·锑(InSb)等的三族元素与五族元素的复合体化合物半导体膜、或是镉-硒(CdSe)等二族元素与六族元素的复合体化合物半导体膜。或者是硅·锗·镓·砷(Six Gey  Gaz Az:X+Y+Z=1)可以说是更进一步的复合化合物半导体膜和在这些半导体膜中添加了磷(P)、砷(As)、锑(Sb)等的施主元素的N型半导体膜或是添加了硼(B)、铝(Al)、镓(Ga)、铟(In)等的受主元素的P型半导体膜也能够适用于本发明。
在本发明中用CVD法淀积半导体膜时,将含有淀积的半导体膜的组成元素的化学物质作为原料气体来淀积半导体膜。例如,当半导体膜是硅(Si)时,作为原料气体使用单硅烷(SiH4)、乙硅烷(Si2H6)、丙硅烷(Si3H8)、二氯硅烷(SiH2Cl2)等的硅烷。本说明书中将乙硅烷和丙硅烷称为高次硅烷(SinH2n+2:n为大于2的整数)。当半导体膜是锗(Ge)时,使用锗烷(GeH4)等,当在半导体膜中添加磷(P)、硼(B)时,还同时使用磷化氢和乙硼烷。作为原料气体使用上述含有组成各种半导体膜的元素的化学物质,但由于原料气体的一部分必然残留在半导体膜中,所以最好是采用组成元素的氢化物。例如,在由二氯硅烷(SiH2Cl2)成膜的硅膜中,或多或少必然残留一些氯元素,当将这种硅膜用于薄膜半导体器件的有源层时,残留的氯便成为晶体管特性变坏的主要因素。因而,与二氯硅烷相比较最好使用组成元素的氢化物即单硅烷(SiH4)。虽然希望原料气体和必要时添加的追加气体的纯度越高越好,但考虑到获得高纯度气体的技术难度大、价格高,纯度最好是99.9999%以上。通常半导体膜成膜装置的背景真空度为10-6乇左右,成膜压力从0.1乇到几个乇。因此,从背景真空到成膜过程的不纯物混入的比例是从-1.0-5到10-6左右。用于成膜的原料气体和追加气体的纯度只要与利用这些气体的成膜装置的相对于背景真空度的成膜压力之比相等就足够了。因此,本发明希望在成膜装置中流动的气体的纯度在99.999%以上(杂质占的比例在1×10-5以下),如果达到99.9999%(杂质占的比例在1×10-6以下),作为原料使用完全没问题,如果达到背景真空度和成膜压力之比的十倍纯度(该例中纯度为99.99999%、杂质占的比例在1×10-7以下),则可以完全不考虑会从气体中混入杂质,这是很理想的。
(2-3,本发明所用的LPCVD装置)
以下说明本发明的用LPCVD法淀积半导体膜时的LPCVD装置的概要。LPCVD装置不论是纵型炉还是横型炉都没关系。一般成膜室由石英等做成,在成膜室的中央部分附近放置基板。在成膜室的外侧分几个分区加热器,通过独立地调整这些加热器使反应室中央部分附近形成规定温度的均匀加热带。即所谓保温箱型的LPCVD装置。如果对多个加热器独立进行调整,可以使均匀加热带内的温度偏差在0.2℃之内。均匀加热带内的温度变化虽然很小但总是存在变化的,该温度误差是淀积膜厚变化的第1个因素;进而由于基板内的均一性比基板间的均一性要优先考虑,所以希望相对于加热器的热辐射方向将基板平行放置。例如当LPCVD装置是纵型炉时,将基板大致水平放置比垂直放置更能使半导体膜均匀地成膜。相反,如果是横型炉,则基板最好大致为垂直放置。将硅烷(SiH4)、乙硅烷(Si2H6)或锗烷(GeH4)等气体原料和必要时使用的氦-氮-氩-氢等稀释气体从设置在成膜室的一边的气体导入部分导入成膜室,在设置于成膜室的中央部分附近的多块基板上和成膜室的壁面上淀积半导体膜之后,从与气体导入部分相反的方向排出气体。成膜室排出的气体经过阀门和传导阀由涡轮分子泵和旋转泵等真空排气装置排出。本发明的真空排气装置由涡轮分子泵和旋转泵构成,该装置也可以由机械升压泵和驱动泵等组合而成。不管是纵型炉还是横型炉,通过使放置在成膜室的基板的法线方向与成膜室内气体流的方向大体一致,可以比较容易地得到半导体膜的均匀性。即,如果是纵向炉如前所述最好使基板大致水平放置而气体则在上下方向流动。同样,若是横向炉最好使基板大致垂直放置而气体则沿水平方向流动。本发明使用的LPCVD装置是高真空型的,成膜时的背景真空度为10-7乇。因此可以十分迅速地排出基板和螺栓夹具等必然带来的逸出气体。基板和螺栓夹具等产生的逸出气体含有水(H2O)、氧(O2)等,这些杂质气体妨碍优质的半导体膜的生长。即,当淀积硅膜等半导体膜时,基板和螺栓夹具等产生的杂质气体在淀积的初始过程中可形成淀积膜的核。所以在不能充分地排出选出气体的情况下许多杂质气体被基板表面吸收,形成许多核。即使在淀积半导体膜之后通过热处理和激光照射等使之结晶化,起因于这些逸出气体的大量的核的存在使结晶生长后的平均粒径尺寸变小、半导体性能降低。加之,淀积过程中这些逸出气体杂质也进入半导体膜内,进一步使半导体性能变差。如(2-1)项中说明了的那样,为了抑制核的发生,衬底保护膜的材料质量和表面粗细度起着重要的作用,但同时还必须慎重地控制半导体膜的淀积条件。总之,要形成优质半导体膜,除了要调整衬底保护膜表面使之难于产生核,使用能够十分迅速地排出基板等不可避免要产生的逸出气体杂质的LPCVD装置也是不可缺少的。
在LPCVD法中利用原料气体的热分解在基板上淀积半导体膜。该方法对于已提到过的300mm×300mm那样的大型基板来说,在该方法得以使用的比较低的淀积温度下以高的生产效率进行成膜时的最大问题是兼顾淀积速度(DR)和均匀性。例如考虑在上述廉价的大型普通玻璃基板上淀积硅膜。对于基板尺寸是300mm×300mm以上那样的大型基板,无论怎样放置基板,由于基板的自重,淀积温度在约450℃以上时在成膜过程中便会产生变形。不用说这一由热引起的变形当然是淀积温度越低变形越小,但是,要使变形小到对蚀刻电路图形时的曝光等的后面工序毫无影响的程度,则必须是淀积温度在430℃左右以下的时候。因此,虽然硅膜等的半导体膜是在425℃那样的低温下由乙硅烷等高次硅烷淀积而成的,但淀积温度降到这一程度时淀积速度就相当慢了。因此升高淀积压力以便在低的淀积温度下得到快的淀积速度。由于气体浓度与压力成正比,所以升高淀积压力就等于提高原料气体浓度,因此原料向基板表面的输送速度加大从而提高了淀积速度。但是,使用这样的淀积方法的结果,只有大型基板的周围部分的半导体膜特别厚,基板内的均匀性变差了。随着基板的增大,基板的中心部分和周边部分的膜厚差就越显著,此外,随着淀积温度的降低上述膜厚差也越显著。其原因之一就是当原料气体输送速度加快时基板的边缘部分产生涡流,由此只在周边部分输送大量的原料物质,与中心部相比膜就终于变得厚起来。另一个原因是伴随基板尺寸的增大,向中心部的气相输送速度降低。换言之,为了在不到450℃左右或不到430℃左右的低温下得到快的淀积速度和均匀的膜厚分布,原料气体在气相中的输送速度应不随已提到过的基板中央部分和周边部分的地点变化而始终保持高的状态,而且要将边缘部分发生的涡流控制到最小限度,这是十分重要的。通过发明者进行的一连串实验知道了如果淀积时的原料气体分压(乙硅烷作为原料气体时是乙硅烷分压)为10毫乇左右到5乇左右的真空度时,通过LPCVD装置内设置的基板间隔d可将涡流的大小和输送速度的差异控制在某种程度。通过发明者的实验认识到:一般而言,有基板间隔d愈大则均匀性愈好的趋势,进而明白了随着基板的增大而又要得到同样的均匀性则必须加大基板间隔。当基板间隔加大到某种程度时,原料气体能有效地向基板中央部分输送,中央部分和周边部分输送量的差变小以及周边部分产生的涡流变小,由这样两种效果可以使均匀性得以改善。具体地说,当淀积温度为410℃左右至440℃左右,基板面积S为90000mm2(300mm×300mm的基板)左右以上时,将基板间隔d设定成满足(1)式
d≥0.02×S1/2(mm)          ---(1)
那样的话就可以改善均匀性。例如,当将300mm×300mm的基板放置在LPCVD装置内时,基板间隔d大于6mm就行。实际上,在淀积温度为425℃、乙硅烷流量为200sccm、氦流量为1000sccm、压力为1.2乇、乙硅烷分压为200毫乇、淀积速度为0.85nm/min的淀积条件下,当将300mm×300mm的基板以7.5mm的间隔放置在LPCVD装置内时,除了边缘1cm之外,其膜厚的离散度只有3.4%。(这里的离散度是当在除了边缘部分之外的280mm×280mm的区域内最大膜厚设为max、最小膜厚设为min时由(max-min)/(max+min)所定义的离散度),与此对照,在完全相同的淀积条件下,相同尺寸的基板以5mm的间隔放置在LPCVD装置中时的离散度为8.9%。如后面所述,半导体膜厚对薄膜半导体器件的性能影响很大,但是,如果离散度在5%之内,性能的差异几乎没有问题。同样,在将360mm×465mm的基板以10mm的间隔放置在LPCVD装置内时的离散度为4.2%,而与此对照,以7.5mm的间隔放置时则为10.1%。按照(1)式,对于360mm×465mm的基板,基板间隔d应大于8.2mm,事实正好说明了这一点。这样一来,例如,若在均匀加热带的宽度约为120cm之内放置基板的间隔是10mm,即使考虑上下和前后的无用空间,也可以进行一批100块的基板处理。如果利用下一项叙述的本发明的成膜方法,一批的处理时间是3小时左右。由此,一块基板的处理时间(本申请中将此称为生产节拍时间)是1分48秒,再加上LPCVD装置的维护时间等的停止时间,生产节拍时间是2分钟左右。即,能以这样高的生产效率来制造均匀性好的薄膜半导体器件。
如上所述,随着淀积温度的降低、淀积速度变慢、获得较好的均匀性比较困难。如果淀积温度不到410℃左右,则取代(1)式的是
d≥0.04×S1/2(mm)          …(2)
当放置基板使之满足(2)式的条件时,同样可以得到良好的均匀性。再有,如图3(a)所示那样使用横型炉将二块基块作为一组背靠背地立在螺栓上进行半导体成膜时,相邻两组间的距离相当于基板间隔d。若考虑刚才300mm×300mm的例子,则一批可以处理200块基板,进而使生产效率提高一倍。对纵型LPCVD装置也存在同样的关系。这时,两块一组的玻璃基板背靠背大致水平放置。即,在两块基板的下侧基板的表面是朝下的,上侧基板的表面朝上。这时组间的距离d也与上述基板间隔相当。(参照第3图(b))在保温箱型纵型LPCVD装置中将基板水平放置时产生的问题之一是第4图所示基板中央部的弯曲。基板愈大该弯曲愈厉害,而且玻璃的形变温度点愈低弯曲愈厉害。另一方面,玻璃基板形变温度点愈高、耐热性愈好其价格就越高。因此,如图3b所示那样将二块一组的多块玻璃基板放置在LPCVD装置内时,将形变温度点不同的玻璃作为一组,使形变温度点高的一块玻璃基板在下侧,这样来淀积半导体膜。这一来由于形变温度点高的玻璃弯曲小,因而在其上面放置的形变温度点低的玻璃的弯曲也可以小,结果可以使用更为便宜的玻璃。即,通过使二块基板作为一组来处理,不单是使生产效率倍增还容易使每一块LCD的价格降下来。
(2-4、本发明的使用LPCVD法的半导体膜淀积)
如前次中说明的那样,使用普通大型玻璃基板时,淀积温度最好尽可能低。但是淀积温度低同时意味着淀积速度也低。淀积速度一变慢,成膜所费的时间变长、当然生产效率就要下降,此外,对薄膜半导体器件的性能也有很坏的影响。反之,在用低温处理制造含有硅的良好的薄膜半导体器件时,当在半导体膜的淀积温度不到450℃、特别是430℃以下时用乙硅烷等高次硅烷进行淀积时,若淀积速度在0.20nm/min以上则可以得到迁移率大的薄膜半导体,进而若淀积速度在0.60nm/min以上则基板内的晶体管特性的变动小。此外,约当在430℃以下的低温下且硅膜的堆积速度在约0.2nm/min以上形成由纯硅膜形成的半导体膜时,熔融结晶化的半导体膜的质量相对于激光的变化很稳定,而且在使用了这种膜的poly-si TFT中,将不用ECR-PECVD装置所形成的SiO2膜作为绝缘栅膜来使用也能作成具有良好晶体管特性的薄膜半导体器件。在实际淀积温度400℃、乙硅烷流量200sccm、氦流量1000sccm、压力880毫乇、乙硅烷分压147毫乇、淀积速度0.12nm/min的淀积条件和淀积温度425℃、乙硅烷流量200sccm、氢流量200sccm、压力131毫乇、乙硅烷分压65.5毫乇、淀积速度0.19nm/min的条件下淀积的非晶质硅膜通过透过型电子显微镜拍照观测到所有的地方都是黑色斑点,用RTA法结晶化后的结晶粒直径也很小。因此,在用这种非晶质硅膜作为晶体有源层时迁移率也小。为什么淀积速度低于0.20nm/min时在非晶质硅上会发生黑色斑点、从而使晶体管性能变坏?详细的原因不能确定,我们认为恐怕是由于生长速度太慢、膜生长的表面呈气相的时间太长、结果从背景真空混入的杂质增多的缘故。因而淀积速度的下限依赖于·LPCVD装置的背景真空度。即,如本申请那样在背景真空度为1×10-7乇~1×10-6乇的LPCVD装置中,淀积速度在0.20nm/min以上可以淀积优质半导体膜。若淀积速度在0.60nm/min以上,则完全没有此类影响,为此,晶体管特性的变动量也小。进而如后面所述用本发明的LPCVD法作成半导体器件时的半导体膜的最佳膜厚大约是50nm。因而若淀积速度在0.60nm/min以上则淀积时间是80分钟左右。把基板放入LPCVD装置并抽成真空大约需要20分钟,成膜前的预热时间约1小时,如上所述淀积时间约1小时20分钟,成膜后进行抽真空和取出基板约20分钟一批的处理时间总共3小时左右。如前项所示,如果批处理100块基板生产节拍时间是2分钟左右,若使用2块一组方法,节拍时间则不到1分钟,可以实现非常高的生产效率。
如迄今所叙述的那样,要在大型基板上稳定地作成高性能的低温处poly-Si TFT,需要达到三个理想条件,即,将含有硅的半导体膜在约430℃以下的温度下,以0.6nm/min以上的淀积速度进行淀积,而且要使大型基板内的膜厚的离散度在5%左右以下。通过在用LPCVD法对半导体膜成膜时使用乙硅烷等高次硅烷作为原料气体、规定LPCVD装置成膜室内可以使半导体膜成膜的表面总面积A(cm2)和导入成膜室内的高次硅烷的流量Q(sccm)之间的关系,便可以满足这一条件。即,当将单位面积的高次硅烷的流量用R(sccm/cm2)
R=Q/A来定义时,通过调整该值可以满足上述三种条件。用LPCVD法对半导体膜进行成膜时的淀积温度主要决定着基板表面的化学反应速度。另一方面,原料气体的气相下的输送速度与空间内原料气体的浓度有正的相关关系。用原料气体的浓度C
C=P/KTg的关系式将原料气体的压力P和温度Tg联系起来。(K是玻尔兹曼常数。)为了在将淀积温度固定在一定值、即将潜在的表面反应速度保持一定的情况下再提高淀积速度,一般是通过将原料气体的压力P加大使气相时输送速度提高来增大实际的表面反应速度。但是,如上所述,一旦压力提高淀积速度加快均匀性就会受到不利影响。在认识到这一事实的另一方面,在成膜室中压力P和成膜室的排气速度S及气体流量Q之间存在
P=Q/S的关系。这里有3个独立变量,由于这些独立变量间有一个关系式,所以最终只存在2个独立变量。换言之,如果只规定压力P,不能决定某一物理状态。这就意味着例如,对于相同的压力100毫乇,气体流量为100sccm、排气速度为1sccm/mtorr的系统和气体流量为1sccm、排气速度为0.01sccm/mtorr的系统是完全不同的系统。发明者注意到了这一点,在将淀积温度和淀积压力规定为某一定值的情况下,改变成膜室内排气速度和作为原料气体的乙硅烷的流量,探讨了这些参数对淀积速度和均匀性会产生什么样的效果。结果弄清了即使淀积温度和压力一定时,随着原料气体流量的增大,淀积速度也增大,而且均匀性也得到了改善。进而该关系与反应室内的总面积A也有很深的关连,原料气体的流量也要与总面积成比例地增加。用第5图来说明这一关系。将35块300mm×300mm的基板以10mm的基板间隔放置在体积为184.51的纵型保温箱式LPCVD装置中,淀积了非晶质硅膜。因为一块基板的面积是30cm×30cm×2(表里)等于1800cm2,所以35块基板的总面积是63000cm2。另一方面,在成膜室内半导体膜成膜部分的面积为25262cm2,因此在LPCVD装置内半导体膜得以成膜的总面积A为
A=63000+25262=88262cm2
在该条件下淀积半导体膜,淀积温度为425℃、淀积压力为320毫乇、成膜室内只流通乙硅烷。乙硅烷流量从50sccm至400sccm改变,同时通过LPCVD装置的压力调整器来改变成膜室的排气速度从而使淀积压力保持320毫乇的固定值。这样的实验结果,其淀积速度相对于乙硅烷流量的变化由图5的圆圈和实线(DR)示出,基板中膜厚的离散用四角符号和虚线(V)示出。由于A=88262cm2,所以Q=50sccm与R=5.66×10-4sccm/cm2相当,以下,Q=100sccm与R=1.13×10-3sccm/cm2、Q=200sccm与R=2.27×10-3sccm/cm2、Q=400sccm与R=4.53×10-3sccm相当。当R大于2.27×10-3时,淀积速度大致呈饱和状态,表面反应速度与潜在的表面反应速度基本一致。如前所述若温度和压力相同,无论从生产效率还是从半导体膜的质量的观点来看都希望淀积速度快。若淀积速度快核的生长速度相对其发生的速度要快,因此结晶化工序后的结晶粒也大、逸出气体等杂质气体向半导体膜中进入的量减少,半导体膜的质量由此两点可以得到改善。当将该半导体膜作为薄膜半导体器件的有源层使用时,上述两点意味着各自的迁移率加大和阈值电压降低。当杂质进入少时;这一点进而还可以降低poly-si TFT的截止电流。这样,淀积速度是快一点为好,但是,由图5即可明白当R在R=2.27×10-3sccm/cm2之上时该值便饱和。所以半导体膜成膜时的单位面积的高次硅烷流量最好是约2.27×10-3sccm/cm2以上。该实验是用纵型炉进行的,原料气体从成膜室上部导入、从下部排气。在R=5.66×10-4sccm/cm2时最上放置的基板和最下放置的基板的淀积速度要差18%。因为当R=1.13×10-3sccm/cm2以上时几乎观测不到这种差异,所以,为了获得基板之间的均匀性,希望R在1.13×10-3sccm/cm2之上。此外,从图5可知R≥4.54×10-3sccm/cm2时基板之内的膜厚的离散度在5%以下,淀积速度也大到1.30nm/min,这是理想的情况。
在LPCVD装置中原料气体的流量必须与半导体膜能够成膜的总面积A对应地改变。即,应调整的参数是单位面积高次硅烷的流量R。实际上将17块235mm×235mm的基板以20mm的间隔置于LPCVD装置内进行了与上述完全相同的实验。基板总面积为23.5cm×23.5cm×2×17=18777cm2、成膜室内半导体膜成膜部分的面积为25262cm2,所以总面积A=44039cm2,与R=5.66×10-4sccm/cm2、1.13×10-3sccm/cm2、2.27×10-3sccm/cm2、4.53×10-3sccm/cm2相当的高次硅烷的流量分别是25sccm、50sccm、100sccm、199sccm。在这些乙硅烷的流量下考察了淀积速度和基板间的均匀性,确认了与刚才所述的相同的现象。即,单位面积的高次硅烷的量是除了淀积温度和压力之外统一地决定该物理系统的一个参数。在低于430℃左右的淀积温度和100毫乇左右以上的乙硅烷分压之下淀积含有硅的半导体膜时,按照上述发明希望R至少在1.13×10-3sccm/cm2之上。例如将100块400mm×500mm的基板以15mm的基板间隔放置在直径900mm的圆筒状成膜室内进行半导体膜的淀积时,基板总面积为400000cm2、成膜室内总面积约56550cm2、A=456500cm2左右。因而最小需要的乙硅烷的流量是R=1.13×10-3sccm/cm2和A的乘积Q=518sccm。同样将100块560mm×720mm的基板以25mm的间隔置于直径1200mm左右的成膜室内淀积半导体膜时,最低需要的乙硅烷的流量Q由
A~919500cm2
R≥1.13×10-3sccm/cm2可知大约为1050sccm。
(2-5、poly-Si TFT的沟道膜厚和晶体管特性)
这里说明构成poly-Si TFT型薄膜半导体器件的沟道膜厚的有源层半导体膜厚和晶体管特性的关系。一般在薄膜半导体器件中成为沟道的半导体膜的最佳膜厚与其形成方法有密切关系。这是因为半导体膜的性质因膜厚的不同而变化很大。例如象SOS(蓝宝石衬底硅(Silicon on Sapphire))和SOI(绝缘衬底硅(Siliconon Insolator))那样,原则上半导体膜的性质不依赖于膜厚而变化,半导体膜愈薄晶体管特性愈好。(这里将该原理称之谓基于工作原理的薄膜效应。)这是由于在薄的半导体膜中耗尽层迅速地扩展到整个半导体膜厚、在半导体膜表面很快形成反型层的缘故(阈值电压Vth变小)。另一方面,在将多结晶膜用于沟道的薄膜半导体器件中,由于半导体膜的性质因膜厚不同而差别很大,因而比上述的机理更复杂。通常多结晶膜的质量是膜越薄越差。具体地说,薄膜与厚膜相比较,构成薄膜的结晶粒(grain)的尺寸较小,同时结晶内的缺陷和结晶粒界的陷阱数较多。结晶粒的尺寸变小,使用它的薄膜半导体器件的迁移率变小。而且结晶内缺陷和结晶粒界的陷阱数增大使耗尽层的扩展变慢,实质上阈值电压Vth变大了。(这里将该原理称为薄膜性能变差。)结果,刚才基于工作原理的薄膜效应和薄膜性能变差处于竞争过程中。如果即使膜薄但膜的性质变化不是很大(薄膜性能的变差不显著),则基于工作原理的薄膜效应起作用,膜越薄晶体管特性愈好。反之,如果因膜薄,膜的性质明显变差(薄膜性能的变差较显示),则基于工作原理的薄膜效应不起作用,伴随膜变薄,特性变差。即根据膜的性质对膜厚依存性的大小,膜薄时的晶体管特征可能变好也可能变差。该膜的性质对膜厚的依存性随膜的形成方法而异,还根据其膜厚不同而有差别。因而,半导体膜的最佳膜厚因薄膜半导体器件的制造方法的不同而完全不同,必须根据各自的制造方法来决定其最佳值。
(2-6、LPCVD-结晶化膜的最佳膜厚)
这里就上述本发明的低温处理薄膜半导体器件中用淀积温度在450℃以下、理想的是在430℃以下的LPCVD法对半导体膜成膜后进行结晶化作成的poly-SiTFT的最佳半导体膜厚进行说明。用LPCVD法在450℃以下或430℃以下其膜厚必须在10nm左右以上时膜才能连成一片。当膜不连成片而呈岛状漂浮时,则无论是熔融结晶化也好、固相生长法也好,结晶化之后仍然不能连成一片,所以半导体的导通特性极差。可以说薄膜性能变差相对于基于工作原理的薄膜效应占压倒优势。因而LPCVD-结晶化膜的最低膜厚为10nm左右。当膜厚在约20nm以上时,熔融结晶化膜的晶体管特性开始变好。在半导体膜熔融结晶化时,在冷却固化过程中以一个核为中心结晶,核周围的半导体原子聚焦到该核上。为此,当厚度低于20nm时,即使用LPCVD法淀积之后膜能连成一片,但熔融结晶化后到处都产生空隙,晶体管特性依然不好,即,对LPCVD-熔融结晶化膜来说,20nm以下薄膜性能变差占优势一到20nm以上薄膜性能变差作用逐渐变小而基于工作原理的薄膜效应则可以与薄膜性能变差作用抗衡了。这种状态的持续是膜厚从20nm左右到80nm左右之间的范围,膜厚在这个范围内晶体管特性最好。膜厚大于80nm时基于工作原理的薄膜效应占优势,晶体管特性随着膜厚的增大而逐渐变差。如果膜厚在30nm以上可以稳定地生产。特别是高精度微细加工技术的发展,当用反应性离子蚀刻(RIE)方法来进行在层间绝缘层和绝缘栅中开孔的接触孔的开孔时,30nm左右以上的半导体薄膜可以使半导体膜与布线间的接触不良明显减少。通常绝缘栅膜和层间绝缘膜膜厚之和是600nm左右,假使基板内这些膜厚的差异是±10%即20%的话,那么最薄的绝缘膜和最厚的绝缘膜之差是120nm左右。RIE的对半导体膜的选择比大约是1∶10左右,所以当在最厚的绝缘膜上开接触孔时,位于最薄的绝缘膜下面的半导体膜削减了10-15nm左右。若半导体膜厚在30nm以上,即使如上所述在接触孔开孔时减少15nm左右,接触电阻仍然足够低,不会产生接触不良。如果半导体膜厚在70nm左右以下,整个膜在激光照射等的熔融结晶化时可以均匀加热从而实现高质量的结晶化。一旦膜厚达到140nm以上时,由于在激光从上面照射时只有膜的上层部分熔融,下层部分则成为残留的非晶质部分,与基于工作原理的薄膜效应相吻合,晶体管特性剧烈下降。即,LPCVD-结晶化法的上限膜厚为140nm左右。
(2-7、根据本发明的用PECVD法的半导体膜淀积)
以下说明用PECVD法形成本发明的薄膜半导体器件的半导体膜。这里使用的PECVD装置是容量结合型的,使用工业用的高频波(13.56MHz)在两块平行平板电板间产生等离子体。两块平行平板电极中的下部平行平板电板的电位是接地电位,在该电极上放置要淀积半导体膜的基板。向上部平行平板电极提供高频波。另外,在上部平行平板电极上开许多气体导入口,原料气体从该电极面上以层状气流均匀地送到成膜室内。成膜时的压力从0.1乇左右到5乇左右,平行平板电极间的距离从10mm左右到50mm左右的范围内可变。
在基板表面至少一部分上设置氧化硅膜等的绝缘性物质的衬底保护膜之后,在该衬底保护膜上形成半导体膜,最后以该半导体膜作为晶体管有源层制造薄膜半导体器件。用PECVD法淀积半导体膜时,将基板放置到PECVD装置的成膜室中之后,首先用氧等离子体照射衬底保护膜。氧等离子体产生条件是:电极之间的距离从15mm到35mm左右,压力从1.0乇到2.0乇左右,高频功率密度从0.05W/cm2左右到1W/cm2左右。基板温度与半导体淀积相同,从250℃左右到350℃左右,氧等离子照射时间从10秒左右到1分左右。照射氧等离子后,暂时去掉等离子体并对成膜室抽10秒30秒左右的真空。抽真空到15秒以上时,成膜室内的真空度便达到1毫乇以下。这是为了在下面的工序中半导体膜淀积时不使氧混入到半导体膜中。抽真空之后不照射等离子体而使半导体膜淀积时用的硅烷和氢等原料气体持续地流过10秒到2分钟左右。这时成膜室的压力和原料气体流量等的条件与半导体膜淀积时相同。因此由于成膜室内的氧气完全置换成原料气体了,所以能将半导体膜中氧的混入减少到最小限度。进而如果将该时间持续30秒左右以上,基板温度保持一定,便可以始终在同一条件下淀积半导体。本发明的薄膜半导体器件中衬底保护膜的最上层是由核产生速度较慢的氧化硅膜等组成。由于该氧化硅膜是用CVD法和PVD法形成的,所以必然存在Si的未反应对。为此,如果对该衬底保护膜不作任何预处理而形成半导体膜,未反应对便变成衬底保护膜中的固定电荷。如上所述当半导体膜薄到约数百nm以下时,这些固定电荷便会给薄膜半导体器件带来不良的影响,使其阈值电压(Vth)离散。通过对衬底保护膜表面照射氧等离子体,使未反应对与氧原子结合,衬底保护膜内的固定电荷就急剧减少。即,即使因要改善半导体特性而使半导体膜十分薄,也可以使因衬底保护膜的Vth变动等引起的特性不稳定因素消除。进而,氧等离子体通过氧化反应(燃烧)使衬底保护膜表面清洁,从而更加抑制半导体膜淀积初期阶段发生的速度。由此,半导体膜的纯度可以提高。同时构成淀积膜的区域扩大,构成结晶化了的半导体膜的结晶粒也变大。这一效果在薄膜半导体器件的特性上表现为截止电流下降和Vth变小、次阈值曲线变陡、开关特性好以及迁移率变大等。
为了改善衬底保护膜的表面,除了照射氧等离子体外,照射氢等离子体也有效。即,将要淀积半导体膜的基板放置在PECVD装置中之后,首先用氢等离子体照射基板上的衬底保护膜,在不破坏真空的情况下继续在该衬底保护膜上使半导体膜成膜。半导体膜淀积条件是按3000sccm氢、100sccm单硅烷的比例使用大量的氢,而且,当氢对单硅烷的比达到10倍以上时,从氢等离子体处理到形成半导体膜不需要中断等离子体就可以连续地进行。当与氢等离子条件不同,半导体膜淀积条件是7000sccm氩、100sccm单硅烷时,希望设置淀积前的稳定期,除了在氢等离子体处理之后暂时去掉等离子体(不建立等离子体)之外,其他处理参数完全与半导体膜淀积条件相同。这样一来,基板温度和半导体膜淀积时一样始终保持一定。氢等离子体处理时间大约从10秒到1分钟左右,半导体膜淀积前的稳定期从10秒左右到2分钟左右。
衬底保护膜中的未反应对有象Si-*那样能由氧补全的和象Si-O-*那样不能由氧补全的。由于氢等离子体照射能使这些未反应对以Si-H、Si-OH的形态补全,所以对于减少衬底保护膜中的固定电荷非常有效。而且,氢等离子处理衬底保护膜的蚀刻和清洁也有效果,因而也能提高半导体膜的纯度。进而通过该清洁工序使衬底保护膜和半导体膜的附着得以明显改善。用PECVD法形成半导体膜时与其淀积条件相对应有可能发生弧坑状的孔和膜的剥落,但用氢等离子处理时就可以避免发生这类现象。
在淀积半导体膜时如果同时施以氧等离子处理和氢等离子处理则更好。即对表面为氧化硅膜的衬底保护膜首先照射氧等离子体。开始用氧化反应使衬底保护膜中的未反应对补全、同时通过燃烧使表面清洁从而抑制核的产生速度。接着去掉氧等离子体,抽真空10秒到1分钟去掉成膜室内的氧气。进而在不破坏真空的情况下对衬底保护膜连续照射氢等离子体。对于不能用氧等离子体补全的几个未反应对用氢等离子体来补全,使衬底保护膜中的固定电荷达到最小。使表面更加清洁化同时使半导体膜和衬底保护膜的附着性也得到改善。氢等离子体处理之后,根据需要进行抽真空和对基板加热,在不破坏真空的情况下进一步连续地在衬底保护膜上形成半导体膜。这样一来不仅可以同时取得刚才所说的氧等离子体和氢等离子体的效果,同时由于在氧等离子体处理和半导体膜成膜之间插入了氢等离子体处理半导体膜中氧的混入量明显减少,可以得到纯度更高、质量更好的半导体膜。如(2-1)项所述,因为对熔融结晶化了的半导体膜来说,清洁的衬底保护膜表面和衬底保护膜-半导体界面的控制特别重要,所以半导体膜淀积前的衬底保护膜的表面处理具有格外重要的意义。
下面说明用PECVD法使半导体膜形成之后的处理方法。半导体膜在衬底保护膜上成膜之后,最好不要破坏真空继续在半导体膜上照射氢等离子体。由此对硅等半导体原子的未反应对进行补全反应。这一点特别是对在半导体膜淀积时氢气量少的状态下成膜时有效果。例如,象使单硅烷与氦、氩等惰性气体混合淀积半导体膜那样,对于导入成膜室的气体中氢气的含量占不到50%的系统特别有效。在这样的系统中淀积半导体膜时必然出现大量的未反应对。这些未反应对的化学活性极强,所以能与大气中存在的各种各样的杂质元素和物质进行反应或者进行物理吸附。在这种状态下一旦通过激光照射使其结晶化时,半导体膜纯度降低,进而由于吸附的物质成为结晶生长的核,所以结晶粒变小。对于这样的缺点用氢等离子处理很容易去掉。即,高纯度高质量的半导体膜本身因大气污染而成为不稳定的物质,但经过成膜后的氢等离子体的照射变成了稳定的高纯度高质量的膜了。
在衬底保护膜上形成半导体膜之后,不破坏真空、继续对半导体膜照射氧等离子体可以达到同样的效果。当半导体膜是硅或以硅为主时,氧等离子体在半导体膜表面形成氧化硅膜。该氧化硅膜非常稳定,与半导体表面相比其防止化学、物理的杂质的吸附和杂质向半导体膜的扩散的能力是很强的,即,最适合于保护半导体膜使其不受外部的污染。而且,由于与大气中的氧不同,是使用高纯度的进行过质量控制的氧的等离子体来氧化的,氧化膜本身的纯度也很高。在其后的结晶化时,虽然希望去掉氧化膜,但是即使去不掉也几乎不存在从氧化膜向半导体膜混入不纯物的问题。
理想的是,用PECVD装置淀积半导体膜之后,不破坏真空、继续进行氢等离子体照射,通过氢进行补全反应先使未反应对钝化。然后进一步在不破坏真空的情况下继续向半导体膜照射氧等离子体,使利用氢未能使其补全的未反应对进一步利用氧来补全,同时可以在半导体膜表面形成高纯度的氧化硅膜以便保护半导体膜使之不受外部污染。在该处理方法中,不仅可以同时取得氢等离子体和氧等离子体效果,还可以改善未反应对的补全效果,进而可以减少进入半导体膜中的氧元素量。其结果是,比起单独用氧等离子体进行处理,结晶化后的半导体膜的纯度可以提高,从而可以形成更好的薄膜半导体器件。
正如刚才所述,因为在等离子照射阶段不管是用LPCVD法还是PECVD法即使很细心地注意提高半导体膜的纯度,在半导体膜表面总会存在氧化膜,所以如在结晶化时这些氧进入半导体膜中的话,会使结晶化膜的质量下降。这种情况特别在激光照射的熔融结晶化时更加突出。即使象本发明那样采用衬底保护膜的表面调整和LPCVD法、PECVD法很小心形成的高质量的半导体膜,在结晶化时同样必须十分注意。即,当作为薄膜半导体器件的有源层的半导体膜在用激光照射等的熔融结晶化而形成时,最好在熔融结晶化之前除掉氧化膜。通过这样的处理,在半导体膜熔融时构成氧化膜的氧进入半导体膜中的数量可以减少到最小。如果半导体膜中进入的氧的数量减少的话,不光结晶化膜的结晶性能可以提高、缺陷密度也可以降低,从而明显地改善了晶体管的特性。
结晶化工序之前的除去氧化膜的最容易进行的处理方法是利用氢氟酸水溶液来清除。当然利用NF3等离子体等的气体等离子体进行处理也可以除掉氧化膜。最好是在除去氧化膜之后马上进行半导体膜的结晶化。只要在除去工序结束后2小时之内进行半导体膜的熔融结晶化,进入半导体膜中的氧的数量就会很小。
(2-8、混晶质膜的熔融结晶化)
本发明的薄膜半导体器件对于上栅结构的poly-SiTFT最有效,该薄膜半导体器件在绝缘栅膜形成之后的所有工序都是在低于350℃左右的温度下制造的。因而如果半导体膜形成工序能够在350℃左右以下的温度下进行的话,整个制造工序就都在350℃左右之下了。现在LCD用的普通玻璃基板的厚度是1.1mm,如果能将这一厚度变成0.7mm不仅基板便宜了,基板的重量也轻了,因而对LCD的携带和制造都带来极大的便利。由于玻璃的比重是2.5g/cm3左右,所以例如一块400mm×500mm×1.1mm的玻璃基板的重量约550g。如处理1批100块这样的玻璃基板,其重量就是55kg,对于制造装置和搬运机器人来说都是很大的负荷。不用说如果变成0.7mm厚,重量就减到35kg,负荷就减轻多了。为此要追求玻璃基板的薄板化,但是正是这样的大型薄板在室温下如图4所示由于自重的关系弯曲很厉害,在LPCVD法中不管用什么样的方法都不能形成半导体膜。即,使用这样大型薄板玻璃,半导体膜必须用350℃左右以下的PECVD法来形成。但是,一般用PECVD法成膜的非晶质半导体膜的膜的密度低而且氢的含有量大,因而如果不在其后进行450℃左右的热处理则不能结晶化。
为此,发明者对用PECVD法形成的半导体膜作了种种探讨,弄清了如果用PECVD法使其淀积速度在约0.1nm/s以上来形成混晶质半导体膜、对该混晶质半导体膜施加激光照射,则即使不进行上述的热处理也可以进行熔融结晶化。用拉曼光谱仪等测定该混晶质半导体膜,发现仅仅存在少量的结晶结构,难以说是多结晶。而且密度也和先前的用PECVD法成膜的非晶质硅一样的低,氢原子的含有量不到硅原子的20%左右。这样的膜如何很好地进行熔融结晶化的详细情况虽然不清楚,但可以认为可能是非晶质区比微结晶区容易熔融,浮在熔融硅液中的微结晶起到了抑制硅熔液蒸发和飞散的作用。但是,即使是混晶质半导体膜对于淀积速度在0.1nm/s左右以下形成的膜仍然难以熔融结晶化。可以推想这和在用LPCVD法时用慢的淀积速度形成的膜中容易进入杂质、膜的质量下降是一样的道理,用PECVD法进行结晶化的困难的主要原因也是由于形成的膜中混入了杂质。原因大概是与LPCVD装置的背景真空度是10-7乇数量级相反,PECVD装置的背景真空度是10-4乇数量级,其原因是PECVD法要求高速膜淀积。此外,如果淀积速度在0.37nm/s以上,半导体膜和衬底保护膜的附着性好,几乎观测不到弧坑状孔的发生和膜的剥落现象。可以通过用PECVD法使氢和单硅烷的流量比为30∶1左右来得到混晶质硅,或者还可以使氩等惰性气体和单硅烷等的含有半导体膜的组成元素的化学物质的流量比不到33∶1左右(单硅烷浓度不到3%左右)来得到混晶质硅。根据发明者的实验,氢-单硅烷系的混晶质不经过热处理也能熔融结晶化,但使熔融结晶化顺利进行的激光能量范围限定在数十mJ/cm2。与此相对,氩-单硅烷系的混晶质硅膜在激光能量从100mJ/cm2至350mJ/cm2的宽的能量范围内都能很好地结晶化。因此氩-单硅烷系混晶质硅膜更适合用作为低温处poly-siTFT的半导体膜。当氩和单硅烷的流量比是在从124∶1(单硅烷浓度0.8%)到40-67∶1(单硅烷浓度2.4%)之间时最适于熔融结晶化。
(2-9,PECVD-结晶化膜的最佳膜厚)
这里就本发明的低温处理薄膜半导体器件中用在约350℃以下的淀积温度下的PECVD法使半导体膜成膜后进行结晶化作成的poly-Si TFT的最佳半导体膜厚进行说明。对PECVD法来说也和LPCVD法一样使膜连成一片的膜厚在10nm左右以上。但是,用PECVD法得到的半导体膜的密度是用LPCVD法所得到的膜密度的85%到95%左右。为此对用PECVD法形成的10nm的半导体膜进行结晶化时其膜厚在结晶化之后要减少到9nm左右。因而,PECVD-结晶化膜的最低膜厚为9nm左右。以下与LPCVD-结晶化膜一样,当膜厚在18nm以上时熔融结晶化膜的晶体管特性开始变好。即,对于PECVD-熔融结晶化来说其膜厚在约18nm以下时,薄膜性能的变差占优势,膜厚在18nm左右以上时薄膜性能变差的现象开始减小、而基于工作原理的薄膜效应逐渐与其抗衡。这一状态的持续是膜厚从18nm左右到72nm左右之间,这中间的膜厚的晶体管特性最好。膜厚大于72nm时基于工作原理的薄膜效应占优势。半导体特性随着膜厚的增大而逐渐变差。半导体膜厚如果在30nm以上,可以稳定地生产要求微细加工技术的高集成薄膜半导体器件。即,用RIE能对接触孔进行稳定的开孔操作,不会产生接触不良。在PECVD法中,若淀积后的半导体膜厚在80nm以下,在激光照射等的熔融结晶化时整个膜被均匀加热,可进行很好的结晶化。该膜在结晶化后变成72nm左右。当淀积后的半导体膜的厚度在150nm左右以上时,激光从上部照射时只有上层部分的膜被熔融,下层部分变成非晶质部分,因此,符合基于工作原理的薄膜效应晶体管特性急剧下降。即PECVD-结晶化法的上限膜厚在结晶化后是135nm。
(2-10、MOS界面和绝缘栅以及热环境)
本发明中在半导体膜结晶化完了之后用CVD法或PVD法等形成绝缘栅膜。不论用什么方法形成绝缘栅膜,绝缘栅膜的形成温度最好在350℃以下。这对于防止MOS界面和绝缘栅膜的热性能变差非常重要。同样的情况对此后的所有工序都适用。绝缘栅膜形成后的所有工序的工作温度必须控制在350℃以下。一般用CVD法和PVD法形成的绝缘膜其膜内有大量的未反应对,其结构是不稳定的。本发明中对这样一些未反应对用氧等离子照射使之补全。此外,用CVD法形成的氧化硅膜其膜内具有Si-OH基。这样的氢氧基和由氧等离子体进行补全的反应对对热都是不稳定的,在约350℃以上的热环境下可以简单地分解。即在MOS界面及绝缘栅膜中再次出现Si-O-*和Si-*等的未反应对,这些作为界面能级和绝缘栅膜中的固定电荷使晶体管特性变坏。过去,为了使之恢复要施加1小时左右的氢等离子处理。然而,在本发明中由于半导体膜形成后的整个工序在350℃左右以下,因而不会产生这样的热性能变坏,为此也不需要氢化处理。结果若按照本发明,可以容易而且稳定地制造高性能的薄膜半导体器件。这样的热性能变坏当然也危及衬底保护膜。如(2-1)项中说明的那样,衬底保护膜的热性能变坏与薄膜半导体器件特性的性能变坏相关连。当然这没有绝缘栅膜那样敏感,但也会产生不能忽视的影响。因此,最好的薄膜的半导体器件从理论上讲包括半导体膜淀积工序在内的整个工序都应在350℃左右以下的温度下进行。由此方可同时避免衬底保护膜的热性能变坏和绝缘栅膜的热性能变坏。在350℃左右以下形成半导体膜的工序可以用PECVD法或溅射法进行。
(2-11、本发明使用的VHF-PECVD装置)
首先使用第2图说明本发明使用的VHS-等离子化学汽相淀积装置(VHF-PECVD)的概略构成。PECVD装置是容量结合型的,用144MHz的VHF波电源在平行平板电板间产生等离子体。图2的上图是从反应室附近的上部观察的概略图,图中的A-A’的剖面图是图2的下图。反应室201由反应容器202将外面大气隔绝,成膜中处于大约5毫乇到5乇左右的减压状态。在反应容器202内部相互平行设置下部平板电极203和上部平板电极204,这两块电极形成平行平板电极。该平行平板电极之间成为反应室201。本发明中使用410mm×510mm的平行平板电极,因电极间的距离从10mm到50mm可变,所以反应室201的容积与电极间的距离相对应地从2091cm3到10455cm3。通过使下部平板电极203的位置上下变动,平行平板电极间的距离如上所述可以在10mm到50mm之间自由设定。而且当设定指定的电极间距离时在410mm×510mm的平板电极面内的电极间距离的偏差仅仅是0.5mm。因此电极间产生的电场强度的偏差在平板电极面内是5%以下,从而产生出十分均匀的等离子体反应室201。在下部平板电极203上放置要淀积薄膜的基板205,基板边缘部2mm由遮蔽架206压住。为了容易看清PECVD装置的概貌起见,图2的上图省略了遮蔽架206。在下部平板电极203的内部设置加热器207,下部平板电极的温度从25℃到400℃可以任意调整。除周围5mm之外,下部平板电极203内的温度分布相对于设定温度来说在±1.0℃以内,事实上即使基板205的大小是400mm×500mm,基板内温度偏差可以保持在2.0℃以内。当例如使用普通玻璃基板(例如科宁日本有限公司制造的#7059和日本电气玻璃有限公司制造的OA-2、NH技术有限公司制造的NA35等)作为基板205时,遮蔽架206压住基板以便在防止基板因加热器207的加热而出现凹型变形的同时,还可以不使基板的边缘部和背面形成不需要的薄膜。由原料气体和必要时追加的气体组成的反应气体通过导管208导入上部平板电极204,进而通过设置在上部平板电极内的气体扩散板209之间从上部平板电极的整个面上以大致均匀的压力流到反应室201中,如在成膜过程中的话,反应气体的一部分从上部平板电极出来时被电离、在平行平板电极间产生等离子体。反应气体的一部分乃至全部都参与成膜,未参与成膜而残留的反应气体以及作为成膜的化学反应的结果产生的生成气体变成排出气体后,经过设置在反应容器周围上部的排气孔210排出。排气孔210的传导力与平行平板电极间的传导力相比要足够大,其值最好是平行平板电极间的传导力的100倍以上。进而平行平板电极间的传导力与气体扩散板209的传导力相比要足够大,其值最好也是气体扩散板的传导力的100倍以上。通过这种构成方式,反应气体从410mm×510mm的大型上部平板电极的整个面上以大致均匀的压力导入反应室,同时排出气体从反应室以相同的流量从所有的方向排出。各种反应气体的流量在导入导管208之前由质量流控制器调整到指定的值。此外,反应室内的压力通过设置在排气孔出口的传导阀211调整到希望的值。在传导阀211的排气一侧设置涡轮式分子泵等的真空排气装置。本发明中作为真空排气装置的一部分使用无油的磁悬浮式涡轮分子泵,反应室等的反应容器内的背景真空度为10-7乇左右。图2中用箭头示出了气体流向的大概情况。反应容器202和下部平板电极203处于接地电位。它们与上部平板电极204通过绝缘环212保持电绝缘状态。等离子体产生时从VHF波振荡源213发出的例如144MHz的VHF波经放大器214放大后,经过匹配电路215加到上部平板电极204上。
本发明使用的PECVD装置如上所述通过实现精巧的电极间控制和均匀的气体流从而成为可以处理400mm×500mm的大型基板的薄膜形成装置。但是只要遵循这些基本概念,对于更大型的基板也容易处理。实际上可以实现处理550mm×650mm的更大型的基板的装置。此外,在本发明中使用了比较普遍的高频144MHz的VHF波,当然也可以利用其它频率的VHF波。例如100MHz~1GHz左右的VHF波都可以利用。另一方面频率从10MHz左右的高频波到几百MHz左右的VHF波也可以在平行平板电极间产生等离子体,所以也可使用工业用高频频率(13.56MHz)的整数倍频率27.12MHz、40.68MHz、54.24MHz、67.8MHz。即,通过更换本发明使用的PECVD装置的VHF波振荡源213、放大器214以及匹配电路215可以容易使用所希望的频率的电磁波来产生等离子体。一般在电磁波等离子体中,频率升高的话,等离子体中的电子温度便上升,故容易形成原子团,因此如下面所述那样即使基板表面温度低到340℃左右,在淀积之后已变成多结晶状态,即使不施加特别的结晶化工序也容易制造出poly-SiTFT。
(2-12使用VHF-PECVD法或微波PECVD法的半导体膜的形成及此时所用的气体)
本发明的一个特征在于,使用VHF-PECVD法或微波PECVD法使刚淀积后的膜(AS-deposited膜)成为多结晶状态。通常用PECVD法使AS-淀积膜成为多结晶是非常困难的。这是由于基板温度低至到400℃左右,甲硅烷等原料物质的生长膜表面的迁移率减小,原料物质失去非晶质状态向多结晶状态过渡的选择性。本发明通过利用惰性气体元素稀释原料物质的方法和采用VHF等离子体或微波等离子体来提高电子温度的方法使PECVD法中的这一缺点消除。在AS-淀积状态下使多结晶膜成膜时,不作成原料物质的原子团或离子而作成氦(He)、氖(Ne)、氩(Ar)等惰性气体元素的原子团或离子,因此有必要将能量传送到基板表面上。原料物质的原子团或离子引起气相反应、或者原料物质在到达基板表面的瞬间进行反应,因此产生选择性的丧失,阻碍了多结晶的成长。为此应尽量避免在等离子体中生成这样原子团或离子。原料物质在非活性状态下被送到成长膜表面,在那里被吸附后,当由惰性气体供给反应用的能量时便在AS-淀积状态下形成多结晶膜。因此要求稀释原料气体,进而有必要选择促进原料物质在基板上反应的气体作为稀释物质。惰性气体不用说是由原子单体组成,因此离子化势能的谱线非常单纯。例如氦的1价离子化势能是24.587ev,2价离子化势能是54.416ev。此外,氖的1价离子化势能是21.564ev,2价离子化势能是40.962ev,氩的1价离子化势能是15.759ev,2价离子化势能是27.629ev,3价离子化势能是40.74ev。因而,当在氦中稀释少量的原料物质来形成等离子体时,几乎全部的离子化氦都是24.587的1价离子,当在氖中稀释少量的原料物质形成等离子体时也是以21.564ev的1价氖离子为主进行离子化。氩是受1价离子和2价离子两者的支配,但由于离子化能级比较低,所以即使不用多量的氩来进行稀释也能有效地生成氩的原子团或离子。与此相反,过去作为稀释气体广泛使用的氢,其氢分子的离子化势能是以15ev到18ev之间、存在着十几个不同的离子化势能。因此,氦等惰性气体元素成为具有1个或2个能级的等离子体状态(若以光为例是激光),与此相反,氢等分子气体成为多个能级混合的等离子体状态(若以光为例是白色光)。正如激光比白色光更有效地传送能量一样。用惰性气体稀释原料气体更有效地将能量传送到基板表面。半导体膜淀积时的稀释物质除了所说的氦、氖、氩惰性气体元素之外,当然也可以是氪。(Kr)和氙(Xe)。另一方面由于VHF等离子体和微波等离子体在等离子体内的平均电子温度高,用比较低的输出功率便可高效率地生成原子团。换言之,由于不需要高的输出功率,因而高能离子产生得也少,为此可以将由此引起的对膜的损伤抑制到最小限度。进而,因原子团的生成效率高,成膜速度也快。将过去广泛利用的13.56MHz的高频等离子用于本发明,成膜速度在数/min以下,特别慢,完全不实用,此外,成膜速度太慢,膜的质量也下降。即,只有利用VHF等离子体或微波等离子体才能实现本发明。在这种意义上,用2.45GHz的整数倍的更高频率的微波PECVD法特别容易实现本发明。在这样的系统中,成膜条件的自由度也比VHF-PECVD大,更容易淀积出优质结晶性半导体膜。
(2-13、VHF-PECVD法和微波PECVD法中AS-淀积膜的最佳膜厚)
当使利用VHF-PECVD法或微波PECVD法淀积后的膜(AS-淀积膜)变成多结晶状态时,膜厚在0到500左右的膜的性质与通常的结晶膜相比非常差。小小的结晶粒象小岛星星点点地分布在非晶质的海中,结晶化程度也很低,而且缺陷也非常多。当膜厚在500到1000的范围内时结晶粒相对于非晶质的比例就增大了,膜厚为1000到1500左右时半导体表面全部由结晶粒覆盖,表面的非晶质成分几乎消失。从1500到2000左右,结晶粒的尺寸随膜厚慢慢增大,到2000以上时膜以大致相同的形状成长。与这样的膜的性质随膜厚的变化相对应,晶体管特性与膜厚的依赖关系也在变化。由于2000以上膜的性质几乎不变化(因为几乎没有薄膜性能变差现象),基于工作原理的薄膜效应起作用,膜越薄晶体管特性愈好。膜厚从2000到1500,薄膜性能变差现象虽然开始起作用,但依然是基于工作原理的薄膜效应占支配地位,只是比2000以上要缓和一些,仍然是膜愈薄晶体管特性愈好。膜厚从1500到2000左右之间时薄膜性能变差现象与基于工作原理的薄膜效应相抗衡,导通状态下的晶体管特性达到最大值。膜厚不到200时,薄膜性能变差现象比基于工作原理的薄膜效应占优势,膜愈薄晶体管特性愈坏。即,在本发明中晶体管特性在半导膜厚是200到1500之间时最好,理想的情况是在400到1300之间。到此为止已就晶体管导通状态的特性进行了叙述,但截止状态时的漏电流也随膜厚而变化。薄膜半导体器件的截止-漏洩的原理还不十分清楚。在本发明中虽然原理不明白,但膜厚在1000以上时膜厚和截止漏电流有很强的正的相关性,膜愈厚漏电流愈大。膜厚在1000以下时相关性减弱,截止漏电流相对膜厚是无关的。即,膜厚从0到1000之间时截止漏电流达到最小值并大致固定不变。因此导通状态的晶体管特性最好,截止漏电流最小的膜厚在2001000之间,理想的情况是在400至1000之间。当将本发明的薄膜半导体器件用于LCD时,希望考虑光照射对截止漏电流的影响。薄膜半导体器件因光的照射截止漏电流增大。将此称为光漏电流,使光漏电流足够小是优质薄膜半导体器件的必要条件。本发明的薄膜半导体器件的光漏电流与膜厚成比例。从兼顾稳定的制造和光漏电流这两者的角度出发,希望半导体膜厚在100到800左右。当将薄膜半导体器件用于LCD的象素开关元件时,截止漏电流和光漏电流变得很重要,此时希望半导体膜厚在100至700的范围内。当有必要重点考虑导通电流时,200左右至800左右是最佳膜厚,满足所有条件的膜厚范围是400至800,理想的情况是600至800。此外,象本发明那样在350℃以下的低温下进行源-漏区注入离子的激活通常是相当困难的。因此为了稳定地进行激活必须设定半导体膜厚的下限。本发明中该值最好是300以上。此外,采用LDD结构时最好是在500以上。
(2-14、VHF-PECVD法和微波PECVD法的半导体膜的结晶化)
如(2-12)项中详述的那样利用VHF-PECVD法在AS-淀积的状态下容易得到多结晶膜,但这样愈是结晶化了的膜其膜的性质愈不好。另一方面,用普通PECVD法得到的膜只要不施加氢提取工序或致密化的热处理就难以结晶化。对此用RTA法或VST-SPC法使VHF-PECVD法和微波PECVD法的半导体膜结晶化,或者用激光照射等使之熔融结晶化,这是很容易实现的。这是因为在AS-淀积状态下大部分区域已经结晶化了,残留的非晶质成份很少,所以通过供给比较低的能量就能进行残留非晶质的结晶化。此外,因为用高的能量进行熔融结晶化时,多结晶成分起到防止半导体原子的蒸发和飞散的作用,所以可以在没有半导体膜的损伤、表面粗糙以及缺失的情况下进行结晶化。结果,用VHF-PECVD法和微波PECVD法得到的膜,与其作为AS-淀积状态下的薄膜半导体器件的有源部分,还不如说更适合于作为利用熔融结晶化制造工作温度最高在350℃以下的低温poly-Si TFT时的最好的半导体膜。即,在绝缘物质上用VHF-PECVD法或微波PECVD法形成半导体膜,接着用RTA法或VST-SPC法的固相结晶化法、或激光照射等的熔融结晶化等方法使该膜结晶化,此后的工序都定在350℃左右以下,由此便可容易地制造出高性能的薄膜半导体器件。
用VHF-PECVD法或微波PECVD法淀积的膜比用先前的用PECVD法淀积的膜其质量更接近用LPCVD法淀积的膜。因此,结晶化后制成薄膜半导体器件时所得到的晶体管特性与半导体膜厚的关系也与LPCVD法的薄膜半导体器件具有的关系相同。只是与LPCVD的半导体膜在结晶化前后几乎不产生膜的减少不同,用VHF-PECVD法和微波PECVD法结晶化后膜都会有所减少。为此,在将这些膜进行结晶化制成薄膜半导体器件时,如果结晶化后的半导体的膜厚与LPCVD法-结晶化膜的膜厚相同,则完全可适用于(2-6)项的要求。
根据以上所述的本发明,在低于450℃左右、进而低于430℃的低温下容易形成由多结晶硅膜等组成的高质量的半导体膜,所以使薄膜半导体器件的性能迅速提高,而且实现了稳定的大批量生产。具体地说具有如下所述的效果。
效果1)、由于工作温度是低于450℃左右的低温,因此能使用便宜的玻璃,可以降低产品价格。加之由于能够防止因玻璃自身的重量而产生的变形,所以能够容易地使液晶显示装置大型化。
效果2)、由于工作温度是低于350左右的低温,所以不会产生衬底保护膜和绝缘栅膜的热性能变差,能够容易地制造出高性能的可靠性好的薄膜半导体器件。
效果3)、能使激光照射在整个基板上均匀地进行。其结果是每批产品的均匀性得到改善,可以实现稳定的生产。
效果4)、用离子掺杂法以及在持续的300℃~350℃左右的低温下使对于栅极来说源漏板是自对准的时,自对准TFT的激活明显地变得容易了。其结果是能稳定地进行激活。再者,能容易地和稳定地制成轻掺杂漏极(LDD)的TFT。由于用低温处理poly-Si TFT实现了LDD TFT,所以TFT元件微型化和减小截止漏电流就有可能实现。
效果5)、在先前的低温处理poly-Si TFT中,只有用ECR-PECVD法作成的SiO2才显示良好的晶体管特性,但根据本发明可以利用更普通的PECVD装置。因此能够获得可以适用于大型基板、批量生产能力强和实用的栅极氧化膜制造装置。
效果6)、能够得到与过去相比导通电流大、截止电流小的性能更良好的薄膜半导体器件。而且,特性的离散度也降低了。
效果7)、当使用廉价的普通玻璃作基板时,可制成有效地防止基板向半导体膜混入杂质的衬底保护膜同时又是显示最佳电特性的薄膜半导体器件的衬底保护膜。进而可以避免薄膜半导体器件的电特性因衬底保护膜的应力而变差、或者在薄膜半导体器件中产生裂缝(crack)的现象。
效果8)、当用等离子体化学汽相淀积法(PECVD法)形成半导体膜时,可以防止组成氟(F)和碳(C)等清洗气体的元素混入半导体膜中。其结果是,可以始终使基板间杂质混入量最少,从而能够稳定地制造优质的薄膜半导体器件。
效果9)、即使在用低压化学汽相淀积法(LPCVD法)以450℃不到的低温淀积半导体膜时也能兼顾基板内和基板间的均匀性以及淀积速度。从而可以适应基板的大型化,可以批量生产LCD。
效果10)、薄膜半导体器件的电特性的不一致除了是因为基板内的不一致之外,还因为同一批内基板之间的不一致以及批与批之间的不一致这样三种类型的离散引起的,本发明对这种离散都能控制。特别是用PECVD法能使批与批之间的不一致性得到明显的改善。
效果11)、即使用PECVD法使半导体膜成膜,也能使半导体膜和衬底保护膜具有很好的着附性。即,可以避免在半导体膜上出现许多弧坑状的孔以及膜剥落的现象发生。
效果12)、特别是即使不进行附加的结晶化工序,也可用约350℃以下的低温工序稳定地在大面积基板上制造poly-Si(多晶硅)TFT。
附图说明
图1(a)~(d)是示出本发明的一个实施例的薄膜半导体器件的各个制造工序中元件的剖面图。图2是示出本发明使用的PECVD装置的图。图3是示出本发明的LPCVD装置的成膜室及其内部的图。图4是说明因热环境使基板弯曲的图。图5是说明本发明的效果的图。
具体实施方式
参照附图的图面对本发明进行更详细的说明。
(实施例1)
图1(a)~(d)是用剖面示出形成MIS型场效应晶体管的薄膜半导体器件的制造工序的图。
在本实施例1中,使用235mm见方的无碱玻璃(日本电气玻璃公司OA-2)作为基板101,但是,只要是能耐最高工作温度的基板即可,至于基板的种类以及大小当然是可以不管的。首先用常压化学汽相淀积法(APCVD法)、PECVD法或溅射法等在基板101上形成二氧化硅膜(SiO2膜)102作为衬底保护膜。在APCVD法中基板温度为250℃到450℃左右,以单硅烷(SiH4)和氧作原料可以淀积二氧化硅膜。在PECVD法和溅射法中基板温度可以是从室温到400℃。在本实施例中,用APCVD法以SiH4和O2作为原料气体在300℃的温度下淀积厚度为2000的SiO2膜。
其后淀积厚500左右的纯硅膜作为薄膜半导体器件的有源层。纯硅膜是在高真空型LPCVD装置中使原料气体乙硅烷(Si2H6)以200sccm的流量流动在425℃的淀积温度下淀积58分钟而形成的。本实施例1使用的高真空型LPCVD装置具有184.51公升的容积。17块基板表面朝下插入保持在250℃的反应室内。基板插入后,涡轮分子泵开始运转,达到正常运转后每隔2分钟进行漏洩检查。这时的逸出气体的漏洩速度是3.1×10-5乇/min。其后,从插入时温度的250℃到作为淀积温度的425℃进行了1小时的升温。升温的最初10分钟反应室完全不导入气体,在真空中升温。升温开始10分钟后反应室到达最低背景压力,是5.2×10-7乇。在剩下的50分钟升温期间,持续流过300sccm流量的99.9999%以上纯度的氮气。这时反应室内平衡压力是3.0×10-3乇。到达淀积温度之后,流过2.0sccm的原料气体Si2H6和纯度在99.9999%以上1000sccm的稀释用氦(He)气淀积58分钟的硅膜。Si2H6等气体导入反应室之后的压力是767毫乇,导入这些原料气体57分钟之后的压力是951毫乇。这样得到的硅膜的膜厚为501,在除基板边缘部分7mm之外的221mm见方的正方形区域内膜厚变动不到±5。本实施例1以这种方式用LPCVD法形成了硅膜,但形成方法不限于此,也可以用PECVD法或溅射法。在PECVD法和溅射法中,硅膜形成温度可以是从室温至350℃左右。
这样得到的硅膜是高纯度的α-Si膜。接着对该α-Si膜短时间照射光能或电磁波能使之结晶化从而变成多结晶硅(poly-Si)。在本实施例中照射了氯化氙的准分子激光器激光(波长308nm)。激光脉冲强度的半值宽度是45ns。因为照射时间非常短,所以α-Si向poly-Si结晶化时基板不受热从而不会产生基板变形。激光照射是基板在室温(25℃)下在空气中完成的。激光照射一次照射面积是8mm见方的正方形。每照射一次隔开4mm。开始沿水平方向(Y方向)照射一遍之后接着在垂直方向(X方向)上也隔4mm,再次在水平方向上每隔4mm照一遍,此后反复扫描对整个基板进行第1次激光照射。该第1次激光照射的能量密度是160mJ/cm2。第1次激光照射结束之后,对整个基板表面进行能量密度为275mJ/cm2的第2次照射。扫描方式和第1次激光照射相同,在Y方向和X方向每隔4mm扫描8mm见方的照射区。通过这两个阶段的激光照射使整个基板从α-Si向poly-Si均匀地结晶化。本实施例1中作为光能或电磁波能量使用了XeCl准分子激光器激光,如果能量照射的时间在数十秒钟之内,则并不一定限定为这种能源。例如也可以用ArF准分子激光器激光、XeF准分子激光器激光、KrF准分子激光器激光、YAG激光、二氧化碳气体激光器、Ar激光器和色素激光等各种激光、或者弧光灯和钨灯等灯光去照射。用弧光灯照射时,灯的输出功率定在1kw/cm2左右以上,照射时间定在45秒钟左右,从而使膜质从α-Si向poly-Si改变。在这一结晶化时能量照射时间也很短,所以不会发生因热而使基板变形或裂开。接着将硅膜作成图形,从而作为晶体管有源层制成了沟道部分的半导体膜103。(图1(a))
其后用ECR-PECVD法或PECVD法等形成绝缘栅膜104。本实施例1使用SiO2膜作绝缘栅膜,用PECVD法淀积成1200的膜厚。将(第1图(b))的基板放置在PECVD装置之前,使基板在1.67%的氢氟酸水溶液中浸20秒钟,除掉半导体膜表面上的自然氧化膜。从除去氧化膜到将基板放入PECVD装置的装料闭锁室的时间约15分钟左右。从MOS界面清洗化的观点出发希望这一时间尽量短,最好最长不超过30分钟左右。在PECVD法中使用单硅烷(SiH4)和笑气(N2O)作为原料气体在基板温度300℃下形成。等离子体由13.56MHz的高频波在输出功率900W、真空度1.50乇的条件下产生。SiH4的流量为250sccm、N2O的流量是7000sccm。SiO2膜的成膜速度是48.3/S。在使SiO2在这样的条件下成膜之前和成膜之后对硅膜和形成的氧化膜进行氧等离子体照射从而改善了MOS界面和氧化膜。本实施例中使用单硅烷和笑气作为原料气体,但不限于此,也可以使用TEOS(Si-(O-CH2-CH3)4)等的有机硅烷和氧等的氧化性气体。在此,利用了通用性很强的PECVD装置,当然用ECR-PECVD装置来形成绝缘膜也可以。无论使用什么样的装置和原料气体,绝缘膜形成温度最好在350℃以下。这对于防止MOS界面和绝缘膜的热性能变差是重要的。同样的要求对以下所有的工序都适用。绝缘栅膜形成后的所有的操作的温度都必须在350℃以下。通过这样去做就能容易地而且稳定地制造出高性能的薄膜半导体器件。
接下来,用溅射法、蒸发法或CVD法等淀积栅板105的薄膜。本实施例1中,选择钽(Ta)作为栅极材料、用溅射法淀积了5000的薄膜。溅射时基板的温度为180℃,使用含6.7%的氮(N2)的氩(Ar)作为溅射气体。氩中氮的含量从5.0%到8.5%最合适。在这样的条件下得到的钽膜的结晶结构主要是α结构,其电阻率为40μΩcm。因而本实施例1中的栅极的薄层电阻为0.8Ω/□。
淀积栅极薄膜之后进行图形成形,接着使用斗式质量非分离型的离子注入装置(离子掺杂法)向纯硅膜注入磷元素等杂质离子106,形成源漏区107和沟道区108。(图1(c))在本实施例1中,因为目标是作成NMOSTFT,所以使用由氢稀释过的浓度为5%的磷化氢(PH3)作为原料气体,以高频输出38W的功率和80kv的电压使之成为5×1015/cm2的浓度。高频输出功率可以使用20W到150W左右的值。当作成PMOS时,使用由氢稀释过的浓度为5%的乙硼烷(B2H6)作为原料气体,高频输出功率从20W到150W,以60kv的加速电压使之成为5×1015/cm2的浓度。当作成CMOS TFT时,使用聚酰亚胺树脂等的适当的掩模材料以交互遮蔽方式覆盖NMOS或PMOS。用上述方法分别进行离子注入。
其次淀积5000的层间绝缘膜109。本实施例1中,用PECVD法形成SiO2作为层间绝缘膜。在PECVD法中,使用TEOS(Si-(O-CH2-CH3)4)和氧(O2)作为原料气体在基板温度300℃下形成。等离子体由13.56MHz的高频波在输出功率800W、真空度8.0乇的条件下建立。TEOS的流量为200sccm、氧的流量为8000sccm。这时SiO2膜的成膜速度是120/S。在以这种方式进行离子注入和形成层间绝缘膜之后,在300℃的氧气中进行1小时的热处理从而进行注入离子的激活和层间绝缘膜的烧结。该热处理温度最好是300℃到350℃。此后,开接触孔,用溅射法形成源漏引出电极110,从而完成薄膜半导体器件。(图1(d))。作为源漏引出电极使用铟锡氧化物(ITO)或铝(Al)。这些导电体溅射时的基板温度从100℃到250℃左右。
对这样试制的薄膜半导体器件的晶体管特性进行了测试,源漏电压Vds=4v,栅电压Vgs=10v,定义晶体管导通时的源漏电流Ids为导通电流Ion,在95%的可信系数下,Ion=(23.3+1.73、-1.51)×10-6A。Vds=4V、Vgs=0V,晶体管截止时截止电流Ioff=(1.16+0.38、-0.29)×10-1.2A。这里,测试是当温度在25℃下,对沟道部长度L=10μm、宽度W=10μm的晶体管进行的。
从饱和电流区求出的有效电子迁移率(J.Levinson等,J.Appl,phys.53,1193’82)为μ=50.92±3.26cm2/v.sec.另一方面,在先前技术的低温处理poly-SiTFT中,Ton=(18.7+2.24、-2.09)×10-6A,Ioff=(4.85+3.88、-3.27)×10-12A。这样一来,按照本发明,通过最高工作温度为425℃以下、而且保持最高工作温度的时间为几小时之内的低温工序首先实现了离散度小、均一的优质薄膜半导体器件,该薄膜半导体器件具有高迁移率,相对于栅压10v的调制来说Ids变化7位以上。如前所述,不管在基板间还是批与批之间激光结晶化的均匀性都是重要的问题。然而,如果按照本发明,则可以大幅度降低导通电流和截止电流的离散度。特别是截止电流的均匀性与先前技术相比得到了显著的改善,在将本发明的薄膜半导体器件用于LCD的情况下,可以在LCD的整个画面上得到高质量的均匀的图象。而且该均匀性的改善意味着原始硅膜相对于激光源的变动很稳定,即本发明对于批与批之间不一致也能明显地改善。这样一来,按照本发明可以极稳定地实现利用了激光照射等的能量照射的硅的结晶化。根据发明者的实验,当在不到450℃的低温下且硅膜的淀积速度在2/min左右之上形成原始硅膜时相对于激光的变动很稳定,而且明白了可以作成即使将不用ECR-PECVD装置形成的SiO2膜作为栅极绝缘膜使用时也可制成具有良好的晶体管特性的薄膜半导体器件。进而,这样得到的poly-Si膜即使对于象后面所述那样用离子掺杂法作成轻掺杂漏极(LDD)结构也很稳定而且容易激活。其间接原因是,在这样的条件下形成的α-Si膜具有不会微细结晶的完全非晶质结构,而且构成α-Si膜的各要素是由大的块形成的。由于α-Si膜不合有微细结晶,所以伴随能量照射的结晶化在照射区内是均匀地进行的。同时由于α-Si膜是由大的块构成的,所以结晶化时各晶粒的尺寸大从而可以得到高性能的电特性。即,通过使原始α-Si膜的成膜条件最佳化可以得到理想的α-Si膜,通过使其结晶化可以得到均匀的高质量的poly-Si膜。如果按照先前技术,由于用LPCVD法的淀积温度是550℃左右,即使是PECVD法基板温度也在400℃左右、而且对原始α-Si膜的质量没有给予充分的考虑,所以就产生了前面所述的问题。本发明的另一个目的是要将poly-Si膜形成后的工序温度降到350℃以下。因为据此可以使MOS界面和绝缘膜质稳定化。在这个意义上说本发明对图1所示的上栅型TFT特别有效。对于下栅型的TFT的情况,由于在绝缘栅膜形成后淀积硅膜,再后进行激光照射等的结晶化,所以MOS界面和绝缘栅膜的一部分必然要暴露在将近1000℃的高温热环境下哪怕是很短的时间。这种热环境使MOS界面变粗糙,进而改变MOS界面附近的绝缘膜的化学组成和结合状态。这样一来的结果,晶体管特性变差、离散性增大。
(实施例2)
本发明的另外的实施例仍然用图1的(a)~(d)进行说明。
在本实施例2中,作为基板101使用了300mm×300mm的无碱玻璃(日本电气玻璃公司OA-2)和300mm×300mm的结晶化玻璃((株)オハラTRC-5)OA-2的变形温度是650℃左右,TRC-5因为是结晶化玻璃所以无法定义变形温度点,但如果是低于700℃左右的温度完全发现不了变形和弯曲,所以可以说实质上的变形温度点在700℃以上。首先用PECVD法在基板101上形成衬底保护膜的氧化硅膜102。氧化硅膜的形成条件与实施例1的绝缘栅膜的形成条件相同。氧化硅膜的膜厚是300nm,其表面粗细度按中心线平均粗细度是0.98nm。与实施例1的绝缘栅膜一样,氧化膜形成之前和其后分别照射15秒钟的氧等离子体。
其后淀积作为薄膜半导体器件的有源层的500左右的纯硅膜。纯硅膜和实施例1一样是用(2-3)项中已说明了的高真空型LPCVD装置在流过400sccm的原料气体乙硅烷(Si2H6)、淀积温度425℃、压力320毫乇的条件下淀积起来的。淀积速度是1.30nm/min。将35块OA-2基板和35块TRC-5基板放置在保持250℃的成膜室内,使其分别各一块的二块为一组、TRC-5基板在下侧(TRC-5基板的表面朝下)、OA-2基板在上侧(OA-2基板的表面朝上),背靠背地组合在一起,基板间隔为10mm。在成膜室内半导体膜成膜部分的面积是88262cm2,单位面积乙硅烷的流量是4.53×10-3sccm/cm2。从基板放置后的插入温度250℃到淀积温度425℃升温1小时,在425℃下取得热平衡状态后淀积40分钟的硅膜。由LPCVD装置的压力调整器使成膜中的压力保持在320毫乇。这样淀积的硅膜的膜厚是52.4nm。
其次,对该α-Si膜短时间照射光能或电磁波能,使α-Si熔融结晶化变成多结晶硅(poly-Si)。本实施例2中也照射了氯化氙的准分子激光器激光(波长308nm)。在激光照射之前使基板浸入1.67%的氢氟酸水溶液中20秒钟,除去半导体膜表面的自然氧化膜。从氧化膜除去之后到激光照射之前的时间大约是20分钟。半导体膜结晶化完了之后,下面的工序与实施例1完全相同,制造了低温处理的poly-Si TFT。
对这样试制出来的薄膜半导体器件的晶体管特性进行了测试,导通电流在95%的可信系数下Ion=(41.9+2.60、-2.25)×10-6A。而截止电流Ioff=(6.44+2.11、-1.16)×10-13A。这里的测试条件与实施例1相同。有效电子迁移率μ=90.13±4.61cm2/V、sec,以简单的工序稳定地制造出了特别优良的薄膜半导体器件。
(实施例3)
用实施例1中详述过的方法形成poly-Si膜之后,不使该poly-Si膜形成图形而是淀积与实施例1中详述过的绝缘栅膜相当的SiO2膜,进一步再用实施例1中详述过的离子掺杂法在poly-Si膜上注入pH3等杂质离子。poly-Si膜和SiO2膜的成膜条件和实施例1完全一样。杂质离子注入条件除了注入量是3×1013cm-2之外其他也与实施例1一样。本实施例3与实施例1中说明过的在TFT中作成LDD区域这一点相当。在注入磷离子后仍然和实施例1一样在300℃的温度下进行1小时的热处理。其后将绝缘膜剥离,测定了含磷离子的n型poly-Si膜的薄层电阻,结果为除了基板边缘部分7mm之外,在221mm见方的正方形区域内的薄层电阻值在95%的可信系数下是(14±2.6)KΩ/口。过去,如SSD’M93(Solid State Devices and Materials 1993)P.437中记载的那样,如果不附加氢注入等的特殊工序,就不能进行激活。而且这时的薄层电阻高达50KΩ以上,离散度也在10KΩ以上。与此相对照,在本发明中用离子掺杂法可以简单地作成低电阻的LDD区域,其离散度也可以做到只有先前技术的四分之一以下。
(实施例4)
在本实施例4中,由使用了13.56MHz的高频波的PECVD法对衬底保护膜和半导体膜连续成膜,其后进行结晶化从而作成薄膜半导体器件。
基板101使用了360mm×465mm×0.7mm的无碱玻璃。在将玻璃基板放置到PECVD装置之前从成膜室除去该基板的第1块之前成膜的薄膜。即,进行15秒钟的成膜室的清洗。清洗条件是高频输出功率1600W(0.8w/cm2)、电极间距离40mm、NF3流量3200sccm、氩流量800sccm、压力1.0乇。接着进行15秒钟的抽真空后在成膜室内淀积15秒钟的氮化硅膜作为钝化膜。淀积条件是,高频输出功率300w(0.15w/cm2)、电极间距离40mm、压力1.2乇、氮流量3500sccm、氨流量500sccm、单硅烷流量100sccm。抽真空15秒钟后将基板放入成膜室内。在装料室内把准备好的基板放入到成膜室为止的时间大约10秒钟。接下来的衬底保护膜淀积之前的稳定化时间设定为30秒钟。在稳定化时间内的所有处理参数除了没有建立等离子体之外都与衬底保护膜的淀积条件相同。从形成衬底保护膜到形成半导体膜,下部平板电极的温度是360℃、基板表面温度是340℃左右。稳定化时间结束后淀积衬底保护膜。衬底保护膜由氮化硅膜和氧化硅膜叠合形成。首先在高频输出功率800W、电极间距离25mm、压力1.2乇、氮化硅流量3500sccm、氨流量500sccm、单硅烷流量100sccm的条件下淀积30秒钟的氮化硅膜,接着在高频输出功率900W、电极间距离25mm、压力1.5乇、单硅烷流量250sccm、N2O流量7000sccm的条件下淀积30秒钟的氧化硅膜。氮化硅膜和氧化硅膜的膜厚分别大约是150nm,合计300nm左右的衬底保护膜便形成了。氧化膜形成时连续照射20秒钟的氧等离子体。氧等离子体的照射条件是,高频波输出功率900W(0.45W/cm2)、电极间距离12mm、压力0.65乇、氧流量3000sccm。抽真空15秒钟之后,照射20秒钟的氢等离子体。氢等离子体的照射条件是,高频输出功率100W(0.05W/cm2)、电极间距离25mm、压力0.5乇、氢流量1400sccm。在氢等离子体中连续淀积60秒钟的半导体膜。淀积条件是高频输出功率600W(0.3W/cm2)、电极间距离35mm、压力1.5乇、氩流量14SLM、单硅烷流量200sccm。由此淀积了大约50nm的非晶质硅膜。半导体膜淀积后进行15秒钟抽真空,照射20秒钟的氢等离子体。该氢等离子体的条件和半导体膜淀积之前的氢等离子条件相同。接着在抽真空15秒钟之后,照射氧等离子体20秒钟。氧等离子体的照射条件是,除了电极间距离是45mm之外和衬底保护膜后的氧等离子体照射条件一样。最后,进行15秒钟抽真空之后,在大约10秒钟之内将基板从成膜室中取出。按照这一工序,生产节拍时间为6分10秒,可以使衬底保护膜和半导体膜连续成膜。此后的工序与实施例2完全相同,由此作成了薄膜半导体器件。
对这样试制的薄膜半导体器件的晶体管特性进行了测试,95%可信系数下的导通电流Ion=(19.6+1.54、-1.49)×10-6A,截止电流Ioff=(7.23+2.76、-2.72)×10-13A。有效电子迁移率μ=36.83±2.35cm2/v.sec。测试条件以实施例1为准。
(实施例5)
下面,详细叙述使用(2-11)项中已说明过的PECVD装置、但不必进行激光照射等的结晶化的结晶性半导体膜在350℃左右以下的低温淀积方法以及使用该方法的薄膜半导体器件的制造方法及其特征。基板用(2-1)项叙述过的方法准备。半导体膜和原料气体完全可以使用(2-2)项已叙述过的,但这里作为一个例子以硅膜作为半导体膜、作为原料气体则使用单硅烷(SiH4)。
在本实施例5中,作为基板101使用360mm×465mm×1.1mm的元碱玻璃(日本电气玻璃社OA-2)、衬底保护膜是用APCVD法、以SiH4作原料气体淀积2000A的SiO2膜而形成的。基板温度300℃。
接下来淀积了750的纯硅膜作为薄膜半导体器件的有源层。纯硅膜是用前项(2-11)记述过的VHF-PECVD法、原料气体单硅烷(SiH4)流量为50sccm、作为追加气体的一种惰性气体氩(Ar)的流量是4800sccm淀积而成的。成膜条件是,VHF波输出功率715W、反应室内压力0.8乇、平行平板电极间距离35.0mm、下部平板电极温度400℃、基板表面温度340℃。这样得到的半导体膜是高纯度的硅膜,淀积之后的状态(As-淀积状态)成为多结晶状态。用多波长分散型椭圆对称光谱仪测定了结晶化率,显示出78%的结晶化率的值。通常,如果用椭圆对称光谱仪测得的结晶化率在30%以下,则认为是非晶质状态(amorphons状态),若是在70%以上,则认为是多结晶状态(poly-Crystalline状态),若在30%-70%之间,则认为是混晶状态(mixed状态)。所以,所得到的膜在As-淀积状态下明显地是多结晶状态。实际上用拉曼光谱分析法也显示结晶状态,在520cm-1附近的波数区检测到尖锐的拉曼偏移,进一步用X线衍射法也确认在{220}方向上有比较强的取向。
其次使硅膜形成图形,制成作为晶体管有源层的沟道部分半导体膜103(第1图(a))。以下以与实施例1详述了的薄膜半导体器件的制造方法完全相同的方法形成绝缘栅膜(图1(b))、形成栅极、形成离子注入的源漏区和沟道(第1图(c))、形成层间绝缘膜、进行注入离子的激活和层间绝缘膜烧结的热处理、开接触孔和形成源漏引出电极,由此完成薄膜半导体器件(第1图(d))。因此,在本实施例5中,在半导体膜形成的所谓第1工序之后的最高温度是300℃。作为绝缘栅膜形成工序和注入离子的激活以及层间绝缘膜烧结的热处理工序,其温度最高也必须在350℃以下。换言之,如(2-10)详述过的那样,使半导体膜形成的所谓第1工序以后的最高工作温度在350℃以下,这对于大面积均匀且稳定地制造优质薄膜半导体器件来说是必不可少的。
对这样试制的薄膜半导体器件的晶体管特性进行了测试,在源漏电压Vds=4V,栅电压Vgs=10V的条件下,晶体管导通时的源漏电流Ids定义为Ion,95%的可信系数下Ion=(1.22+0.11、-0.10)×10-6A。在Vds=4V、Vgs=0V的条件下晶体管截止时的截止电流Ioff=(1.18+0.35、-0.30)×10-13A。这里的测试温度是25℃,是对沟道部长度L=10μm、宽度W=10μm的晶体管进行的。从饱和电流区求得的有效电子迁移率(J.Levinson等,J.Appl,phys.53,1193’82)为μ=3.41±0.22cm2/V.sec。
本实施例5的最高工作温度是VHS-PECVD装置中半导体膜成膜时的下部平板电极温度的400℃,这时的基板表面温度是340℃。在该例所示的极低的工作温度下,以不必进行激光照射等的结晶化的简便制造方法在结晶性薄膜半导体器件方面成功地作成了一种poly-SiTFT。导通电流和迁移率的值远远不及使用了激光照射的实施例1,与先前的在400℃左右的最高工作温度下制造的α-Si TFT相比,其值高出4倍到10倍。此外,本实施例5中用栅极作为掩模的离子注入法形成源漏区。而且,由于是在300℃到350℃的低温下进行注入离子的激活,所以从源漏区向沟道区的注入离子实质上完全没有扩散。因此,栅极和源漏区的重叠由离子注入时的横方向的射程偏差来决定,其值在数百以下。即,形成栅极端和源漏端十分一致的所谓自对准结构。因此,源栅之间以及漏栅之间的寄生电容与α-Si TFT相比极小,根据这两个事实,当本发明的薄膜半导体器件作为有源矩阵型液晶显示装置(LDD)的象素开关元件时,能够容易实现先前α-Si TFT达不到的高精度LCD(象素数多的LCD)、明亮的LCD(减少了或没有附加电容的数值孔径高的LCD)、或高密度LCD(单位面积的象素多的LCD)。
(实施例6)
下面详述使用微波PECVD装置、不必进行激光照射等的、结晶化的、在约350以下结晶性半导体膜的低温淀积法和使用该法的薄膜半导体器件的制造方法及其特征。基板由(2-1)项中叙述过的方法准备。可以使用(2-2)项中叙述过的所有的半导体膜及原料气体,但这里作为一个例子以硅膜作为半导体膜,用硅烷(SiH4)作为原料气体。
在本实施例6中,作为基板101使用300mm×300mm×1.1mm的无碱玻璃(日本电气玻璃公司OA-2),衬底保护膜和半导体膜是用微波PECVD法装置的一种ECR-PECVD装置在基板温度为100时连续成膜的。使用了2.45GRz的微波。以SiH4和O2作为原料气体淀积成200nm厚。衬底保护膜的氧化硅膜淀积条件是,氧流量100sccm、硅烷流量60sccm、微波输出功率2250W、反应室内压力2.35毫乇、淀积速度8.0nm/s。氧化硅膜形成后停止向成膜供给硅烷、连续照射10秒钟的氧等离子体。氧等离子体照射中的压力是1.85毫乇。接着抽10秒钟真空之后在氢流量100sccm、微波输出功率2000W、反应室内压力1.97毫乇的条件下对衬底保护膜照射了氢等离子体。进一步在不破坏真空的情况下连续淀积75nm左右的纯硅膜作为薄膜半导体器件的有源层。使原料气体单硅烷(SiH4)流过25sccm、作为追加气体使一种惰性气体氩(Ar)流过825sccm来进行淀积。成膜条件是,微波输出功率2250W、反应室内压13.0毫乇,淀积速度2.5nm/s。以半导体膜淀积后保护半导体膜的表面和使半导体膜中的未反应对补全为目的,再次连续进行氢等离子体照射和氧等离子体照射。氢等离子体和氧等离子体的照射条件与对衬底保护膜的照射是相同的。这样得到的半导体膜是高纯度的硅膜,淀积之后的状态(As~淀积)成为多结晶状态。用多波长分散型椭圆对称光谱仪测定了结晶化率,结晶化率显示了85%的值。
其次使硅膜形成图形,从而制成了作为晶体管有源层的沟道部分半导体膜103(图1(a))。下面以与实施例1中详述过的薄膜半导体器件的制造方法完全相同的方法形成绝缘栅膜(图1(b))、形成栅板、形成离子注入的源漏区和沟道(图1(c))、形成层间绝缘膜、进行注入离子的激活和层间绝缘膜烧结的热处理、开接触孔和形成源漏的引出电极,由此完成薄膜半导体器件(图1(d))。因此,在本实施例6中,整个工序的最高温度是300℃。
对这样试制出来的薄膜半导体器件进行了测试,95%可信系数下的导通电流Ion=(1.71+0.13、-0.12)×10-6A,截止电流Ioff=(1.07+0.33、-0.28)×10-13A。有效电子迁移率μ=4.68±0.20cm2/v.sec。测试条件以实施例1为准。若按照本项发明,不需要特别的结晶化工序就能使整个工序都在300℃左右以下的温度下进行并制造出poly-Si TFT。
(实施例7)
本实施例中,对用VHF-PECVD法得到的半导体膜照射激光使其熔融结晶化、作成薄膜半导体器件。制造程序是在实施例5的基础上加上在半导体膜淀积之后照射激光的工序。激光照射的方法是实施例1示出的激光照射法,只是把第1次激光照射的能量密度变成130mJ/cm2,把第2次激光照射的能量密度变成240mJ/cm2
对这样试制出来的薄膜半导体器件的晶体管特性进行了测试,95%可信系数下的导通电流Ion=(22.4+1.70、-1.55)×10-6A,截止电流Ioff=(1.27+0.30、-0.26)×10-12A。有效电子迁移率μ=47.95±3.13cm3/v.sec。测试条件以实施例1为准。
(实施例8)
本实施例中对用微波-PECVD法得到的半导体膜照射激光使其熔融结晶化、作成薄膜半导体器件。制造程序是在实施例6的基础上加上在半导体膜淀积之后进行激光照射的工序。激光照射方法是实施例1示出的激光照射法,只是把第1次的激光照射的能量密度变成150mJ/cm2、把第2次的激光照射的能量密度变成了270mJ/cm2
对这样试制出来的薄膜半导体器件的晶体管特性进行了测试,95%可信系数下的导通电流Ion=(39.8+2.45、-1.57)×10-6A,截止电流Ioff=(5.80+2.09、-1.26)×10-13A。有效电子迁移率μ=85.63±4.38cm2/v.sec。测定条件以实施例1为准。
(实施例9)
制造了将从上述实施例得到的各种薄膜半导体器件作为象素用的TFT和驱动电路用的TFT而使用的有源矩阵基板。制造了其基板是使用所得到的有源矩阵基板的液晶面板。在将所得到液晶面板与外部的外围驱动电路和背照明单元一起做成液晶显示装置的模块时,TFT本身的性能质量高且制造工序稳定,所以能以低成本稳定地制造出显示质量高的液晶显示装置。此外,由于TFT的性能极好故能够在有源矩阵基板上形成必要的驱动电路(内藏驱动器),因此可以使其与外部的外围驱动电路的安装结构简单化从而能实现小型轻量的液晶显示装置。
还有,当将这样的液晶显示装置装进全彩色的笔记本式PC机的机壳时,可以制造出便宜的、小型轻量的、显示质量高的优质全彩色笔记本式PC机。
产业上利用的可能性
如上所述,如果按照本发明的薄膜半导体器件的制造方法,使用能利用便宜的玻璃基板的低温处理工序可以制造出高性能的薄膜半导体器件。因而,当将本发明用于有源矩阵液晶显示装置的制造时,能够容易而稳定地制造出大型的、高质量的液晶显示装置。此外,在用于其它电子电路的制造时,也能够容易而稳定地制造出高质量的电子电路。
由于本发明的薄膜半导体器件便宜而且性能好,所以作为有源矩阵液晶显示装置的有源矩阵基板是最合适的。特别是最适用于要求高性能的内藏驱动器的有源矩阵基板。
由于本发明的液晶显示装置既便宜性能又好,所以从全彩色的笔记本PC机到各种显示装置都非常适用。
由于本发明的电子装置既便宜性能又好,一般来说会广泛地受到用户欢迎。

Claims (12)

1.一种形成薄膜半导体器件的方法,所述半导体器件具有基板、衬底保护层和半导体膜,所述衬底保护层是形成在基板的一部分上的绝缘层,所述半导体膜形成在所述衬底保护层上,所述方法包括下列步骤:
在成膜室内的上部电极和下部电极之间放置衬底;并
利用等离子体照射所述衬底保护层的表面以形成所述半导体膜,所述半导体膜包括结晶部分,所述等离子体是通过向所述上部电极施加微波,及通过将硅烷气和冲淡气体引入成膜室而产生的。
2.如权利要求1所述的形成薄膜半导体器件的方法,其特征在于,形成所述衬底保护层的过程和形成所述半导体膜的过程是在该同一成膜室进行的。
3.如权利要求1所述的形成薄膜半导体器件的方法,其特征在于,形成所述半导体膜的过程中的温度是350℃。
4.如权利要求1所述的形成薄膜半导体器件的方法,其特征在于,所述半导体膜的淀积速度是每秒2.5nm。
5.如权利要求1所述的形成薄膜半导体器件的方法,其特征在于,在形成所述半导体膜时,所述成膜室的室内压力是13.0mTorr。
6.如权利要求1所述的形成薄膜半导体器件的方法,其特征在于,所述半导体膜是多晶硅膜。
7.如权利要求1所述的形成薄膜半导体器件的方法,其特征在于,所述结晶半导体膜的膜厚度在20nm和150nm之间。
8.如权利要求1所述的形成薄膜半导体器件的方法,其特征在于,所述冲淡气体是氦。
9.如权利要求1所述的形成薄膜半导体器件的方法,其特征在于,所述冲淡气体是氖。
10.如权利要求1所述的形成薄膜半导体器件的方法,其特征在于,所述冲淡气体是氩。
11.一种利用如权利要求1所述的形成薄膜半导体器件的方法形成有源矩阵基板的方法。
12.一种利用如权利要求11所述的形成有源矩阵基板的方法形成电子装置的方法。
CNB200310101574XA 1994-06-15 1995-06-15 薄膜半导体器件的制造方法 Expired - Lifetime CN1269196C (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
JP133374/1994 1994-06-15
JP13337494 1994-06-15
JP133374/94 1994-06-15
JP72144/95 1995-03-29
JP7214495 1995-03-29
JP72144/1995 1995-03-29

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN99107026A Division CN1130777C (zh) 1994-06-15 1999-05-21 薄膜半导体器件的制造方法

Publications (2)

Publication Number Publication Date
CN1495869A CN1495869A (zh) 2004-05-12
CN1269196C true CN1269196C (zh) 2006-08-09

Family

ID=26413272

Family Applications (4)

Application Number Title Priority Date Filing Date
CNB200310101574XA Expired - Lifetime CN1269196C (zh) 1994-06-15 1995-06-15 薄膜半导体器件的制造方法
CNB2003101015754A Expired - Lifetime CN1274009C (zh) 1994-06-15 1995-06-15 薄膜半导体器件的制造方法
CN95190552A Expired - Lifetime CN1052116C (zh) 1994-06-15 1995-06-15 薄膜半导体器件的制造方法
CN99107026A Expired - Lifetime CN1130777C (zh) 1994-06-15 1999-05-21 薄膜半导体器件的制造方法

Family Applications After (3)

Application Number Title Priority Date Filing Date
CNB2003101015754A Expired - Lifetime CN1274009C (zh) 1994-06-15 1995-06-15 薄膜半导体器件的制造方法
CN95190552A Expired - Lifetime CN1052116C (zh) 1994-06-15 1995-06-15 薄膜半导体器件的制造方法
CN99107026A Expired - Lifetime CN1130777C (zh) 1994-06-15 1999-05-21 薄膜半导体器件的制造方法

Country Status (7)

Country Link
US (4) US5858819A (zh)
EP (3) EP1722403B1 (zh)
JP (1) JP4466775B2 (zh)
KR (2) KR100306527B1 (zh)
CN (4) CN1269196C (zh)
DE (1) DE69531654T2 (zh)
WO (1) WO1995034916A1 (zh)

Families Citing this family (540)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3637069B2 (ja) * 1993-03-12 2005-04-06 株式会社半導体エネルギー研究所 半導体装置の作製方法
JPH07131030A (ja) * 1993-11-05 1995-05-19 Sony Corp 表示用薄膜半導体装置及びその製造方法
US6372534B1 (en) 1995-06-06 2002-04-16 Lg. Philips Lcd Co., Ltd Method of making a TFT array with photo-imageable insulating layer over address lines
CN1089486C (zh) 1995-06-26 2002-08-21 精工爱普生株式会社 形成晶体性半导体膜的方法
KR100300263B1 (ko) * 1995-08-04 2001-12-17 구사마 사부로 박막트랜지스터의제조방법,액티브매트릭스기판의제조방법및액정표시장치
FR2737806B1 (fr) * 1995-08-11 1997-09-12 Soc D Production Et De Rech Ap Dispositif et procede de traitement de surface par laser
JP3917205B2 (ja) * 1995-11-30 2007-05-23 株式会社半導体エネルギー研究所 半導体装置の作製方法
US7118996B1 (en) 1996-05-15 2006-10-10 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for doping
JPH1054999A (ja) * 1996-06-04 1998-02-24 Canon Inc 表示装置とその製造法
TW324862B (en) * 1996-07-03 1998-01-11 Hitachi Ltd Liquid display apparatus
FR2751131B1 (fr) * 1996-07-09 2001-11-09 Lg Electronics Inc Procede de fabrication d'un dispositif d'affichage a matrice active a cristal liquide et structure du dispositif d'affichage selon ce procede
US6188452B1 (en) 1996-07-09 2001-02-13 Lg Electronics, Inc Active matrix liquid crystal display and method of manufacturing same
WO1998021749A1 (fr) * 1996-11-14 1998-05-22 Tokyo Electron Limited Procede de nettoyage d'un dispositif de traitement au plasma et procede de traitement au plasma
JP4356117B2 (ja) * 1997-01-29 2009-11-04 財団法人国際科学振興財団 プラズマ装置
US5998838A (en) 1997-03-03 1999-12-07 Nec Corporation Thin film transistor
EP0867701A1 (en) * 1997-03-28 1998-09-30 Interuniversitair Microelektronica Centrum Vzw Method of fabrication of an infrared radiation detector and more particularly an infrared sensitive bolometer
US7176111B2 (en) * 1997-03-28 2007-02-13 Interuniversitair Microelektronica Centrum (Imec) Method for depositing polycrystalline SiGe suitable for micromachining and devices obtained thereof
JP4086932B2 (ja) 1997-04-17 2008-05-14 株式会社半導体エネルギー研究所 レーザー照射装置及びレーザー処理方法
JP3844561B2 (ja) 1997-06-10 2006-11-15 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP4601731B2 (ja) * 1997-08-26 2010-12-22 株式会社半導体エネルギー研究所 半導体装置、半導体装置を有する電子機器及び半導体装置の作製方法
US6346175B1 (en) * 1997-11-20 2002-02-12 International Business Machines Corporation Modification of in-plate refractory metal texture by use of refractory metal/nitride layer
JPH11233801A (ja) * 1998-02-17 1999-08-27 Canon Inc 微結晶シリコン膜の形成方法、および光起電力素子
US6214526B1 (en) * 1998-02-17 2001-04-10 Novellus Systems, Inc. Semiconductor processing using antireflective layer having high wet etch rate
US7804115B2 (en) * 1998-02-25 2010-09-28 Micron Technology, Inc. Semiconductor constructions having antireflective portions
US6274292B1 (en) * 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
US6287436B1 (en) 1998-02-27 2001-09-11 Innovent, Inc. Brazed honeycomb collimator
JP4083921B2 (ja) * 1998-05-29 2008-04-30 株式会社東芝 半導体装置の製造方法
JP2000058839A (ja) * 1998-08-05 2000-02-25 Semiconductor Energy Lab Co Ltd 半導体素子からなる半導体回路を備えた半導体装置およびその作製方法
US6246070B1 (en) * 1998-08-21 2001-06-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device provided with semiconductor circuit made of semiconductor element and method of fabricating the same
US6268282B1 (en) 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
US6281100B1 (en) 1998-09-03 2001-08-28 Micron Technology, Inc. Semiconductor processing methods
JP4493741B2 (ja) * 1998-09-04 2010-06-30 株式会社半導体エネルギー研究所 半導体装置の作製方法
US7126161B2 (en) 1998-10-13 2006-10-24 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device having El layer and sealing material
US6828683B2 (en) 1998-12-23 2004-12-07 Micron Technology, Inc. Semiconductor devices, and semiconductor processing methods
US7235499B1 (en) 1999-01-20 2007-06-26 Micron Technology, Inc. Semiconductor processing methods
JP3399432B2 (ja) * 1999-02-26 2003-04-21 セイコーエプソン株式会社 電気光学装置の製造方法及び電気光学装置
US6303411B1 (en) 1999-05-03 2001-10-16 Vortek Industries Ltd. Spatially resolved temperature measurement and irradiance control
US7245018B1 (en) * 1999-06-22 2007-07-17 Semiconductor Energy Laboratory Co., Ltd. Wiring material, semiconductor device provided with a wiring using the wiring material and method of manufacturing thereof
US6661096B1 (en) * 1999-06-29 2003-12-09 Semiconductor Energy Laboratory Co., Ltd. Wiring material semiconductor device provided with a wiring using the wiring material and method of manufacturing thereof
JP3393469B2 (ja) * 1999-07-15 2003-04-07 日本電気株式会社 薄膜半導体素子の製造方法及び薄膜半導体形成装置
US6863733B1 (en) 1999-07-15 2005-03-08 Nec Corporation Apparatus for fabricating thin-film semiconductor device
JP2001147446A (ja) * 1999-11-19 2001-05-29 Hitachi Ltd 液晶表示装置とその製造方法
TW451447B (en) * 1999-12-31 2001-08-21 Samsung Electronics Co Ltd Contact structures of wirings and methods for manufacturing the same, and thin film transistor array panels including the same and methods for manufacturing the same
US6440860B1 (en) 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US20010053559A1 (en) * 2000-01-25 2001-12-20 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating display device
JP2001223209A (ja) * 2000-02-08 2001-08-17 Seiko Epson Corp 絶縁性,半導電性,および導電性薄膜の製造方法
WO2001061761A1 (fr) * 2000-02-18 2001-08-23 Matsushita Electric Industrial Co., Ltd. Couche polycristalline mince et dispositif semi-conducteur
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US20070048882A1 (en) * 2000-03-17 2007-03-01 Applied Materials, Inc. Method to reduce plasma-induced charging damage
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US8048806B2 (en) * 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US7141757B2 (en) * 2000-03-17 2006-11-28 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode having a resonance that is virtually pressure independent
US7196283B2 (en) 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6900596B2 (en) * 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US20020184970A1 (en) * 2001-12-13 2002-12-12 Wickersham Charles E. Sptutter targets and methods of manufacturing same to reduce particulate emission during sputtering
JP4981233B2 (ja) 2000-05-11 2012-07-18 トーソー エスエムディー,インク. 音波の位相変化の検出を使用する、スパッターターゲット清浄度の非破壊評価のための方法と装置
KR20020002732A (ko) * 2000-06-30 2002-01-10 박종섭 반도체 소자의 절연막 형성 방법
US6875674B2 (en) * 2000-07-10 2005-04-05 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device with fluorine concentration
JP2002141514A (ja) * 2000-11-07 2002-05-17 Sanyo Electric Co Ltd ボトムゲート型薄膜トランジスタ及びその製造方法
US6594446B2 (en) * 2000-12-04 2003-07-15 Vortek Industries Ltd. Heat-treating methods and systems
JP4802364B2 (ja) * 2000-12-07 2011-10-26 ソニー株式会社 半導体層のドーピング方法、薄膜半導体素子の製造方法、及び半導体層の抵抗制御方法
US6897149B2 (en) * 2001-01-25 2005-05-24 Tokyo Electron Limited Method of producing electronic device material
JP4866534B2 (ja) 2001-02-12 2012-02-01 エーエスエム アメリカ インコーポレイテッド 半導体膜の改良された堆積方法
US6830994B2 (en) * 2001-03-09 2004-12-14 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device having a crystallized semiconductor film
US6436724B1 (en) * 2001-03-14 2002-08-20 Advanced Micro Devices, Inc. Method of monitoring the temperature of a rapid thermal anneal process in semiconductor manufacturing and a test wafer for use in this method
KR100841915B1 (ko) * 2001-04-04 2008-06-30 토소우 에스엠디, 인크 알루미늄 또는 알루미늄 합금 스퍼터링 타겟 내의알루미늄 산화물 함유물에 대한 임계 크기 결정 방법
NL1017849C2 (nl) * 2001-04-16 2002-10-30 Univ Eindhoven Tech Werkwijze en inrichting voor het deponeren van een althans ten dele kristallijne siliciumlaag op een substraat.
US7079975B1 (en) 2001-04-30 2006-07-18 Advanced Micro Devices, Inc. Scatterometry and acoustic based active control of thin film deposition process
JP3501793B2 (ja) * 2001-05-16 2004-03-02 Nec液晶テクノロジー株式会社 薄膜トランジスタ及びその製造方法
JP4901020B2 (ja) * 2001-05-23 2012-03-21 東芝モバイルディスプレイ株式会社 ポリシリコン薄膜トランジスタの製造方法
JP4349904B2 (ja) * 2001-08-09 2009-10-21 トーソー エスエムディー,インク. 寸法と位置によって分類された欠陥の種類による、非破壊的なターゲット清浄度特性決定のための方法と装置
US6887803B2 (en) * 2001-11-08 2005-05-03 Wafermasters, Inc. Gas-assisted rapid thermal processing
JP4252749B2 (ja) * 2001-12-13 2009-04-08 忠弘 大見 基板処理方法および基板処理装置
US20030111013A1 (en) * 2001-12-19 2003-06-19 Oosterlaken Theodorus Gerardus Maria Method for the deposition of silicon germanium layers
CN100416243C (zh) * 2001-12-26 2008-09-03 加拿大马特森技术有限公司 测量温度和热处理的方法及系统
US6541366B1 (en) * 2002-01-08 2003-04-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving a solder bump adhesion bond to a UBM contact layer
JP3957277B2 (ja) * 2002-04-15 2007-08-15 株式会社アドバンスト・ディスプレイ 液晶表示装置及びその製造方法
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US7294582B2 (en) * 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
JP5005170B2 (ja) 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
US7186630B2 (en) * 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
GB0219771D0 (en) * 2002-08-24 2002-10-02 Koninkl Philips Electronics Nv Manufacture of electronic devices comprising thin-film circuit elements
KR20040021758A (ko) * 2002-09-04 2004-03-11 엘지.필립스 엘시디 주식회사 다결정 실리콘 박막트랜지스터 제조방법
US6689646B1 (en) * 2002-11-14 2004-02-10 Sharp Laboratories Of America, Inc. Plasma method for fabricating oxide thin films
DE10393962B4 (de) 2002-12-20 2019-03-14 Mattson Technology Inc. Verfahren und Vorrichtung zum Stützen eines Werkstücks und zur Wärmebehandlung des Werkstücks
TWI223762B (en) * 2003-01-02 2004-11-11 Taiwan Semiconductor Mfg Front end dispatching method and system for long batch processing equipment in semiconductor manufacturing
EP1445802A1 (en) * 2003-02-06 2004-08-11 Centre National De La Recherche Scientifique (Cnrs) Transistor for active matrix display, a display unit comprising the said transistor and a method for producing said transistor
JP4382375B2 (ja) * 2003-03-13 2009-12-09 Nec液晶テクノロジー株式会社 薄膜トランジスタの製造方法
JP3922204B2 (ja) * 2003-04-10 2007-05-30 株式会社デンソー 携帯型送信機
EP1473383B1 (en) * 2003-04-29 2012-08-15 Imec Method for producing polycrystalline silicon germanium suitable for micromachining
EP1482069A1 (en) * 2003-05-28 2004-12-01 Interuniversitair Microelektronica Centrum Vzw Method for producing polycrystalline silicon germanium suitable for micromachining
US7115480B2 (en) * 2003-05-07 2006-10-03 Micron Technology, Inc. Micromechanical strained semiconductor by wafer bonding
US7452824B2 (en) * 2003-05-16 2008-11-18 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters
US7795153B2 (en) * 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US7901952B2 (en) * 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US7470626B2 (en) * 2003-05-16 2008-12-30 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7247218B2 (en) * 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7910013B2 (en) 2003-05-16 2011-03-22 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7273788B2 (en) * 2003-05-21 2007-09-25 Micron Technology, Inc. Ultra-thin semiconductors bonded on glass substrates
US7501329B2 (en) * 2003-05-21 2009-03-10 Micron Technology, Inc. Wafer gettering using relaxed silicon germanium epitaxial proximity layers
US7662701B2 (en) * 2003-05-21 2010-02-16 Micron Technology, Inc. Gettering of silicon on insulator using relaxed silicon germanium epitaxial proximity layers
US7008854B2 (en) * 2003-05-21 2006-03-07 Micron Technology, Inc. Silicon oxycarbide substrates for bonded silicon on insulator
JP4128910B2 (ja) * 2003-06-11 2008-07-30 日本アイ・ビー・エム株式会社 液晶表示セル及び液晶表示セルの製造方法
US7439158B2 (en) * 2003-07-21 2008-10-21 Micron Technology, Inc. Strained semiconductor by full wafer bonding
US7153753B2 (en) * 2003-08-05 2006-12-26 Micron Technology, Inc. Strained Si/SiGe/SOI islands and processes of making same
US7703034B2 (en) * 2003-08-07 2010-04-20 National Instruments Corporation Visualization tool for viewing timing information for a graphical program
WO2005059991A1 (en) * 2003-12-19 2005-06-30 Mattson Technology Canada Inc. Apparatuses and methods for suppressing thermally induced motion of a workpiece
US7402207B1 (en) 2004-05-05 2008-07-22 Advanced Micro Devices, Inc. Method and apparatus for controlling the thickness of a selective epitaxial growth layer
KR100712101B1 (ko) * 2004-06-30 2007-05-02 삼성에스디아이 주식회사 박막트랜지스터 및 그의 제조 방법
US7097779B2 (en) * 2004-07-06 2006-08-29 Tokyo Electron Limited Processing system and method for chemically treating a TERA layer
JP2006024735A (ja) * 2004-07-08 2006-01-26 Seiko Instruments Inc 半導体膜の結晶化方法、及び、表示装置の製造方法
US7253084B2 (en) 2004-09-03 2007-08-07 Asm America, Inc. Deposition from liquid sources
US7402485B1 (en) 2004-10-20 2008-07-22 Advanced Micro Devices, Inc. Method of forming a semiconductor device
US7456062B1 (en) 2004-10-20 2008-11-25 Advanced Micro Devices, Inc. Method of forming a semiconductor device
FI117728B (fi) * 2004-12-21 2007-01-31 Planar Systems Oy Monikerrosmateriaali ja menetelmä sen valmistamiseksi
US20060150906A1 (en) * 2005-01-07 2006-07-13 Selen Louis J M Wafer boat for reduced shadow marks
US7629267B2 (en) * 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
US7422634B2 (en) * 2005-04-07 2008-09-09 Cree, Inc. Three inch silicon carbide wafer with low warp, bow, and TTV
US20060252191A1 (en) * 2005-05-03 2006-11-09 Advanced Micro Devices, Inc. Methodology for deposition of doped SEG for raised source/drain regions
US7359177B2 (en) * 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
US20060281271A1 (en) * 2005-06-13 2006-12-14 Advanced Micro Devices, Inc. Method of forming a semiconductor device having an epitaxial layer and device thereof
US7553732B1 (en) 2005-06-13 2009-06-30 Advanced Micro Devices, Inc. Integration scheme for constrained SEG growth on poly during raised S/D processing
NL1029647C2 (nl) * 2005-07-29 2007-01-30 Otb Group Bv Werkwijze voor het passiveren van ten minste een deel van een substraatoppervlak.
US7572705B1 (en) * 2005-09-21 2009-08-11 Advanced Micro Devices, Inc. Semiconductor device and method of manufacturing a semiconductor device
WO2007046290A1 (en) * 2005-10-18 2007-04-26 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
WO2007075369A1 (en) * 2005-12-16 2007-07-05 Asm International N.V. Low temperature doped silicon layer formation
US7544584B2 (en) 2006-02-16 2009-06-09 Micron Technology, Inc. Localized compressive strained semiconductor
JP2007305698A (ja) * 2006-05-09 2007-11-22 Nec Corp 半導体装置及びその製造方法
US9105776B2 (en) * 2006-05-15 2015-08-11 Stion Corporation Method and structure for thin film photovoltaic materials using semiconductor materials
EP1863072A1 (en) * 2006-05-29 2007-12-05 Interuniversitair Microelektronica Centrum ( Imec) Method for modulating the effective work function
EP1863097A1 (en) * 2006-05-29 2007-12-05 Interuniversitair Microelektronica Centrum ( Imec) Method for modulating the effective work function
US7880267B2 (en) * 2006-08-28 2011-02-01 Micron Technology, Inc. Buried decoupling capacitors, devices and systems including same, and methods of fabrication
DE102006052586B4 (de) * 2006-11-08 2008-07-03 Schott Solar Gmbh Verfahren und Vorrichtung zur Reinigung der Abgase einer Siliziumdünnschicht-Produktionsanlage
US8454356B2 (en) * 2006-11-15 2013-06-04 Mattson Technology, Inc. Systems and methods for supporting a workpiece during heat-treating
KR101164607B1 (ko) * 2006-11-22 2012-07-10 삼성테크윈 주식회사 휴대용 전자기기용 슬라이딩 구조체
JP2008177419A (ja) * 2007-01-19 2008-07-31 Nissin Electric Co Ltd シリコン薄膜形成方法
KR101030769B1 (ko) * 2007-01-23 2011-04-27 삼성전자주식회사 스택 패키지 및 스택 패키징 방법
US7972943B2 (en) * 2007-03-02 2011-07-05 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor device
US20080230008A1 (en) * 2007-03-21 2008-09-25 Alexander Paterson Plasma species and uniformity control through pulsed vhf operation
US20080299747A1 (en) * 2007-05-30 2008-12-04 Asm Japan K.K. Method for forming amorphouse silicon film by plasma cvd
US8071179B2 (en) 2007-06-29 2011-12-06 Stion Corporation Methods for infusing one or more materials into nano-voids if nanoporous or nanostructured materials
WO2009009499A1 (en) * 2007-07-07 2009-01-15 Xunlight Corporation Hybrid chemical vapor deposition process combining hot-wire cvd and plasma-enhanced cvd
US20090017637A1 (en) * 2007-07-10 2009-01-15 Yi-Chiau Huang Method and apparatus for batch processing in a vertical reactor
US8441018B2 (en) 2007-08-16 2013-05-14 The Trustees Of Columbia University In The City Of New York Direct bandgap substrates and methods of making and using
US20090065816A1 (en) * 2007-09-11 2009-03-12 Applied Materials, Inc. Modulating the stress of poly-crystaline silicon films and surrounding layers through the use of dopants and multi-layer silicon films with controlled crystal structure
JP2009088500A (ja) * 2007-09-14 2009-04-23 Semiconductor Energy Lab Co Ltd Soi基板の作製方法
US8759671B2 (en) * 2007-09-28 2014-06-24 Stion Corporation Thin film metal oxide bearing semiconductor material for single junction solar cell devices
ITRE20070116A1 (it) * 2007-10-29 2009-04-30 Corghi Spa '' dispositivo e metodo per la verifica dell'assetto di un veicolo ''
US7998762B1 (en) 2007-11-14 2011-08-16 Stion Corporation Method and system for large scale manufacture of thin film photovoltaic devices using multi-chamber configuration
KR101270174B1 (ko) * 2007-12-03 2013-05-31 삼성전자주식회사 산화물 반도체 박막 트랜지스터의 제조방법
US8772078B1 (en) 2008-03-03 2014-07-08 Stion Corporation Method and system for laser separation for exclusion region of multi-junction photovoltaic materials
US8064224B2 (en) * 2008-03-31 2011-11-22 Intel Corporation Microelectronic package containing silicon patches for high density interconnects, and method of manufacturing same
WO2009137940A1 (en) 2008-05-16 2009-11-19 Mattson Technology Canada, Inc. Workpiece breakage prevention method and apparatus
US8642138B2 (en) * 2008-06-11 2014-02-04 Stion Corporation Processing method for cleaning sulfur entities of contact regions
US9087943B2 (en) * 2008-06-25 2015-07-21 Stion Corporation High efficiency photovoltaic cell and manufacturing method free of metal disulfide barrier material
US8003432B2 (en) 2008-06-25 2011-08-23 Stion Corporation Consumable adhesive layer for thin film photovoltaic material
US7855089B2 (en) * 2008-09-10 2010-12-21 Stion Corporation Application specific solar cell and method for manufacture using thin film photovoltaic materials
US8383450B2 (en) * 2008-09-30 2013-02-26 Stion Corporation Large scale chemical bath system and method for cadmium sulfide processing of thin film photovoltaic materials
US8053274B2 (en) * 2008-09-30 2011-11-08 Stion Corporation Self cleaning large scale method and furnace system for selenization of thin film photovoltaic materials
US7947524B2 (en) * 2008-09-30 2011-05-24 Stion Corporation Humidity control and method for thin film photovoltaic materials
US8741689B2 (en) * 2008-10-01 2014-06-03 Stion Corporation Thermal pre-treatment process for soda lime glass substrate for thin film photovoltaic materials
US20110018103A1 (en) * 2008-10-02 2011-01-27 Stion Corporation System and method for transferring substrates in large scale processing of cigs and/or cis devices
US8168463B2 (en) 2008-10-17 2012-05-01 Stion Corporation Zinc oxide film method and structure for CIGS cell
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8344243B2 (en) * 2008-11-20 2013-01-01 Stion Corporation Method and structure for thin film photovoltaic cell using similar material junction
US8012876B2 (en) 2008-12-02 2011-09-06 Asm International N.V. Delivery of vapor precursor from solid source
US20110232564A1 (en) * 2008-12-02 2011-09-29 Sumitomo Electric Industries, Ltd. Method of growing gallium nitride crystal and method of manufacturing gallium nitride crystal
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US20100173448A1 (en) * 2009-01-07 2010-07-08 Applied Materials, Inc. High frequency plasma enhanced chemical vapor deposition
US7919416B2 (en) * 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8142862B2 (en) * 2009-01-21 2012-03-27 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7972980B2 (en) * 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8507786B1 (en) 2009-06-27 2013-08-13 Stion Corporation Manufacturing method for patterning CIGS/CIS solar cells
CN102473749A (zh) * 2009-06-30 2012-05-23 三洋电机株式会社 太阳能电池的制造方法和制造装置
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8398772B1 (en) 2009-08-18 2013-03-19 Stion Corporation Method and structure for processing thin film PV cells with improved temperature uniformity
JP5520552B2 (ja) * 2009-09-11 2014-06-11 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US8809096B1 (en) 2009-10-22 2014-08-19 Stion Corporation Bell jar extraction tool method and apparatus for thin film photovoltaic materials
WO2011074409A1 (en) * 2009-12-18 2011-06-23 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US8859880B2 (en) 2010-01-22 2014-10-14 Stion Corporation Method and structure for tiling industrial thin-film solar devices
US9096930B2 (en) 2010-03-29 2015-08-04 Stion Corporation Apparatus for manufacturing thin film photovoltaic devices
US8907258B2 (en) * 2010-04-08 2014-12-09 Ncc Nano, Llc Apparatus for providing transient thermal profile processing on a moving substrate
WO2011126076A1 (ja) * 2010-04-09 2011-10-13 大日本印刷株式会社 薄膜トランジスタ基板
WO2011150057A2 (en) 2010-05-25 2011-12-01 Mossey Creek Solar, LLC Method of producing a solar cell
JP5687547B2 (ja) * 2010-06-28 2015-03-18 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP5568390B2 (ja) * 2010-07-02 2014-08-06 株式会社半導体エネルギー研究所 成膜方法及びトランジスタの作製方法
US8461061B2 (en) 2010-07-23 2013-06-11 Stion Corporation Quartz boat method and apparatus for thin film thermal treatment
US8440548B2 (en) 2010-08-06 2013-05-14 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of microcrystalline silicon film and manufacturing method of thin film transistor
US9064691B2 (en) 2010-08-13 2015-06-23 United Microelectronics Corp. Semiconductor process
US8628997B2 (en) 2010-10-01 2014-01-14 Stion Corporation Method and device for cadmium-free solar cells
JP5636867B2 (ja) * 2010-10-19 2014-12-10 富士通株式会社 半導体装置及び半導体装置の製造方法
KR101826069B1 (ko) * 2010-10-26 2018-03-23 삼성디스플레이 주식회사 유기발광표시장치 및 그 제조방법
US8728200B1 (en) 2011-01-14 2014-05-20 Stion Corporation Method and system for recycling processing gas for selenization of thin film photovoltaic materials
US8998606B2 (en) 2011-01-14 2015-04-07 Stion Corporation Apparatus and method utilizing forced convection for uniform thermal treatment of thin film devices
KR20130122032A (ko) * 2011-02-23 2013-11-07 파나소닉 주식회사 박막 트랜지스터 장치의 제조 방법, 박막 트랜지스터 장치 및 표시 장치
JP5659882B2 (ja) * 2011-03-09 2015-01-28 住友電気工業株式会社 半導体装置の製造方法
US20120252225A1 (en) * 2011-03-29 2012-10-04 Chunlong Li Semiconductor fabrication method
CN102723272B (zh) * 2011-03-29 2015-02-25 中国科学院微电子研究所 半导体制造方法
US10150230B2 (en) * 2011-04-08 2018-12-11 Ncc Nano, Llc Method for drying thin films in an energy efficient manner
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8436445B2 (en) 2011-08-15 2013-05-07 Stion Corporation Method of manufacture of sodium doped CIGS/CIGSS absorber layers for high efficiency photovoltaic devices
WO2013052298A1 (en) * 2011-10-07 2013-04-11 Applied Materials, Inc. Methods for depositing a silicon containing layer with argon gas dilution
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP6125846B2 (ja) * 2012-03-22 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8901556B2 (en) 2012-04-06 2014-12-02 Semiconductor Energy Laboratory Co., Ltd. Insulating film, method for manufacturing semiconductor device, and semiconductor device
JP6128906B2 (ja) 2012-04-13 2017-05-17 株式会社半導体エネルギー研究所 半導体装置
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9512519B2 (en) * 2012-12-03 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition apparatus and method
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9299855B2 (en) 2013-08-09 2016-03-29 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device having dual gate insulating layers
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
WO2016002591A1 (ja) * 2014-07-03 2016-01-07 東京エレクトロン株式会社 成膜装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
CN105047544B (zh) * 2015-07-10 2018-06-19 苏州工业园区纳米产业技术研究院有限公司 低应力变化pecvd二氧化硅薄膜的制备方法
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN105679676A (zh) * 2016-03-01 2016-06-15 京东方科技集团股份有限公司 薄膜晶体管及其制备方法、阵列基板
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
KR102162902B1 (ko) * 2016-03-31 2020-10-07 최재성 반도체 소자 및 그 제조 방법
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US9960266B2 (en) 2016-05-17 2018-05-01 The United States Of America, As Represented By The Secretary Of The Navy Damage-free plasma-enhanced CVD passivation of AlGaN/GaN high electron mobility transistors
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102316293B1 (ko) * 2017-09-18 2021-10-22 삼성전자주식회사 반도체 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
CN107978538A (zh) * 2017-12-01 2018-05-01 泰州海天电子科技股份有限公司 一种判定晶体管键合弹坑的方法
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US20210025058A1 (en) * 2018-04-03 2021-01-28 Applied Materials, Inc. Flowable film curing using h2 plasma
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220336216A1 (en) * 2021-04-20 2022-10-20 Applied Materials, Inc. Helium-free silicon formation
US11784272B2 (en) 2021-04-29 2023-10-10 Solaero Technologies Corp. Multijunction solar cell
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5562778A (en) * 1978-11-02 1980-05-12 Fuji Photo Film Co Ltd Preparation of photoconductor film
JPS58164267A (ja) * 1982-03-25 1983-09-29 Seiko Epson Corp 薄膜シリコントランジスタの製造方法
JP2530117B2 (ja) * 1983-05-06 1996-09-04 セイコーエプソン株式会社 薄膜トランジスタの製造方法
JPH0647730B2 (ja) * 1985-12-25 1994-06-22 キヤノン株式会社 堆積膜形成法
JPS6331110A (ja) * 1986-07-25 1988-02-09 Fujitsu Ltd 半導体装置の製造方法
JPS63115328A (ja) * 1986-11-04 1988-05-19 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JPH02137797A (ja) * 1988-11-16 1990-05-28 Toshiba Corp Siエピタキシャル成長装置
JP2708533B2 (ja) * 1989-03-14 1998-02-04 富士通株式会社 Cvd装置の残留ガス除去方法
US5192717A (en) * 1989-04-28 1993-03-09 Canon Kabushiki Kaisha Process for the formation of a polycrystalline semiconductor film by microwave plasma chemical vapor deposition method
US5114770A (en) * 1989-06-28 1992-05-19 Canon Kabushiki Kaisha Method for continuously forming functional deposited films with a large area by a microwave plasma cvd method
JP2864658B2 (ja) * 1990-04-25 1999-03-03 セイコーエプソン株式会社 薄膜トランジスタの製造方法
EP0459763B1 (en) * 1990-05-29 1997-05-02 Semiconductor Energy Laboratory Co., Ltd. Thin-film transistors
SG63578A1 (en) * 1990-11-16 1999-03-30 Seiko Epson Corp Thin film semiconductor device process for fabricating the same and silicon film
JP3575698B2 (ja) * 1991-01-30 2004-10-13 Tdk株式会社 多結晶半導体装置の製造方法
US5289030A (en) * 1991-03-06 1994-02-22 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device with oxide layer
JPH055582A (ja) 1991-06-26 1993-01-14 Mitsubishi Heavy Ind Ltd 蓄熱熱交換器及びこれを備えたヒートポンプ空気調和 機
JP3507072B2 (ja) * 1991-07-16 2004-03-15 セイコーエプソン株式会社 化学気相推積装置及び半導体膜形成方法と薄膜半導体装置の製造方法
US5242530A (en) * 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
JP3483581B2 (ja) * 1991-08-26 2004-01-06 株式会社半導体エネルギー研究所 半導体装置
US5582880A (en) * 1992-03-27 1996-12-10 Canon Kabushiki Kaisha Method of manufacturing non-single crystal film and non-single crystal semiconductor device
JP3201495B2 (ja) * 1992-09-16 2001-08-20 キヤノン株式会社 非晶質シリコンの製造方法
JPH0616A (ja) 1992-06-19 1994-01-11 Iseki & Co Ltd トラクタモーアのコレクタ
CN1196184C (zh) * 1992-07-06 2005-04-06 株式会社半导体能源研究所 半导体器件及其形成方法
JPH06163401A (ja) * 1992-09-11 1994-06-10 A G Technol Kk 多結晶シリコン層の形成方法およびそれを用いた多結晶シリコン薄膜トランジスタ
KR0130955B1 (ko) * 1992-10-07 1998-04-14 쓰지 하루오 박막 트랜지스터의 제조방법 및 액정표시장치의 제조방법
JPH06132306A (ja) * 1992-10-21 1994-05-13 Casio Comput Co Ltd 半導体装置の製造方法
EP0598394A3 (en) * 1992-11-16 1997-07-16 Tokyo Electron Ltd Method and apparatus for manufacturing a liquid crystal display substrate, and apparatus and method for evaluating semiconductor crystals.
JP3265668B2 (ja) * 1993-01-13 2002-03-11 株式会社ニコン ベストフォーカス位置の算出方法
JPH0828337B2 (ja) * 1993-01-20 1996-03-21 日本電気株式会社 半導体薄膜の製造方法
JP3497198B2 (ja) * 1993-02-03 2004-02-16 株式会社半導体エネルギー研究所 半導体装置および薄膜トランジスタの作製方法
JPH06296023A (ja) * 1993-02-10 1994-10-21 Semiconductor Energy Lab Co Ltd 薄膜状半導体装置およびその作製方法
JPH06275524A (ja) * 1993-03-24 1994-09-30 G T C:Kk 薄膜トランジスタの製造方法
JPH0799321A (ja) * 1993-05-27 1995-04-11 Sony Corp 薄膜半導体素子の製造方法および製造装置
US5488000A (en) * 1993-06-22 1996-01-30 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating a thin film transistor using a nickel silicide layer to promote crystallization of the amorphous silicon layer
US5482749A (en) * 1993-06-28 1996-01-09 Applied Materials, Inc. Pretreatment process for treating aluminum-bearing surfaces of deposition chamber prior to deposition of tungsten silicide coating on substrate therein
US5624873A (en) * 1993-11-12 1997-04-29 The Penn State Research Foundation Enhanced crystallization of amorphous films

Also Published As

Publication number Publication date
KR100327086B1 (ko) 2002-03-06
KR100306527B1 (ko) 2002-06-26
US5858819A (en) 1999-01-12
JP4466775B2 (ja) 2010-05-26
US20010032986A1 (en) 2001-10-25
EP1722403A3 (en) 2007-05-30
EP0714140A4 (en) 1998-04-01
CN1274009C (zh) 2006-09-06
CN1129492A (zh) 1996-08-21
US20010013607A1 (en) 2001-08-16
CN1495857A (zh) 2004-05-12
EP0714140B1 (en) 2003-09-03
CN1052116C (zh) 2000-05-03
WO1995034916A1 (fr) 1995-12-21
EP1335419A2 (en) 2003-08-13
CN1130777C (zh) 2003-12-10
US6335542B2 (en) 2002-01-01
CN1245972A (zh) 2000-03-01
KR960704361A (ko) 1996-08-31
US6017779A (en) 2000-01-25
US6972433B2 (en) 2005-12-06
EP0714140A1 (en) 1996-05-29
EP1335419A3 (en) 2003-08-27
EP1722403A2 (en) 2006-11-15
JP2009044171A (ja) 2009-02-26
CN1495869A (zh) 2004-05-12
DE69531654D1 (de) 2003-10-09
DE69531654T2 (de) 2004-07-29
EP1722403B1 (en) 2012-07-25

Similar Documents

Publication Publication Date Title
CN1269196C (zh) 薄膜半导体器件的制造方法
CN1052566C (zh) 制造半导体器件的方法
US6673126B2 (en) Multiple chamber fabrication equipment for thin film transistors in a display or electronic device
US6124154A (en) Fabrication process for thin film transistors in a display or electronic device
CN1041872C (zh) 半导体器件及其制造方法
CN1263159C (zh) 半导体器件及其制造方法
CN1235269C (zh) 半导体器件以及半导体器件的制造方法
CN1346152A (zh) 薄膜晶体管及半导体器件
CN1560691A (zh) 有源矩阵显示器
CN1435897A (zh) 半导体装置和制造半导体装置的方法
TW200915395A (en) Method of manufacturing thin film semiconductor device
US6444507B1 (en) Fabrication process for thin film transistors in a display or electronic device
CN1188738C (zh) 制作至少一个薄膜晶体管的方法
CN1881532A (zh) 一种制造薄膜晶体管和电子器件的方法
JP3357038B2 (ja) 薄膜トランジスタの製造方法と液晶表示装置の製造方法
JP2005167280A (ja) 半導体装置、アクティブマトリクス基板、及び電子機器
JP4337555B2 (ja) 半導体装置の製造方法
JP3461348B2 (ja) 薄膜トランジスタアレイの製造方法と液晶表示装置の製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: ZHIJI SHIDUN TECHNOLOGY CO., LTD.

Free format text: FORMER OWNER: SEIKO EPSON CORP.

Effective date: 20130807

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20130807

Address after: Delaware, Newcastle, USA

Patentee after: Seiko Epson Corp.

Address before: Tokyo, Japan, Japan

Patentee before: Seiko Epson Corp.

C17 Cessation of patent right
CX01 Expiry of patent term

Expiration termination date: 20150615

Granted publication date: 20060809