JP2009044171A - 薄膜半導体装置の製造方法 - Google Patents
薄膜半導体装置の製造方法 Download PDFInfo
- Publication number
- JP2009044171A JP2009044171A JP2008257150A JP2008257150A JP2009044171A JP 2009044171 A JP2009044171 A JP 2009044171A JP 2008257150 A JP2008257150 A JP 2008257150A JP 2008257150 A JP2008257150 A JP 2008257150A JP 2009044171 A JP2009044171 A JP 2009044171A
- Authority
- JP
- Japan
- Prior art keywords
- film
- semiconductor
- semiconductor device
- thin film
- manufacturing
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 239000004065 semiconductor Substances 0.000 title claims abstract description 856
- 239000010409 thin film Substances 0.000 title claims abstract description 341
- 238000000034 method Methods 0.000 title claims abstract description 334
- 239000010408 film Substances 0.000 claims abstract description 1385
- 239000000758 substrate Substances 0.000 claims abstract description 378
- 238000000151 deposition Methods 0.000 claims abstract description 168
- 230000008021 deposition Effects 0.000 claims abstract description 141
- 230000001681 protective effect Effects 0.000 claims description 238
- 238000004519 manufacturing process Methods 0.000 claims description 193
- 239000007789 gas Substances 0.000 claims description 173
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 claims description 144
- 239000010410 layer Substances 0.000 claims description 96
- 230000008569 process Effects 0.000 claims description 94
- 230000015572 biosynthetic process Effects 0.000 claims description 92
- 238000002425 crystallisation Methods 0.000 claims description 79
- 238000004518 low pressure chemical vapour deposition Methods 0.000 claims description 78
- 239000011810 insulating material Substances 0.000 claims description 75
- 230000008025 crystallization Effects 0.000 claims description 70
- 229910052739 hydrogen Inorganic materials 0.000 claims description 65
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims description 64
- 239000001257 hydrogen Substances 0.000 claims description 64
- 229910052760 oxygen Inorganic materials 0.000 claims description 64
- 239000001301 oxygen Substances 0.000 claims description 64
- 229910052710 silicon Inorganic materials 0.000 claims description 63
- 239000010703 silicon Substances 0.000 claims description 63
- 239000011521 glass Substances 0.000 claims description 62
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 58
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 claims description 58
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims description 55
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical group [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 claims description 53
- 239000013078 crystal Substances 0.000 claims description 48
- 229910052814 silicon oxide Inorganic materials 0.000 claims description 45
- 229910000077 silane Inorganic materials 0.000 claims description 33
- 239000000126 substance Substances 0.000 claims description 31
- 239000011229 interlayer Substances 0.000 claims description 30
- 229910052786 argon Inorganic materials 0.000 claims description 27
- 238000005229 chemical vapour deposition Methods 0.000 claims description 26
- 239000000470 constituent Substances 0.000 claims description 24
- 230000001678 irradiating effect Effects 0.000 claims description 23
- 239000004973 liquid crystal related substance Substances 0.000 claims description 21
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical group [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 claims description 20
- 229910052734 helium Inorganic materials 0.000 claims description 19
- 239000001307 helium Substances 0.000 claims description 19
- 229910052581 Si3N4 Inorganic materials 0.000 claims description 18
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims description 18
- 239000002994 raw material Substances 0.000 claims description 17
- 238000004544 sputter deposition Methods 0.000 claims description 17
- 229910052754 neon Inorganic materials 0.000 claims description 13
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical group [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 claims description 13
- 230000003746 surface roughness Effects 0.000 claims description 13
- 238000002161 passivation Methods 0.000 claims description 12
- 239000002689 soil Substances 0.000 claims description 10
- 238000009751 slip forming Methods 0.000 claims description 9
- 230000005669 field effect Effects 0.000 claims description 8
- 230000003287 optical effect Effects 0.000 claims description 8
- 239000011261 inert gas Substances 0.000 claims description 5
- LIVNPJMFVYWSIS-UHFFFAOYSA-N silicon monoxide Chemical compound [Si-]#[O+] LIVNPJMFVYWSIS-UHFFFAOYSA-N 0.000 claims description 4
- 238000010292 electrical insulation Methods 0.000 claims description 3
- 239000012808 vapor phase Substances 0.000 claims description 3
- 239000007790 solid phase Substances 0.000 abstract description 7
- 238000002844 melting Methods 0.000 abstract description 6
- 230000008018 melting Effects 0.000 abstract description 6
- 150000004756 silanes Chemical class 0.000 abstract description 2
- 238000007740 vapor deposition Methods 0.000 abstract description 2
- 239000002585 base Substances 0.000 description 123
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 58
- 239000012535 impurity Substances 0.000 description 58
- 150000002500 ions Chemical class 0.000 description 56
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 47
- 230000000694 effects Effects 0.000 description 39
- 238000006243 chemical reaction Methods 0.000 description 35
- 229910021417 amorphous silicon Inorganic materials 0.000 description 30
- 230000002829 reductive effect Effects 0.000 description 29
- 238000005468 ion implantation Methods 0.000 description 27
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 25
- 239000000463 material Substances 0.000 description 24
- 238000004140 cleaning Methods 0.000 description 19
- 230000006866 deterioration Effects 0.000 description 17
- 238000010438 heat treatment Methods 0.000 description 16
- 229910004298 SiO 2 Inorganic materials 0.000 description 15
- 238000012545 processing Methods 0.000 description 13
- 239000011159 matrix material Substances 0.000 description 12
- 238000005240 physical vapour deposition Methods 0.000 description 12
- 230000007423 decrease Effects 0.000 description 11
- 238000001505 atmospheric-pressure chemical vapour deposition Methods 0.000 description 10
- 238000011109 contamination Methods 0.000 description 10
- 238000009792 diffusion process Methods 0.000 description 10
- 238000009832 plasma treatment Methods 0.000 description 10
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 9
- 230000007547 defect Effects 0.000 description 9
- 230000002093 peripheral effect Effects 0.000 description 9
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 8
- 238000002474 experimental method Methods 0.000 description 8
- 239000000155 melt Substances 0.000 description 8
- 230000006870 function Effects 0.000 description 7
- 239000005350 fused silica glass Substances 0.000 description 7
- 238000005259 measurement Methods 0.000 description 7
- 230000036961 partial effect Effects 0.000 description 7
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 description 6
- GQPLMRYTRLFLPF-UHFFFAOYSA-N Nitrous Oxide Chemical compound [O-][N+]#N GQPLMRYTRLFLPF-UHFFFAOYSA-N 0.000 description 6
- 230000004913 activation Effects 0.000 description 6
- 230000015556 catabolic process Effects 0.000 description 6
- 238000007872 degassing Methods 0.000 description 6
- 238000006731 degradation reaction Methods 0.000 description 6
- 238000000605 extraction Methods 0.000 description 6
- -1 hydrogen ions Chemical class 0.000 description 6
- 239000003513 alkali Substances 0.000 description 5
- 239000012071 phase Substances 0.000 description 5
- 229910052698 phosphorus Inorganic materials 0.000 description 5
- 239000011574 phosphorus Substances 0.000 description 5
- 239000012495 reaction gas Substances 0.000 description 5
- 229910052715 tantalum Inorganic materials 0.000 description 5
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 5
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 4
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 description 4
- XYFCBTPGUUZFHI-UHFFFAOYSA-N Phosphine Chemical compound P XYFCBTPGUUZFHI-UHFFFAOYSA-N 0.000 description 4
- OAICVXFJPJFONN-UHFFFAOYSA-N Phosphorus Chemical compound [P] OAICVXFJPJFONN-UHFFFAOYSA-N 0.000 description 4
- 230000002776 aggregation Effects 0.000 description 4
- 229910052782 aluminium Inorganic materials 0.000 description 4
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 4
- 229910021529 ammonia Inorganic materials 0.000 description 4
- 125000004429 atom Chemical group 0.000 description 4
- 229910052799 carbon Inorganic materials 0.000 description 4
- 230000008859 change Effects 0.000 description 4
- ZOCHARZZJNPSEU-UHFFFAOYSA-N diboron Chemical compound B#B ZOCHARZZJNPSEU-UHFFFAOYSA-N 0.000 description 4
- 238000007865 diluting Methods 0.000 description 4
- 229910052731 fluorine Inorganic materials 0.000 description 4
- 239000011737 fluorine Substances 0.000 description 4
- 229910052732 germanium Inorganic materials 0.000 description 4
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 4
- 150000004678 hydrides Chemical class 0.000 description 4
- 150000002431 hydrogen Chemical class 0.000 description 4
- 230000006911 nucleation Effects 0.000 description 4
- 238000010899 nucleation Methods 0.000 description 4
- 238000000059 patterning Methods 0.000 description 4
- 238000001020 plasma etching Methods 0.000 description 4
- 150000003376 silicon Chemical class 0.000 description 4
- 238000002791 soaking Methods 0.000 description 4
- 238000006557 surface reaction Methods 0.000 description 4
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 3
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 3
- 238000001069 Raman spectroscopy Methods 0.000 description 3
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 3
- 238000004220 aggregation Methods 0.000 description 3
- 239000007864 aqueous solution Substances 0.000 description 3
- 239000000460 chlorine Substances 0.000 description 3
- 150000001875 compounds Chemical class 0.000 description 3
- 238000005137 deposition process Methods 0.000 description 3
- 238000010586 diagram Methods 0.000 description 3
- 239000003085 diluting agent Substances 0.000 description 3
- 238000002513 implantation Methods 0.000 description 3
- 230000006872 improvement Effects 0.000 description 3
- 238000002156 mixing Methods 0.000 description 3
- 229910052757 nitrogen Inorganic materials 0.000 description 3
- 235000013842 nitrous oxide Nutrition 0.000 description 3
- 238000007254 oxidation reaction Methods 0.000 description 3
- BPUBBGLMJRNUCC-UHFFFAOYSA-N oxygen(2-);tantalum(5+) Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ta+5].[Ta+5] BPUBBGLMJRNUCC-UHFFFAOYSA-N 0.000 description 3
- 229920001721 polyimide Polymers 0.000 description 3
- 239000009719 polyimide resin Substances 0.000 description 3
- 229910052594 sapphire Inorganic materials 0.000 description 3
- 239000010980 sapphire Substances 0.000 description 3
- 238000000391 spectroscopic ellipsometry Methods 0.000 description 3
- 230000006641 stabilisation Effects 0.000 description 3
- 238000011105 stabilization Methods 0.000 description 3
- 229910001936 tantalum oxide Inorganic materials 0.000 description 3
- 229910018072 Al 2 O 3 Inorganic materials 0.000 description 2
- CURLTUGMZLYLDI-UHFFFAOYSA-N Carbon dioxide Chemical compound O=C=O CURLTUGMZLYLDI-UHFFFAOYSA-N 0.000 description 2
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 2
- 229910008051 Si-OH Inorganic materials 0.000 description 2
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 2
- 229910006358 Si—OH Inorganic materials 0.000 description 2
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 2
- 230000001133 acceleration Effects 0.000 description 2
- 230000002411 adverse Effects 0.000 description 2
- 238000000137 annealing Methods 0.000 description 2
- AQCDIIAORKRFCD-UHFFFAOYSA-N cadmium selenide Chemical compound [Cd]=[Se] AQCDIIAORKRFCD-UHFFFAOYSA-N 0.000 description 2
- 239000000919 ceramic Substances 0.000 description 2
- 229910052801 chlorine Inorganic materials 0.000 description 2
- 239000004020 conductor Substances 0.000 description 2
- 238000001816 cooling Methods 0.000 description 2
- 230000008878 coupling Effects 0.000 description 2
- 238000010168 coupling process Methods 0.000 description 2
- 238000005859 coupling reaction Methods 0.000 description 2
- 238000005336 cracking Methods 0.000 description 2
- 230000006378 damage Effects 0.000 description 2
- BUMGIEFFCMBQDG-UHFFFAOYSA-N dichlorosilicon Chemical compound Cl[Si]Cl BUMGIEFFCMBQDG-UHFFFAOYSA-N 0.000 description 2
- 238000010790 dilution Methods 0.000 description 2
- 239000012895 dilution Substances 0.000 description 2
- 239000006185 dispersion Substances 0.000 description 2
- 238000009826 distribution Methods 0.000 description 2
- 230000008020 evaporation Effects 0.000 description 2
- 238000001704 evaporation Methods 0.000 description 2
- 229910000078 germane Inorganic materials 0.000 description 2
- 238000005984 hydrogenation reaction Methods 0.000 description 2
- 239000007943 implant Substances 0.000 description 2
- AMGQUBHHOARCQH-UHFFFAOYSA-N indium;oxotin Chemical compound [In].[Sn]=O AMGQUBHHOARCQH-UHFFFAOYSA-N 0.000 description 2
- 238000003780 insertion Methods 0.000 description 2
- 230000037431 insertion Effects 0.000 description 2
- 238000009413 insulation Methods 0.000 description 2
- 230000007246 mechanism Effects 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 239000013081 microcrystal Substances 0.000 description 2
- 239000000203 mixture Substances 0.000 description 2
- 150000004767 nitrides Chemical class 0.000 description 2
- 238000005121 nitriding Methods 0.000 description 2
- 230000001590 oxidative effect Effects 0.000 description 2
- 238000001953 recrystallisation Methods 0.000 description 2
- 229920006395 saturated elastomer Polymers 0.000 description 2
- 238000000926 separation method Methods 0.000 description 2
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 2
- 235000012239 silicon dioxide Nutrition 0.000 description 2
- 239000011734 sodium Substances 0.000 description 2
- VEDJZFSRVVQBIL-UHFFFAOYSA-N trisilane Chemical compound [SiH3][SiH2][SiH3] VEDJZFSRVVQBIL-UHFFFAOYSA-N 0.000 description 2
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 2
- 230000010356 wave oscillation Effects 0.000 description 2
- HGCGQDMQKGRJNO-UHFFFAOYSA-N xenon monochloride Chemical compound [Xe]Cl HGCGQDMQKGRJNO-UHFFFAOYSA-N 0.000 description 2
- PIGFYZPCRLYGLF-UHFFFAOYSA-N Aluminum nitride Chemical compound [Al]#N PIGFYZPCRLYGLF-UHFFFAOYSA-N 0.000 description 1
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- GYHNNYVSQQEPJS-UHFFFAOYSA-N Gallium Chemical compound [Ga] GYHNNYVSQQEPJS-UHFFFAOYSA-N 0.000 description 1
- DGAQECJNVWCQMB-PUAWFVPOSA-M Ilexoside XXIX Chemical compound C[C@@H]1CC[C@@]2(CC[C@@]3(C(=CC[C@H]4[C@]3(CC[C@@H]5[C@@]4(CC[C@@H](C5(C)C)OS(=O)(=O)[O-])C)C)[C@@H]2[C@]1(C)O)C)C(=O)O[C@H]6[C@@H]([C@H]([C@@H]([C@H](O6)CO)O)O)O.[Na+] DGAQECJNVWCQMB-PUAWFVPOSA-M 0.000 description 1
- 238000003917 TEM image Methods 0.000 description 1
- 238000002441 X-ray diffraction Methods 0.000 description 1
- 230000002159 abnormal effect Effects 0.000 description 1
- 230000003213 activating effect Effects 0.000 description 1
- 238000005054 agglomeration Methods 0.000 description 1
- 229910052784 alkaline earth metal Inorganic materials 0.000 description 1
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 1
- 229910052787 antimony Inorganic materials 0.000 description 1
- WATWJIUSRGPENY-UHFFFAOYSA-N antimony atom Chemical compound [Sb] WATWJIUSRGPENY-UHFFFAOYSA-N 0.000 description 1
- LGEQCKGEMDMHIR-UHFFFAOYSA-N argon silane Chemical compound [SiH4].[Ar] LGEQCKGEMDMHIR-UHFFFAOYSA-N 0.000 description 1
- 229910052785 arsenic Inorganic materials 0.000 description 1
- RQNWIZPPADIBDY-UHFFFAOYSA-N arsenic atom Chemical compound [As] RQNWIZPPADIBDY-UHFFFAOYSA-N 0.000 description 1
- 230000003542 behavioural effect Effects 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 229910052796 boron Inorganic materials 0.000 description 1
- 229910002092 carbon dioxide Inorganic materials 0.000 description 1
- 239000001569 carbon dioxide Substances 0.000 description 1
- 229910010293 ceramic material Inorganic materials 0.000 description 1
- 238000002485 combustion reaction Methods 0.000 description 1
- 230000008602 contraction Effects 0.000 description 1
- 239000002178 crystalline material Substances 0.000 description 1
- 230000000593 degrading effect Effects 0.000 description 1
- 230000003111 delayed effect Effects 0.000 description 1
- 238000000280 densification Methods 0.000 description 1
- MROCJMGDEKINLD-UHFFFAOYSA-N dichlorosilane Chemical compound Cl[SiH2]Cl MROCJMGDEKINLD-UHFFFAOYSA-N 0.000 description 1
- 229910001873 dinitrogen Inorganic materials 0.000 description 1
- 230000008034 disappearance Effects 0.000 description 1
- 230000005684 electric field Effects 0.000 description 1
- 239000007772 electrode material Substances 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 238000005530 etching Methods 0.000 description 1
- 230000001747 exhibiting effect Effects 0.000 description 1
- 239000010419 fine particle Substances 0.000 description 1
- 239000005357 flat glass Substances 0.000 description 1
- 238000007667 floating Methods 0.000 description 1
- 229910052733 gallium Inorganic materials 0.000 description 1
- 238000010574 gas phase reaction Methods 0.000 description 1
- 230000005484 gravity Effects 0.000 description 1
- 229910021480 group 4 element Inorganic materials 0.000 description 1
- 229910021478 group 5 element Inorganic materials 0.000 description 1
- 229910021476 group 6 element Inorganic materials 0.000 description 1
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 1
- 125000002887 hydroxy group Chemical group [H]O* 0.000 description 1
- 230000001771 impaired effect Effects 0.000 description 1
- 229910052738 indium Inorganic materials 0.000 description 1
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 1
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 1
- 238000002347 injection Methods 0.000 description 1
- 239000007924 injection Substances 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- 229910052743 krypton Inorganic materials 0.000 description 1
- DNNSSWSSYDEUBZ-UHFFFAOYSA-N krypton atom Chemical compound [Kr] DNNSSWSSYDEUBZ-UHFFFAOYSA-N 0.000 description 1
- 238000003475 lamination Methods 0.000 description 1
- 238000005499 laser crystallization Methods 0.000 description 1
- 238000005339 levitation Methods 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 238000012423 maintenance Methods 0.000 description 1
- 238000010309 melting process Methods 0.000 description 1
- 239000007769 metal material Substances 0.000 description 1
- 150000002739 metals Chemical class 0.000 description 1
- 239000012768 molten material Substances 0.000 description 1
- 229910052756 noble gas Inorganic materials 0.000 description 1
- 230000000414 obstructive effect Effects 0.000 description 1
- 238000010943 off-gassing Methods 0.000 description 1
- 230000003647 oxidation Effects 0.000 description 1
- TWNQGVIAIRXVLR-UHFFFAOYSA-N oxo(oxoalumanyloxy)alumane Chemical compound O=[Al]O[Al]=O TWNQGVIAIRXVLR-UHFFFAOYSA-N 0.000 description 1
- 125000004430 oxygen atom Chemical group O* 0.000 description 1
- 230000003071 parasitic effect Effects 0.000 description 1
- 229920002120 photoresistant polymer Polymers 0.000 description 1
- 238000002294 plasma sputter deposition Methods 0.000 description 1
- 238000003672 processing method Methods 0.000 description 1
- 239000010453 quartz Substances 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 230000002441 reversible effect Effects 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- 239000000377 silicon dioxide Substances 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 238000005245 sintering Methods 0.000 description 1
- 229910052708 sodium Inorganic materials 0.000 description 1
- 238000007711 solidification Methods 0.000 description 1
- 230000008023 solidification Effects 0.000 description 1
- 238000001179 sorption measurement Methods 0.000 description 1
- 238000001228 spectrum Methods 0.000 description 1
- 238000012360 testing method Methods 0.000 description 1
- 238000005979 thermal decomposition reaction Methods 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- 230000037303 wrinkles Effects 0.000 description 1
- 229910052724 xenon Inorganic materials 0.000 description 1
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/762—Charge transfer devices
- H01L29/765—Charge-coupled devices
- H01L29/768—Charge-coupled devices with field effect produced by an insulated gate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/66007—Multistep manufacturing processes
- H01L29/66075—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
- H01L29/66227—Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
- H01L29/66409—Unipolar field-effect transistors
- H01L29/66477—Unipolar field-effect transistors with an insulated gate, i.e. MISFET
- H01L29/66742—Thin film unipolar transistors
- H01L29/6675—Amorphous silicon or polysilicon transistors
- H01L29/66757—Lateral single gate single channel transistors with non-inverted structure, i.e. the channel layer is formed before the gate
-
- F—MECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
- F16—ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
- F16C—SHAFTS; FLEXIBLE SHAFTS; ELEMENTS OR CRANKSHAFT MECHANISMS; ROTARY BODIES OTHER THAN GEARING ELEMENTS; BEARINGS
- F16C29/00—Bearings for parts moving only linearly
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02367—Substrates
- H01L21/0237—Materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02521—Materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02521—Materials
- H01L21/02524—Group 14 semiconducting materials
- H01L21/02532—Silicon, silicon germanium, germanium
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/0257—Doping during depositing
- H01L21/02573—Conductivity type
- H01L21/02576—N-type
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/0257—Doping during depositing
- H01L21/02573—Conductivity type
- H01L21/02579—P-type
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/0262—Reduction or decomposition of gaseous compounds, e.g. CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02656—Special treatments
- H01L21/02658—Pretreatments
- H01L21/02661—In-situ cleaning
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28026—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
- H01L21/28079—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/40—Electrodes ; Multistep manufacturing processes therefor
- H01L29/43—Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
- H01L29/49—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
- H01L29/4908—Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L29/00—Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
- H01L29/66—Types of semiconductor device ; Multistep manufacturing processes therefor
- H01L29/68—Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
- H01L29/76—Unipolar devices, e.g. field effect transistors
- H01L29/772—Field effect transistors
- H01L29/78—Field effect transistors with field effect produced by an insulated gate
- H01L29/786—Thin film transistors, i.e. transistors with a channel being at least partly a thin film
- H01L29/78651—Silicon transistors
- H01L29/7866—Non-monocrystalline silicon transistors
- H01L29/78672—Polycrystalline or microcrystalline silicon transistor
- H01L29/78675—Polycrystalline or microcrystalline silicon transistor with normal-type structure, e.g. with top gate
-
- F—MECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
- F16—ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
- F16C—SHAFTS; FLEXIBLE SHAFTS; ELEMENTS OR CRANKSHAFT MECHANISMS; ROTARY BODIES OTHER THAN GEARING ELEMENTS; BEARINGS
- F16C2360/00—Engines or pumps
- F16C2360/44—Centrifugal pumps
- F16C2360/45—Turbo-molecular pumps
-
- G—PHYSICS
- G02—OPTICS
- G02F—OPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
- G02F1/00—Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
- G02F1/01—Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour
- G02F1/13—Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour based on liquid crystals, e.g. single liquid crystal display cells
- G02F1/133—Constructional arrangements; Operation of liquid crystal cells; Circuit arrangements
- G02F1/1333—Constructional arrangements; Manufacturing methods
- G02F1/1345—Conductors connecting electrodes to cell terminals
- G02F1/13454—Drivers integrated on the active matrix substrate
-
- G—PHYSICS
- G02—OPTICS
- G02F—OPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
- G02F2202/00—Materials and properties
- G02F2202/10—Materials and properties semiconductor
- G02F2202/104—Materials and properties semiconductor poly-Si
Landscapes
- Engineering & Computer Science (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Computer Hardware Design (AREA)
- Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Ceramic Engineering (AREA)
- Chemical & Material Sciences (AREA)
- General Engineering & Computer Science (AREA)
- Crystallography & Structural Chemistry (AREA)
- Mechanical Engineering (AREA)
- Materials Engineering (AREA)
- Thin Film Transistor (AREA)
- Recrystallisation Techniques (AREA)
- Formation Of Insulating Films (AREA)
- Liquid Crystal (AREA)
Abstract
【解決手段】基板上に形成された半導体膜を能動層として用いる半導体装置の製造方法であって、低圧化学気相堆積法で堆積温度が430℃未満且つ堆積速度が0.5nm/min以上の状態で、高次シランを含む原料気体を用いて非晶質半導体膜を堆積する工程と、前記非晶質半導体膜を固相にて結晶化させ結晶性半導体膜を形成する工程と、前記結晶性半導体膜の一部を溶融させる工程と、を有する。
【選択図】図2
Description
LCDの表示画面の拡大化や低価格化を進める場合にはこのように絶縁基板として安価な通常ガラスを使用するのが必要不可欠である。
本発明の薄膜半導体装置は、前記酸化硅素膜の膜厚が100nmから500nmの間にあり、前記窒化硅素膜の膜厚が50nmから500nmの間にあることを特徴とする
本発明の薄膜半導体装置は、少なくとも基板表面の一部に絶縁性物質である下地保護膜が設けられた基板と、該基板の下地保護膜上に形成された半導体膜とゲート絶縁膜とゲート電極とを有する電界効果トランジスタと、該電界効果トランジスタの配線間の電気的絶縁性をとる層間絶縁膜と、を有する薄膜半導体装置に於いて、前記下地保護膜の膜厚と前記ゲート絶縁膜の膜厚と前記層間絶縁膜の膜厚との和が2μm以下であることを特徴とする。
本発明の薄膜半導体装置の製造方法は、前記希ガス族元素がアルゴン(Ar)であることを特徴とする。
本発明の薄膜半導体装置の製造方法は、前記半導体膜の構成元素を含有する化学物質がシラン(SiH4、Si2H6,Si3H8)であることを特徴とする。
図1(a)〜(d)は、MIS型電界効果トランジスタを形成する薄膜半導体装置の製造工程を断面で示した概略図である。この図を用いて低温プロセスpoly−Si TFTの製造方法の概略を述べた後に本願発明に関する詳細を各工程毎に説明する。
本発明では基板101の一例として汎用無アルカリガラスを用いる。まず基板101上に常圧化学気相堆積法(APCVD法)やPECVD法或いはスパッター法などで絶縁性物質である下地保護膜102を形成する。次に後に薄膜半導体装置の能動層となる真性シリコン膜等の半導体膜を堆積する。半導体膜はLPCVD法やPECVD法、APCVD法等の化学気相堆積法(CVD法)、或いはスパッター法、蒸着法等の物理気相堆積法(PVD法)によって形成される。こうして得られた半導体膜にレーザー光等の光学エネルギー又は電磁波エネルギーを短時間照射して結晶化を進める。最初に堆積した半導体膜が非晶質であったり、非晶質と微結晶が混在する混晶質であれば、この工程は結晶化と呼ばれる。一方、最初に堆積した半導体膜が多結晶質であれば、この工程は再結晶化と呼ばれる。本明細書では特に断らない限り両者をまとめて単に結晶化と称する。レーザー光等のエネルキー強度が高ければ、結晶化の際に半導体膜は一度熔融し冷却固化過程を経て結晶化する。これを本願では熔融結晶化法と称する。これに対し半導体膜の結晶化を熔融せずに固相にて進める方法を固相成長法(SPC法)と称する。
絶縁膜形成に当たり様々な製造方法が考えられるが、絶縁膜形成温度は350℃以下が好ましい。これはMOS界面の熱劣化やゲート絶縁膜の熱劣化を防ぐために重要である。同じことは以下の総ての工程に対しても適用される。ゲート絶縁膜形成後の総ての工程温度は350℃以下に押さえられねばならない。こうすることにより高性能な薄膜半導体装置を容易に、かつ安定的に製造できるからである。
まず本発明が適用される基板と下地保護膜について説明する。本発明を適用し得る基板としては金属等の導電性物質、シリコン・カーバイト(SiC)やアルミナ(Al2O3)、窒化アルミニウム(AlN)等のセラミック材料、溶融石英やガラス等の透明絶縁性物質、シリコンウエハーなどの半導体基板及びそれらを加工したLSI、サファイア(三方晶系Al2O3結晶)などの結晶性絶縁物質等が用いられる。廉価な汎用ガラス基板としてはコーニングジャパン株式会社製#7059ガラスや#1737ガラス、或いは日本電気硝子株式会社製OA−2ガラス、(株)NHテクノグラス製NA35ガラス等が使用され得る。半導体膜は基板の種類に拘りなく、少なくとも基板の表面の一部が絶縁性物質で構成され、その絶縁性物質上に堆積される。この絶縁性物質を本願では下地保護膜と称する。例えば基板として溶融石英基板を用いたときは基板自身が絶縁性物質であるから、溶融石英基板土に直接半導体膜を堆積してもよい。或いは酸化硅素膜(SiOx:0<x≦2)や窒化硅素膜(Si3Nx:0<x≦4)などの絶縁性物質を溶融石英基板上に下地保護膜として形成した後に半導体膜を堆積してもよい。
また、金属材料を基板として用いるときには絶縁性を確保するために下地保護膜は必要不可欠である。さらに半導体基板やLSI素子ではトランジスタ間や配線間の層間絶縁膜等が下地保護膜の役割を担っている。基板の大きさや形状にはそれが製造工程中の熱環境に対して伸縮や歪み等の変形が生じない限り全く何の制限も加えられない。すなわち直径3インチ(76.2mm)程度の円板から560mm×720mm程度以上の長方形基板に至るまで任意である。
その際、清浄なMOS界面を得るためにゲート絶縁膜形成直前に半導体膜表面の自然酸化膜を一度除去してからゲート酸化膜を形成する。この自然酸化膜除去工程では半導体膜表面の自然酸化膜のみならず、半導体膜が存在せず下地保護膜が露出している酸化硅素膜表面も矢張り一部除去されてしまう。自然酸化膜除去工程後も下地保護膜が正しく機能するためには、少なくとも下地保護膜を成す酸化硅素膜の膜厚は100nm以上が必要となる。すなわち酸化硅素膜の最小膜厚は100nm程度で、窒化硅素膜の最小膜厚は50nm程度である。50nm以下の薄膜では膜が膜としてつながらず、所々に空隙ができることがある。
本発明では半導体膜を何らかの基板の上に堆積する。これは以下総ての発明に共通している。本発明が適用される半導体膜の種類としてはシリコン(Si)やゲルマニウム(Ge)などの単体の半導体膜の他にシリコン・ゲルマニウム(SixGe1-x:0<x<1)やシリコン・カーバイト(SixC1-x:0<x<1)やゲルマニウム・カーバイト(GexC1-x:0<x<1)等の四族元素複合体の半導体膜やガリウム・ヒ素(GaAs)、インジウム・アンチモン(InSd)等の三族元素と五族元素の複合体化合物半導体膜、又はカドミウム・セレン(CdSe)等の二族元素と六族元素の複合体化合物半導体膜も可能である。或いは、シリコン・ゲルマニウム・ガリウム・ヒ素(SixGeyGazAsz:x+y+z=1)と言った更なる複合化合物半導体膜やこれらの半導体膜にリン(P)、ヒ素(As)、アンチモン(Sb)等のドナー元素を添加したN型半導体膜、或いはホウ素(B)、アルミニウム(Al)、ガリウム(Ga)、インジウム(In)等のアクセプター元素を添加したP型半導体膜にも本発明は適用可能である。
本願発明で半導体膜をLPCVD法で堆積する場合のLPCVD装置の概要を説明する。LPCVD装置は縦型炉であっても横型炉であっても構わない。一般には成膜室は石英などからできており、その成膜室の中央部付近に基板を設置する。成膜室の外側には複数のゾーンに分かれたヒーターが設置されており、それらを独立に調整することで反応室中央部付近に所望の温度で均熱帯を形成する。
LPCVD法では原料気体の熱分解を利用して基板上に半導体膜を堆積する。
これに対して全く同じ堆積条件で同じサイズの基板を5mm間隔でLPCVD装置内に設置したときのばらつきは8.9%となった。後述するように半導体膜厚は薄膜半導体装置の性能に対して強い影響を及ぼすが、ばらつきがおよそ5%以内であれば、性能の差異は殆ど問題にならない。同様に360mm×465mmの基板を10mm間隔でLPCVD装置内に設置したときのばらつきが4.2%であったのに対し、7.5mm間隔のときは10.1%であった。(1)式に従えば、360mm×465mmの基板に対しては基板間隔dは8.2mm以上とすべきであるが、事実はこれを忠実に支持している。このように例えば均熱帯の幅が約120cmで設置基板の間隔を10mmとすれば、処理基板の上下又は前後のダミー空間を考慮しても1バッチで100枚の基板処理が可能である。次項にて述べられる本願発明の成膜方法を利用すると、1バッチ当たりの処理時間は3時間程度となる。それ故、基板一枚当たりの処理時間(本願ではこれをタクト時間と称する)は1分48秒となり、LPCVD装置のメンテナンスなどの停止期間を加味してもタクト時間が2分程度となる。すなわち斯様な高生産性をして均一性のよい薄膜半導体装置が製造されるのである。
(2−4、本発明によるLPCVD法での半導体膜堆積)
前項で説明したように、汎用大型ガラス基板を使用するに当たり、堆積温度はできる限り低い方が好ましい。しかしながら堆積温度の低下は同時に堆積速度の低下をも意味している。堆積速度が遅くなると成膜に費やす時間が長くなり、生産性を落とすのは無論であるが、それ以外にも薄膜半導体装置の性能にも悪影響を及ぼす。
Rが2.27×10-3よりも大きくなると堆積速度は略飽和し、表面反応速度が潜在的表面反応速度におよそ一致する。前述のように同じ温度と圧力であれば堆積速度が速い方が、生産性の視点からも半導体膜質の視点からも望ましい。堆積速度が大きければ核の発生速度に対してその成長速度が大きくなるがため、結晶化工程後の結晶粒も大きくなることと、脱ガス等の不純物ガスの半導体膜中への取り込み量が減ることの二点により半導体膜質は改善される。これら二点はこの半導体膜を薄膜半導体装置の能動層として用いたとき、其々移動度が大きくなることと閾値電圧が低くなることを意味している。さらに不純物の取り込みが少ないことはpoly−Si TFTのオフ電流を低く抑えることにも結び付く。
ここでpoly−Si TFT型薄膜半導体装置のチャンネル膜厚を構成する能動層半導体膜厚とトランジスタ特性の関連を述べる。一般に薄膜半導体装置ではチャンネルとなる半導体膜の最適膜厚はその形成方法に強く依存する。これは半導体膜の膜質がその膜厚に応じて大きく変化するからである。例えばSOS(Silicon On Sapphire)やSOI(Silicon On Insulator)のように原則として半導体膜質がその膜厚に依存しない系であれば、半導体膜は薄い程トランジスタの特性は良くなる。(ここでこの原理を動作理論に基づく薄膜効果と呼ぶ。)これは薄い半導体膜では空乏層が逸速く全半導体膜厚に広がり、半導体膜表面に反転層がすぐに形成されるが故である(閾値電圧Vthが小さくなる)。
結局先の動作理論に基づく薄膜効果は薄膜劣化と競争過程にある訳である。薄膜化しても差程大きく膜質が変化しなければ(薄膜劣化が小さければ)、動作理論に基づく薄膜効果が効いてトランジスタ特性は薄膜程良くなる。逆に薄膜化で著しく膜質が悪化すれば(薄膜劣化が大きければ)、動作理論に基づく薄膜効果はキャンセルされ、薄膜化に伴い特性は悪化する。すなわち膜質の膜厚依存性の大小により薄膜化した際のトランジスタ特性は良くもなり悪くもなる訳である。この膜質の膜厚依存性はその膜の形成方法によって異なるし、また、その膜厚によっても異なる。従って半導体膜の最適膜厚は薄膜半導体装置の製造方法により全く異り、其々の製造方法に応じてその最適値が求められねばならない。
ここでは上述した本願発明の低温プロセス薄膜半導体装置のうち、半導体膜が堆積温度450℃未満、理想的には430℃程度以下のLPCVD法にて成膜された後に結晶化されて作成されたpoly−Si TFTの最適半導体膜厚に就いて説明する。LPCVD法で450℃未満、或いは430℃以下で膜が膜としてつながるのは膜厚が10nm程度以上となったときである。膜がつながっておらず島状に浮いていると熔融結晶化にしろ、固相成長法にしろ、結晶化後も矢張り膜がつながらないので、半導体のオン特性は非常に悪い。いわば薄膜劣化が動作理論に基付く薄膜効果に対して圧倒的に勝っている。従ってLPCVD−結晶化膜の最低膜厚は10nm程度である。膜厚が20nm程度以上になると熔融結晶化膜のトランジスタ特性が良くなり始める。
本発明による薄膜半導体装置の半導体膜をPECVD法にて形成する方法を説明する。ここで使用したPECVD装置は容量結合型でプラズマは工業用rf波(13.56MHz)を用いて二枚の平行平板電極間に発生させる。二枚の平行平板電極のうちの下部平行平板電極は接地電位にあり、この電極上に半導体膜を堆積すべき基板が置かれる。上部平行平板電極にはrf波が供給される。また、上部平行平板電極には多数のガス導入口が開いており、この電極面より原料気体が一様な層流となって成膜室内へと供給される。成膜時の圧力は0.1torr程度から5torr程度で平行平板電極間距離は10mm程度から50mm程度の間で可変である。
本願発明の薄膜半導体装置は上ゲート構造のpoly−Si TFTに対して最も有効であり、この薄膜半導体装置はゲート絶縁膜形成以後の総ての工程を350℃程度以下の温度にて製造される。従って半導体膜形成工程を350℃程度以下の温度で行うことができれば全製造工程が350℃程度以下となる。現在LCD用の汎用ガラス基板の厚みは1.1mmであるが、これが0.7mmとなればガラス基板が安価と化すに留まらず、基板の重量も小さくなるためLCDを携帯するにも製造するにも多大なる便益性が生ずる。
ここでは上述した本願発明の低温プロセス薄膜半導体装置のうち、半導体膜が堆積温度350℃程度以下のPECVD法にて成膜された後に結晶化されて作成されたpoly−Si TFTの最適半導体膜厚に就いて説明する。PECVD法に於いてもLPCVD法と同様に膜が膜としてつながるのは膜厚が10nm程度以上となったときである。しかしながらPECVD法で得られる半導体膜の密度はLPCVD法で得られる膜密度の85%程度から95%程度である。それ故PECVD法による10nmの半導体膜を結晶化させると、その膜厚は結晶化後には9nm程度に減少する。従ってPECVD−結晶化膜の最低膜厚は9nm程度である。
本願発明では半導体膜の結晶化が終了した後にCVD法やPVD法などでゲート絶縁膜を形成する。ゲート絶縁膜は如何なる手段で形成されようとも、絶縁膜形成温度は350℃程度以下が好ましい。これはMOS界面やゲート絶縁膜の熱劣化を防ぐために重要である。同じことはこれ以後の総ての工程に対しても適用される。ゲート絶縁膜形成後の総ての工程温度は350℃程度以下に押さえられねばならない。一般にCVD法やPVD法で形成された絶縁膜はその膜内に多量の未反応対を持ち、その構造も不安定である。本願発明ではこうした未反応対を酸素プラズマ照射で終端化している。
さて、こうした熱劣化は当然のことながら下地保護膜にも及ぶ。(2−1)項で説明したように下地保護膜の熱劣化は薄膜半導体装置の特性劣化に結び付く。無論それはゲート絶縁膜程敏感ではないが、それでも無視し得ない影響を及ぼす。それ故、薄膜半導体装置を最良とするのは理論的に半導体膜堆積工程をも含めた全工程が350℃程度以下の温度で行われることである。こうすることにより、下地保護膜の熱劣化もゲート絶縁膜の熱劣化も共に回避されるからである。半導体膜を350℃程度以下で形成する工程はPECVD法やスパッター法で行われる。
まず図2を用いて本発明に用いたVHS−プラズマ化学気相堆積装置(VHS−PECVD装置)の概略構成を説明する。PECVD装置は容量結合型でプラズマは144MHzのVHS波電源を用いて平行平板電極間に発生させる。図2上図は反応室付近を上部より見た概略図で、図中のA−A’の断面図が図2下図である。反応室201は反応容器202により外気から隔絶され、成膜中でおよそ5mtorrから5torr程度の減圧状態とされる。反応容器202内には下部平板電極203と上部平板電極204が互いに平行に設置されており、これら二枚の電極が平行平板電極を形成する。
本願発明の特徴の一つはVHS−PECVD法やマイクロ波PECVD法にて堆積直後の膜(As−deposited膜)を多結晶状態にしている点にある。通常PECVD法でAs−deposited膜を多結晶とするのは非常に困難である。これは基板温度が400℃程度未満と低いため、シラン等の原料物質の成長膜表面での移動度が減り、原料物質の非晶質状態に対する多結晶状態への選択性が失われるためである。本願発明はPECVD法に於けるこの欠点を希ガス族元素による原料物質の希釈との方法と、電子温度を高くし得るVHSプラズマやマイクロ波プラズマの採用とで除去している。As−deposited状態で多結晶膜を成膜するには原料物質のラジカルやイオンを作らずに、ヘリウム(He)やネオン(Ne)、アルゴン(Ar)など希ガス族元素のラジカルやイオンを作り、これらによりエネルキーを基板表面に運ぶ必要がある。原料物質のラジカルやイオンは気相反応を引き起こしたり、或いは原料物質が基板表面に到着した瞬間に反応したりするため、選択性の喪失が生じて多結晶成長を阻害してしまう。それ故こうしたラジカルやイオンのプラズマ中での生成は極力避けられねばならない。
VHS−PECVD法やマイクロ波PECVD法にて堆積直後の膜(As−deposited膜)を多結晶状態にする場合、膜厚が0から500Å程度では膜質は通常の結晶化膜に比較すると非常に悪い。小さな結晶粒が非晶質の海の中に島状に点在するような状態にあり、結晶化度もきわめて低く、かつ欠陥も非常に多い。500Åから1000Åでは結晶粒の非晶質に対する割合が増大し、膜厚が1000Å程度から1500Å程度で半導体表面が一応結晶粒で被われ、表面での非晶質成分は略消失する。1500Åから2000Å程度では結晶粒のサイズが膜厚と共に徐々に大きくなり、2000Å以上となると略同じ形状で膜は成長する。こうした膜質の膜厚に対する変化に応じてトランジスタ特性の膜厚依存性も変化する。2000Å以上では膜質は殆ど変化しないため(薄膜劣化が殆どないため)、動作理論に基づく薄膜効果が働き、膜は薄い程トランジスタ特性は良くなる。
図面の簡単な説明図1(a)〜(d)は本発明の一実施例を示す薄膜半導体装置製造の各工程に於ける素子断面図である。図2は、本発明に用いたPECVD装置を示す図である。図3は、本発明によるLPCVD装置の成膜室とその内部を示す図である。図4は、熱環境による基板の反りを説明した図である。図5は、本願発明の効果を説明した図である。
発明を実施するための最良の形態添付の図面を参照しながら本発明をより詳細に説明する。
図1(a)〜(d)はMIS型電界効果トランジスタを形成する薄膜半導体装置の製造工程を断面で示した図である。
本実施例1では基板101として235mm□の無アルカリガラス(日本電気硝子社OA−2)を用いたが、工程最高温度に耐え得る基板であるならば、基板の種類や大きさは無論問われない。まず基板101上に常圧化学気相堆積法(APCVD法)やPECVD法或いはスパッター法などで下地保護膜となる二酸化珪素膜(SiO2膜)102を形成する。APCVD法では基板温度250℃から450℃程度でモノシラン(SiH4)や酸素を原料としてSiO2膜を堆積できる。PECVD法やスパッター法では基板温度を室温から400℃とすることができる。本実施例1ではAPCVD法でSiH4とO2を原料ガスとして300℃で2000ÅのSiO2膜を堆積した。
その後挿入温度の250℃から堆積温度の425℃まで一時間費やして昇温した。昇温の最初の10分間は反応室にガスを全く導入せず、真空中で昇温した。昇温開始後10分後の反応室到達最低背景圧力は5.2×10-7torrであった。また、残り50分間の昇温期間には純度99.9999%以上の窒素ガスを300sccm流し続けた。この時の反応室内平衡圧力は3.0×10-3torrであった。堆積温度到達後、原料ガスであるSi2H6を200sccmと純度99.9999%以上の希釈用ヘリウム(He)を1000sccm流し、シリコン膜を58分間堆積した。Si2H6等のガスを反応室に導入した直後の圧力は767mtorrであり、これら原料ガス等を導入してから57分後の圧力は951mtorrであった。こうして得られたシリコン膜の膜厚は501Åであり、基板の周辺部7mmを除いた221mm□の正方形領域内での膜厚変動は±5Å未満であった。本実施例1では斯様にLPCVD法にてシリコン膜を形成したが、形成方法はこれに限らず、PECVD法やスパッター法によってもよい。PECVD法やスパッター法ではシリコン膜形成温度を室温から350℃程度とすることが可能である。
(図1(a))
その後ECR−PECVD法やPECVD法などでゲート絶縁膜104を形成する。本実施例1ではゲート絶縁膜としてSiO2膜を用い、PECVD法で1200Åの膜厚に堆積した。(図1(b))基板をPECVD装置に設置する直前には、基板を1.67%のフッ化水素酸水溶液に20秒間浸して半導体膜表面の自然酸化膜を取り除いた。酸化膜除去から基板をPECVD装置のロードロック室に入れるまでの時間は約15分程度であった。この時間はできる限り短いことがMOS界面清浄化の視点より望まれ、最長でも30分程度以内が好ましい。
本願発明の別の実施例を矢張り図1(a)〜(d)を用いて説明する。
本実施例2では基板101として300mm×300mmの無アルカリガラス(日本電気硝子社OA−2)と300mm×300mmの結晶化ガラス((株)オハラTRC−5)を用いた。OA−2の歪点は650℃程度であり、TRC−5は結晶化ガラスであるため歪点は定義できないが、700℃程度までの温度ならば全く基板の変形や歪みは認められないため、実質的な歪点は700℃程度以上と言える。まず基板101上にPECVD法で下地保護膜となる酸化硅素膜102を形成した。酸化硅素膜の形成条件は実施例1のゲート絶縁膜形成条件と同一である。酸化硅素膜の膜厚は300nmであり、その表面粗さは中心線平均粗さで0.98nmである。実施例1のゲート絶縁膜と同様に酸化膜形成の直前と直後に酸素プラズマを其々15秒間照射した。
実施例1に詳述した方法にてpoly−Si膜を形成した後、このpoly−Si膜をパターニングせずに実施例1に詳述したゲート絶縁膜に相当するSiO2膜を堆積し、さらに実施例1に詳述したイオン・ドーピング法にてpoly−Si膜にPH3等の不純物イオンを注入した。poly−Si膜やSiO2膜の膜厚及び成膜条件は実施例1と全く同一である。不純物イオン注入条件も注入量を3×1013cm-2とした他は実施例1のイオン注入と同じである。本実施例3は実施例1にて説明したTFTでLDD領域を作成していることに相当している。
本実施例4では13.56MHzのrf波を用いたPECVD法で下地保護膜と半導体膜を連続成膜し、その後結晶化を施して薄膜半導体装置を作成する。
このようにして試作した薄膜半導体装置のトランジスタ特性を測定したところ、95%の信頼係数でオン電流はION=(19.6+1.54、−1.49)×10-6Aであり、オフ電流はIOFF=(7.23+2.76、−2.72)×10-13Aであった。また、有効電子移動度はμ=36.83±2.35cm2/v.secであった。測定条件は実施例1に準じている。
次に(2−11)項で説明して来たPECVD装置を用いて、レーザー照射等の結晶化を必要としない結晶性半導体膜の350℃程度以下の低温堆積方法及びそれを用いた薄膜半導体装置の製造方法とその特徴を詳述する。基板は(2−1)の項で述べた方法で準備される。半導体膜及び原料ガスは(2−2)の項で述べた物が総て適用可能だが、ここでは一例としてシリコン膜を取り上げ、原料気体としてはモノシラン(SiH4)を用いる。本実施例5では基板101として360mm×465mm×1.1mmの無アルカリガラス(日本電気硝子社OA−2)を用い、下地保護膜はAPCVD法でSiH4とO2を原料ガスとして2000ÅのSiO2膜を堆積した。基板温度は300℃であった。
次に薄膜半導体装置の能動層と化す真性シリコン膜を750Å程度堆積した。
ゲート絶縁膜形成工程や注入イオンの活性化及び層間絶縁膜の焼き締めの熱処理工程の温度も高くとも350℃以下でなければならない。換言すれば(2−10)で詳述したように、半導体膜形成という第1工程以後の工程最高温度が350℃以下であることが優良な薄膜半導体装置を大面積に均一、かつ安定的に製造する上で必要不可欠となる。
次にマイクロ波PECVD装置を用いて、レーザー照射等の結晶化を必要としない結晶性半導体膜の350℃程度以下の低温堆積方法及びそれを用いた薄膜半導体装置の製造方法とその特徴を詳述する。基板は(2−1)項で述べた方法で準備される。半導体膜及び原料ガスは(2−2)項で述べた物が総て適用可能だが、ここでは一例としてシリコン膜を取り上げ、原料気体としてはモノシラン(SiH4)を用いる。
本実施例ではVHS−PECVD法で得られた半導体膜にレーザー照射を施して熔融結晶化を行い、薄膜半導体装置を作成する。製造プロセスは実施例5に半導体膜が堆積された直後にレーザー照射の工程を加えた物となる。また、レーザー照射方法は実施例1に示したレーザー照射方法で、第1回目のレーザー照射エネルギー密度を130mJ/cm2に、第2回目のレーザー照射エネルギー密度を240mJ/cm2と変更した物である。
本実施例ではマイクロ波−PECVD法で得られた半導体膜にレーザー照射を施して熔融結晶化を行い、薄膜半導体装置を作成する。製造プロセスは実施例6に半導体膜が堆積された直後にレーザー照射の工程を加えた物となる。また、レーザー照射方法は実施例1に示したレーザー照射方法で、第1回目のレーザー照射エネルギー密度を150mJ/cm2に、第2回目のレーザー照射エネルギー密度を270mJ/cm2と変更した物である。
上記した実施例で得られた各種薄膜半導体装置を画素用TFTと駆動回路用TFTとして用いたアクティブマトリクス基板を製造した。得られたアクティブマトリクス基板を基板の一方に用いた液晶パネルを製造した。得られた液晶パネルを外部の周辺駆動回路やバックライトユニットとともに液晶表示装置のモジュールを製造したところ、TFT自体の性能が高品質であり、またその製造工程も安定しているため、表示品質の高い液晶表示装置を安定的に低コストで製造することができた。また、TFTの性能が極めて高く、必要な駆動回路をアクティブマトリクス基板上に形成できるため(ドライバ内蔵)、外部の周辺駆動回路との実装構造が単純化され、小型軽量な液晶表示装置とすることができた。
産業上の利用可能性以上のように、本発明の薄膜半導体装置の製造方法によると、安価なガラス基板の使用が可能である低温プロセスを用いて高性能な薄膜半導体装置を製造することができる。従って、本発明をアクティブ・マトリックス液晶表示装置の製造に適用した場合には、大型で高品質な液晶表示装置を容易にかつ安定的に製造することができる。また、他の電子回路の製造に適用した場合にも高品質な電子回路を容易にかつ安定的に製造することができる。
また、本発明の電子機器は、安価でかつ高性能であるため、一般に広く受け入れられるであろう。
Claims (64)
- 少なくとも基板表面の一部に絶縁性物質である下地保護膜が設けられた基板と、該基板の下地保護膜上に形成されトランジスタの能動層をなしている半導体膜と、を有する薄膜半導体装置に於いて、前記下地保護膜はその表面粗さが中心線平均粗さで3.0nm以下であることを特徴とする薄膜半導体装置。
- 前記下地保護膜はその表面粗さが中心線平均粗さで1.5nm以下であることを特徴とする請求の範囲第1項に記載の薄膜半導体装置。
- 少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、表面粗さが中心線平均粗さで1.5nm以下である下地保護膜上に半導体膜を成膜する第1の工程と、該半導体膜を熔融結晶化させる第2工程と、を有する工程を有することを特徴とする薄膜半導体装置の製造方法。
- 少なくとも基板表面の一部に絶縁性物質である下地保護膜が設けられた基板と、該基板の下地保護膜上に形成されトランジスタの能動層をなしている半導体膜と、を有する薄膜半導体装置に於いて、前記下地保護膜は少なくとも二種類の異なった膜が積層された積層膜であり、該二種類の異なった膜のうち最上層をなす膜が酸化硅素(SiOx、0<x≦2)膜であることを特徴とする薄膜半導体装置。
- 前記二種類の異なった膜のうち下層をなす膜が窒化硅素(Si3Nx、0<x≦4)膜であることを特徴とする請求の範囲第4項に記載の薄膜半導体装置。
- 前記酸化硅素膜の膜厚が100nmから500nmの間にあり、前記窒化硅素膜の膜厚が50nmから500nmの間にあることを特徴とする請求の範囲第5項に記載の薄膜半導体装置。
- 少なくとも基板表面の一部に絶縁性物質である下地保護膜が設けられた基板と、該基板の下地保護膜上に形成された半導体膜とゲート絶縁膜とゲート電極とを有する電界効果トランジスタと、該電界効果トランジスタの配線間の電気的絶縁性をとる層間絶縁膜と、を有する薄膜半導体装置に於いて、前記下地保護膜の膜厚と前記ゲート絶縁膜の膜厚と前記層間絶縁膜の膜厚との和が2μm以下であることを特徴とする薄膜半導体装置。
- 少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、一台のPECVD装置により該下地保護膜と該半導体膜とを連続的に成膜する成膜工程であって、該PECVD装置の成膜室内に付着したる薄膜を取り除く第1工程と、該成膜室にパッシベーション膜を成膜する第2工程と、該成膜室内に基板を設置する第3工程と、該基板上に下地保護膜を成膜する第4工程と、該下地保護膜上に半導体膜を成膜する第5工程と、該成膜室内から該基板を取り出す第6工程と、を有する成膜工程を有することを特徴とする薄膜半導体装置の製造方法。
- 基板面積(S)が90000mm2以上である基板の少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、複数の基板をLPCVD装置の成膜室内に設置して該半導体膜をLPCVD法により成膜する際、LPCVD装置成膜室内の基板間隔を(d(mm))としたとき、d≧0.02×S1/2の関係式を満たす条件下にて半導体膜を成膜する工程を有することを特徴とする薄膜半導体装置の製造方法。
- 少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜上にシリコンを含有する半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、高次シラン(SinH2n+2:nは2以上の整数)を原料気体としてLPCVD法により該半導体膜を成膜し、単位面積当たりの高次シラン流量(R)が1.13×10-3sccm/cm2以上の条件下にて半導体膜を成膜する工程を有することを特徴とする薄膜半導体装置の製造方法。
- Rが2.27×10-3sccm/cm2以上の条件下にて半導体膜を成膜する工程を有することを特徴とする請求の範囲第10項に記載の薄膜半導体装置の製造方法。
- 少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜上にシリコンを含有する半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、堆積温度が450℃未満で高次シラン(SinH2n+2:nは2以上の整数)を原料気体の少なくとも一種として使用するLPCVD法により前記半導体膜を成膜し、その際に半導体膜の堆積速度(DR)が0.20nm/min以上の条件下にて半導体膜を成膜する工程を有することを特徴とする薄膜半導体装置の製造方法。
- DRが0.60nm/min以上の条件下にて半導体膜を成膜する工程を有することを特徴とする請求の範囲第12項に記載の薄膜半導体装置の製造方法。
- 少なくとも基板表面の一部に絶縁性物質である下地保護膜が設けられた基板と、該基板の下地保護膜上に形成されトランジスタの能動層をなしている半導体膜と、を有する薄膜半導体装置に於いて、前記半導体膜は堆積温度が450℃未満のLPCVD法にて成膜された後に結晶化されることにより形成された半導体膜であって、膜厚が10nm以上140nm以下である半導体膜であることを特徴とする薄膜半導体装置。
- 少なくともガラス基板表面に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、ホット・ウォール型縦型LPCVD装置により前記半導体膜を成膜し、その際に該ホット・ウオール型縦型LPCVD装置内に異なった歪点を有する少なくとも二種類以上の複数のガラス基板を二枚一組として裏面同士を合わせて略水平に設置し、該二枚一組のガラス基板のうち歪点の大きい方のガラス基板を下側とした状態にて半導体膜を堆積する成膜工程を有することを特徴とする薄膜半導体装置の製造方法。
- 少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、PECVD装置により前記半導体膜を成膜し、その際、下地保護膜に酸素プラズマを照射する第1工程と、真空を破ることなく連続して該下地保護膜上に半導体膜を成膜する第2工程と、を有する成膜工程を有することを特徴とする薄膜半導体装置の製造方法。
- 前記第1工程と前記第2工程との間に成膜室の真空引きを行うことを特徴とする請求の範囲第16項に記載の薄膜半導体装置の製造方法。
- 少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、PECVD装置により前記半導体膜を成膜し、その際、下地保護膜に水素プラズマを照射する第1工程と、真空を破ることなく連続して該下地保護膜上に半導体膜を成膜する第2工程と、を有する成膜工程を有することを特徴とする薄膜半導体装置の製造方法。
- 少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、PECVD装置により該半導体膜を成膜し、その際、下地保護膜に酸素プラズマを照射する第1工程と、真空を破ることなく連続して下地保護膜に水素プラズマを照射する第2工程と、さらに真空を破ることなく連続して該下地保護膜上に半導体膜を成膜する第3工程と、を有する成膜工程を有することを特徴とする薄膜半導体装置の製造方法。
- 前記第1工程と前記第2工程との間に成膜室の真空引きを行うことを特徴とする請求の範囲第19項に記載の薄膜半導体装置の製造方法。
- 少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜土に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、PECVD装置により前記半導体膜を成膜し、その際、該下地保護膜土に半導体膜を成膜する第1工程と、真空を破ることなく連続して該半導体膜に水素プラズマを照射する第2工程と、を有する成膜工程を有することを特徴とする薄膜半導体装置の製造方法。
- 少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜土に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、PECVD装置により前記半導体膜を成膜し、その際、該下地保護膜上に半導体膜を成膜する第1工程と、真空を破ることなく連続して該半導体膜に酸素プラズマを照射する第2工程と、を有する成膜工程を有することを特徴とする薄膜半導体装置の製造方法。
- 少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、PECVD装置により前記半導体膜を成膜し、その際、該下地保護膜上に半導体膜を成膜する第1工程と、真空を破ることなく連続して該半導体膜に水素プラズマを照射する第2工程と、さらに真空を破ることなく連続して該半導体膜に酸素プラズマを照射する第3工程と、を有する成膜工程を有することを特徴とする薄膜半導体装置の製造方法。
- 少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、下地保護膜上に半導体膜を成膜する第1工程と、該半導体膜膜表面から酸化膜を除去する第2工程と、酸化膜除去後直ちに該半導体膜を熔融結晶化させる第3工程と、を有することを特徴とする薄膜半導体装置の製造方法。
- 少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、PECVD法により堆積速度が0.1nm/s程度以上の条件下にて混晶質の半導体膜を成膜する第1工程と、該半導体膜を熔融結晶化させる第2工程と、を有することを特徴とする薄膜半導体装置の製造方法。
- 前記第1工程が堆積速度が3.7nm/s程度以上の条件下にて混晶質の半導体膜を成膜する工程であることを特徴とする請求の範囲第25項に記載の薄膜半導体装置の製造方法。
- 少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、該半導体膜の構成元素を含有する化学物質と不活性気体を原料気体とし、不活性気体のガスの流量に対する半導体膜の構成元素を含有する化学物質のガスの流量の流量比を1/33未満とした条件下にてPECVD法により混晶質の半導体膜を成膜する第1工程と、該半導体膜を熔融結晶化させる第2工程と、を有することを特徴とする薄膜半導体装置の製造方法。
- 前記第1工程が前記流量比を1/124から40.67/1の間とした条件下にてPECVD法により混晶質の半導体膜を成膜する工程であることを特徴とする請求の範囲第27項に記載の薄膜半導体装置の製造方法。
- 少なくとも基板表面の一部に絶縁性物質である下地保護膜が設けられた基板と、該基板の下地保護膜上に形成されトランジスタの能動層をなしている半導体膜と、を有する薄膜半導体装置に於いて、前記半導体膜はPECVD法にて成膜された後に結晶化されることにより形成された半導体膜であって、膜厚が9nm以上135nm以下の半導体膜であることを特徴とする薄膜半導体装置。
- 少なくとも表面の一部が絶縁性物質である基板の該絶縁性物質上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、減圧化学気相堆積法(LPCVD法)により堆積温度450℃未満の温度で半導体膜を堆積する第1工程と、該半導体膜に光学エネルギー又は電磁波エネルギー照射を施す第2工程と、を有し、かつ、該第2工程の終了以降の工程最高温度が350℃以下であることを特徴とする薄膜半導体装置の製造方法。
- 前記第1工程が堆積温度430℃以下の温度で半導体膜を堆積する工程であることを特徴とする請求の範囲第30項に記載の薄膜半導体装置の製造方法。
- 少なくとも表面の一部が絶縁性物質である基板の該絶縁性物質上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、堆積温度350℃以下で半導体膜を形成する第1工程と、該半導体膜に光学エネルキー又は電磁波エネルギー照射を施す第2工程と、を有し、かつ、該第2工程の終了以降の工程最高温度が350℃以下であることを特徴とする薄膜半導体装置の製造方法。
- 第1工程がプラズマ化学気相堆積法(PECVD法)により行われることを特徴とする請求の範囲第32項に記載の薄膜半導体装置の製造方法。
- 第1工程がスパッター法により行われることを特徴とする請求の範囲第32項に記載の薄膜半導体装置の製造方法。
- 少なくとも表面の一部が絶縁性物質である基板の該絶縁性物質上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、VHFプラズマ化学気相堆積法(VHF−PECVD法)により半導体膜を形成する第1工程を有し、かつ、該第1工程の終了以降の工程最高温度が350℃以下であることを特徴とする薄膜半導体装置の製造方法。
- 前記第1工程にて半導体膜を形成する際、該半導体膜の膜厚を20nmから150nmの間とすることを特徴とする請求の範囲第35項に記載の薄膜半導体装置の製造方法。
- 前記第1工程により半導体膜を形成する際、該半導体膜の構成元素を含有する化学物質を原料気体とし、さらに追加気体として希ガス族元素を用いることを特徴とする請求の範囲第35項又は第36項に記載の薄膜半導体装置の製造方法。
- 前記半導体膜の構成元素を含有する化学物質がシラン(SiH4、Si2H6,Si3H8)であることを特徴とする請求の範囲第37項に記載の薄膜半導体装置の製造方法。
- 前記希ガス族元素がヘリウム(He)であることを特徴とする請求の範囲第37項又は第38項に記載の薄膜半導体装置の製造方法。
- 前記希ガス族元素がネオン(Ne)であることを特徴とする請求の範囲第37項又は第38項に記載の薄膜半導体装置の製造方法。
- 前記希ガス族元素がアルゴン(Ar)であることを特徴とする請求の範囲第37項又は第38項に記載の薄膜半導体装置の製造方法。
- 少なくとも表面の一部が絶縁性物質である基板の該絶縁性物質上に結晶性半導体膜を形成し、該結晶性半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、マイクロ波プラズマ化学気相堆積法(マイクロ波−PECVD法)により結晶性半導体膜を形成する第1工程を有し、かつ、該第1工程以後の工程最高温度が350℃以下であることを特徴とする薄膜半導体装置の製造方法。
- 前記第1工程により結晶性半導体膜を形成する際、該結晶性半導体膜の膜厚を20nmから150nmの間とすることを特徴とする請求の範囲第42項に記載の薄膜半導体装置の製造方法。
- 前記第1工程にて結晶性半導体膜を形成する際、該結晶性半導体膜の構成元素を含有する化学物質を原料気体とし、さらに追加気体として希ガス族元素を用いることを特徴とする請求の範囲第42項又は第43項に記載の薄膜半導体装置の製造方法。
- 前記結晶性半導体膜の構成元素を含有する化学物質がシラン(SiH4、Si2H6,Si3H8)であることを特徴とする請求の範囲第44項に記載の薄膜半導体装置の製造方法。
- 前記希ガス族元素がヘリウム(He)であることを特徴とする請求の範囲第44項又は第45項に記載の薄膜半導体装置の製造方法。
- 前記希ガス族元素がネオン(Ne)であることを特徴とする請求の範囲第44項又は第45項に記載の薄膜半導体装置の製造方法。
- 前記希ガス族元素がアルゴン(Ar)であることを特徴とする請求の範囲第44項又は第45項に記載の薄膜半導体装置の製造方法。
- 少なくとも表面の一部が絶縁性物質である基板の該絶縁性物質土に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、VHFプラズマ化学気相堆積法(VHF−PECVD法)により半導体膜を形成する第1工程と、該半導体膜を結晶化させる第2工程とを有し、かつ、該第2工程以後の工程最高温度が350℃以下であることを特徴とする薄膜半導体装置の製造方法。
- 前記第2工程にて結晶化された半導体膜の膜厚を10nmから150nmの間とすることを特徴とする請求の範囲第49項に記載の薄膜半導体装置の製造方法。
- 前記第1工程にて半導体膜を形成する際、該半導体膜の構成元素を含有する化学物質を原料気体とし、さらに追加気体として希ガス族元素を用いることを特徴とする請求の範囲第49項又は第50項に記載の薄膜半導体装置の製造方法。
- 前記半導体膜の構成元素を含有する化学物質がシラン(SiH4、Si2H6,Si3H8)であることを特徴とする請求の範囲第51項に記載の薄膜半導体装置の製造方法。
- 前記希ガス族元素がヘリウム(He)であることを特徴とする請求の範囲第51項又は第52項に記載の薄膜半導体装置の製造方法。
- 前記希ガス族元素がネオン(Ne)であることを特徴とする請求の範囲第51項又は第52項に記載の薄膜半導体装置の製造方法。
- 前記希ガス族元素がアルゴン(Ar)であることを特徴とする請求の範囲第51項又は第52項に記載の薄膜半導体装置の製造方法。
- 少なくとも表面の一部が絶縁性物質である基板の該絶縁性物質上に結晶性半導体膜を形成し、該結晶性半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、マイクロ波プラズマ化学気相堆積法(マイクロ波−PECVD法)により半導体膜を形成する第1工程と、該半導体膜を結晶化させる第2工程と、を有しかつ、該第2工程以後の工程最高温度が350℃以下であることを特徴とする薄膜半導体装置の製造方法。
- 前記第2工程により結晶化された半導体膜の膜厚を10nmから150nmの間とすることを特徴とする請求の範囲第56項記載の薄膜半導体装置の製造方法。
- 前記第1工程にて結晶性半導体膜を形成する際、該結晶性半導体膜の構成元素を含有する化学物質を原料気体とし、さらに追加気体として希ガス族元素を用いることを特徴とする請求の範囲第56項又は第57項に記載の薄膜半導体装置の製造方法。
- 前記結晶性半導体膜の構成元素を含有する化学物質がシラン(SiH4、Si2H6,Si3H8)であることを特徴とする請求の範囲第58項記載の薄膜半導体装置の製造方法。
- 前記希ガス族元素がヘリウム(He)であることを特徴とする請求の範囲第58項又は第59項に記載の薄膜半導体装置の製造方法。
- 前記希ガス族元素がネオン(Ne)であることを特徴とする請求の範囲第58項又は請求の範囲第59項に記載の薄膜半導体装置の製造方法。
- 前記希ガス族元素がアルゴン(Ar)であることを特徴とする請求の範囲第58項又は第59項に記載の薄膜半導体装置の製造方法。
- 請求の範囲第1項、第2項、第4項、第5項、第6項、第7項、第14項及び第29項のうちいずれかの項に記載の薄膜半導体装置を備えたことを特徴とする液晶表示装置。
- 請求の範囲第63項に記載の液晶表示装置を備えたことを特徴とする電子機器。
Priority Applications (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2008257150A JP4466775B2 (ja) | 1994-06-15 | 2008-10-02 | 薄膜半導体装置の製造方法 |
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP13337494 | 1994-06-15 | ||
JP7214495 | 1995-03-29 | ||
JP2008257150A JP4466775B2 (ja) | 1994-06-15 | 2008-10-02 | 薄膜半導体装置の製造方法 |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2005031153A Division JP4258476B2 (ja) | 1994-06-15 | 2005-02-07 | 薄膜半導体装置の製造方法 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2009044171A true JP2009044171A (ja) | 2009-02-26 |
JP4466775B2 JP4466775B2 (ja) | 2010-05-26 |
Family
ID=26413272
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2008257150A Expired - Lifetime JP4466775B2 (ja) | 1994-06-15 | 2008-10-02 | 薄膜半導体装置の製造方法 |
Country Status (7)
Country | Link |
---|---|
US (4) | US5858819A (ja) |
EP (3) | EP1722403B1 (ja) |
JP (1) | JP4466775B2 (ja) |
KR (2) | KR100306527B1 (ja) |
CN (4) | CN1052116C (ja) |
DE (1) | DE69531654T2 (ja) |
WO (1) | WO1995034916A1 (ja) |
Cited By (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN102024688A (zh) * | 2009-09-11 | 2011-04-20 | 株式会社日立国际电气 | 半导体装置的制造方法以及衬底处理装置 |
WO2012017875A1 (en) * | 2010-08-06 | 2012-02-09 | Semiconductor Energy Laboratory Co., Ltd. | Manufacturing method of microcrystalline silicon film and manufacturing method of thin film transistor |
US8901556B2 (en) | 2012-04-06 | 2014-12-02 | Semiconductor Energy Laboratory Co., Ltd. | Insulating film, method for manufacturing semiconductor device, and semiconductor device |
WO2016002591A1 (ja) * | 2014-07-03 | 2016-01-07 | 東京エレクトロン株式会社 | 成膜装置 |
JP2021520630A (ja) * | 2018-04-03 | 2021-08-19 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | H2プラズマを用いた流動性膜の硬化 |
WO2022225831A1 (en) * | 2021-04-20 | 2022-10-27 | Applied Materials, Inc. | Helium-free silicon formation |
US11784272B2 (en) | 2021-04-29 | 2023-10-10 | Solaero Technologies Corp. | Multijunction solar cell |
Families Citing this family (559)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP3637069B2 (ja) * | 1993-03-12 | 2005-04-06 | 株式会社半導体エネルギー研究所 | 半導体装置の作製方法 |
JPH07131030A (ja) * | 1993-11-05 | 1995-05-19 | Sony Corp | 表示用薄膜半導体装置及びその製造方法 |
US5641974A (en) | 1995-06-06 | 1997-06-24 | Ois Optical Imaging Systems, Inc. | LCD with bus lines overlapped by pixel electrodes and photo-imageable insulating layer therebetween |
JP4026182B2 (ja) * | 1995-06-26 | 2007-12-26 | セイコーエプソン株式会社 | 半導体装置の製造方法、および電子機器の製造方法 |
JP3708554B2 (ja) * | 1995-08-04 | 2005-10-19 | セイコーエプソン株式会社 | 薄膜トランジスタの製造方法 |
FR2737806B1 (fr) * | 1995-08-11 | 1997-09-12 | Soc D Production Et De Rech Ap | Dispositif et procede de traitement de surface par laser |
JP3917205B2 (ja) * | 1995-11-30 | 2007-05-23 | 株式会社半導体エネルギー研究所 | 半導体装置の作製方法 |
US7118996B1 (en) * | 1996-05-15 | 2006-10-10 | Semiconductor Energy Laboratory Co., Ltd. | Apparatus and method for doping |
JPH1054999A (ja) * | 1996-06-04 | 1998-02-24 | Canon Inc | 表示装置とその製造法 |
TW324862B (en) * | 1996-07-03 | 1998-01-11 | Hitachi Ltd | Liquid display apparatus |
US6188452B1 (en) | 1996-07-09 | 2001-02-13 | Lg Electronics, Inc | Active matrix liquid crystal display and method of manufacturing same |
FR2751131B1 (fr) * | 1996-07-09 | 2001-11-09 | Lg Electronics Inc | Procede de fabrication d'un dispositif d'affichage a matrice active a cristal liquide et structure du dispositif d'affichage selon ce procede |
US6443165B1 (en) * | 1996-11-14 | 2002-09-03 | Tokyo Electron Limited | Method for cleaning plasma treatment device and plasma treatment system |
WO1998033362A1 (fr) * | 1997-01-29 | 1998-07-30 | Tadahiro Ohmi | Dispositif a plasma |
US5998838A (en) | 1997-03-03 | 1999-12-07 | Nec Corporation | Thin film transistor |
EP0867701A1 (en) * | 1997-03-28 | 1998-09-30 | Interuniversitair Microelektronica Centrum Vzw | Method of fabrication of an infrared radiation detector and more particularly an infrared sensitive bolometer |
US7176111B2 (en) * | 1997-03-28 | 2007-02-13 | Interuniversitair Microelektronica Centrum (Imec) | Method for depositing polycrystalline SiGe suitable for micromachining and devices obtained thereof |
JP4086932B2 (ja) | 1997-04-17 | 2008-05-14 | 株式会社半導体エネルギー研究所 | レーザー照射装置及びレーザー処理方法 |
JP3844561B2 (ja) * | 1997-06-10 | 2006-11-15 | 株式会社半導体エネルギー研究所 | 半導体装置の作製方法 |
JP4601731B2 (ja) * | 1997-08-26 | 2010-12-22 | 株式会社半導体エネルギー研究所 | 半導体装置、半導体装置を有する電子機器及び半導体装置の作製方法 |
US6346175B1 (en) * | 1997-11-20 | 2002-02-12 | International Business Machines Corporation | Modification of in-plate refractory metal texture by use of refractory metal/nitride layer |
US6214526B1 (en) * | 1998-02-17 | 2001-04-10 | Novellus Systems, Inc. | Semiconductor processing using antireflective layer having high wet etch rate |
JPH11233801A (ja) * | 1998-02-17 | 1999-08-27 | Canon Inc | 微結晶シリコン膜の形成方法、および光起電力素子 |
US6274292B1 (en) * | 1998-02-25 | 2001-08-14 | Micron Technology, Inc. | Semiconductor processing methods |
US7804115B2 (en) * | 1998-02-25 | 2010-09-28 | Micron Technology, Inc. | Semiconductor constructions having antireflective portions |
US6287436B1 (en) | 1998-02-27 | 2001-09-11 | Innovent, Inc. | Brazed honeycomb collimator |
JP4083921B2 (ja) | 1998-05-29 | 2008-04-30 | 株式会社東芝 | 半導体装置の製造方法 |
JP2000058839A (ja) * | 1998-08-05 | 2000-02-25 | Semiconductor Energy Lab Co Ltd | 半導体素子からなる半導体回路を備えた半導体装置およびその作製方法 |
US6246070B1 (en) * | 1998-08-21 | 2001-06-12 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device provided with semiconductor circuit made of semiconductor element and method of fabricating the same |
US6281100B1 (en) | 1998-09-03 | 2001-08-28 | Micron Technology, Inc. | Semiconductor processing methods |
US6268282B1 (en) | 1998-09-03 | 2001-07-31 | Micron Technology, Inc. | Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks |
JP4493741B2 (ja) * | 1998-09-04 | 2010-06-30 | 株式会社半導体エネルギー研究所 | 半導体装置の作製方法 |
US7126161B2 (en) | 1998-10-13 | 2006-10-24 | Semiconductor Energy Laboratory Co., Ltd. | Semiconductor device having El layer and sealing material |
US6828683B2 (en) | 1998-12-23 | 2004-12-07 | Micron Technology, Inc. | Semiconductor devices, and semiconductor processing methods |
US7235499B1 (en) | 1999-01-20 | 2007-06-26 | Micron Technology, Inc. | Semiconductor processing methods |
JP3399432B2 (ja) * | 1999-02-26 | 2003-04-21 | セイコーエプソン株式会社 | 電気光学装置の製造方法及び電気光学装置 |
US6303411B1 (en) | 1999-05-03 | 2001-10-16 | Vortek Industries Ltd. | Spatially resolved temperature measurement and irradiance control |
US7245018B1 (en) * | 1999-06-22 | 2007-07-17 | Semiconductor Energy Laboratory Co., Ltd. | Wiring material, semiconductor device provided with a wiring using the wiring material and method of manufacturing thereof |
US6661096B1 (en) * | 1999-06-29 | 2003-12-09 | Semiconductor Energy Laboratory Co., Ltd. | Wiring material semiconductor device provided with a wiring using the wiring material and method of manufacturing thereof |
JP3393469B2 (ja) * | 1999-07-15 | 2003-04-07 | 日本電気株式会社 | 薄膜半導体素子の製造方法及び薄膜半導体形成装置 |
US6863733B1 (en) | 1999-07-15 | 2005-03-08 | Nec Corporation | Apparatus for fabricating thin-film semiconductor device |
JP2001147446A (ja) * | 1999-11-19 | 2001-05-29 | Hitachi Ltd | 液晶表示装置とその製造方法 |
TW451447B (en) * | 1999-12-31 | 2001-08-21 | Samsung Electronics Co Ltd | Contact structures of wirings and methods for manufacturing the same, and thin film transistor array panels including the same and methods for manufacturing the same |
US6440860B1 (en) | 2000-01-18 | 2002-08-27 | Micron Technology, Inc. | Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride |
US20010053559A1 (en) * | 2000-01-25 | 2001-12-20 | Semiconductor Energy Laboratory Co., Ltd. | Method of fabricating display device |
JP2001223209A (ja) * | 2000-02-08 | 2001-08-17 | Seiko Epson Corp | 絶縁性,半導電性,および導電性薄膜の製造方法 |
WO2001061761A1 (fr) * | 2000-02-18 | 2001-08-23 | Matsushita Electric Industrial Co., Ltd. | Couche polycristalline mince et dispositif semi-conducteur |
US6528751B1 (en) | 2000-03-17 | 2003-03-04 | Applied Materials, Inc. | Plasma reactor with overhead RF electrode tuned to the plasma |
US7196283B2 (en) | 2000-03-17 | 2007-03-27 | Applied Materials, Inc. | Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface |
US7141757B2 (en) * | 2000-03-17 | 2006-11-28 | Applied Materials, Inc. | Plasma reactor with overhead RF source power electrode having a resonance that is virtually pressure independent |
US20070048882A1 (en) * | 2000-03-17 | 2007-03-01 | Applied Materials, Inc. | Method to reduce plasma-induced charging damage |
US8048806B2 (en) * | 2000-03-17 | 2011-11-01 | Applied Materials, Inc. | Methods to avoid unstable plasma states during a process transition |
US8617351B2 (en) | 2002-07-09 | 2013-12-31 | Applied Materials, Inc. | Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction |
US7220937B2 (en) * | 2000-03-17 | 2007-05-22 | Applied Materials, Inc. | Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination |
US6900596B2 (en) * | 2002-07-09 | 2005-05-31 | Applied Materials, Inc. | Capacitively coupled plasma reactor with uniform radial distribution of plasma |
US7030335B2 (en) * | 2000-03-17 | 2006-04-18 | Applied Materials, Inc. | Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression |
US6894245B2 (en) * | 2000-03-17 | 2005-05-17 | Applied Materials, Inc. | Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression |
US20020184970A1 (en) * | 2001-12-13 | 2002-12-12 | Wickersham Charles E. | Sptutter targets and methods of manufacturing same to reduce particulate emission during sputtering |
WO2001086282A1 (en) | 2000-05-11 | 2001-11-15 | Tosoh Smd, Inc. | Cleanliness evaluation in sputter targets using phase |
KR20020002732A (ko) * | 2000-06-30 | 2002-01-10 | 박종섭 | 반도체 소자의 절연막 형성 방법 |
US6875674B2 (en) * | 2000-07-10 | 2005-04-05 | Semiconductor Energy Laboratory Co., Ltd. | Method of manufacturing a semiconductor device with fluorine concentration |
JP2002141514A (ja) * | 2000-11-07 | 2002-05-17 | Sanyo Electric Co Ltd | ボトムゲート型薄膜トランジスタ及びその製造方法 |
US6594446B2 (en) * | 2000-12-04 | 2003-07-15 | Vortek Industries Ltd. | Heat-treating methods and systems |
JP4802364B2 (ja) * | 2000-12-07 | 2011-10-26 | ソニー株式会社 | 半導体層のドーピング方法、薄膜半導体素子の製造方法、及び半導体層の抵抗制御方法 |
JP4334225B2 (ja) * | 2001-01-25 | 2009-09-30 | 東京エレクトロン株式会社 | 電子デバイス材料の製造方法 |
EP1421607A2 (en) * | 2001-02-12 | 2004-05-26 | ASM America, Inc. | Improved process for deposition of semiconductor films |
US6830994B2 (en) * | 2001-03-09 | 2004-12-14 | Semiconductor Energy Laboratory Co., Ltd. | Method of manufacturing a semiconductor device having a crystallized semiconductor film |
US6436724B1 (en) * | 2001-03-14 | 2002-08-20 | Advanced Micro Devices, Inc. | Method of monitoring the temperature of a rapid thermal anneal process in semiconductor manufacturing and a test wafer for use in this method |
WO2002081767A2 (en) * | 2001-04-04 | 2002-10-17 | Tosoh Smd, Inc. | A method for determining a critical size of an inclusion in aluminum or aluminum alloy sputtering target |
NL1017849C2 (nl) * | 2001-04-16 | 2002-10-30 | Univ Eindhoven Tech | Werkwijze en inrichting voor het deponeren van een althans ten dele kristallijne siliciumlaag op een substraat. |
US7079975B1 (en) | 2001-04-30 | 2006-07-18 | Advanced Micro Devices, Inc. | Scatterometry and acoustic based active control of thin film deposition process |
JP3501793B2 (ja) * | 2001-05-16 | 2004-03-02 | Nec液晶テクノロジー株式会社 | 薄膜トランジスタ及びその製造方法 |
JP4901020B2 (ja) * | 2001-05-23 | 2012-03-21 | 東芝モバイルディスプレイ株式会社 | ポリシリコン薄膜トランジスタの製造方法 |
WO2003014718A2 (en) * | 2001-08-09 | 2003-02-20 | Tosoh Smd, Inc. | Method and apparatus for non-destructive target cleanliness characterization by types of flaws sorted by size and location |
US6887803B2 (en) * | 2001-11-08 | 2005-05-03 | Wafermasters, Inc. | Gas-assisted rapid thermal processing |
JP4252749B2 (ja) * | 2001-12-13 | 2009-04-08 | 忠弘 大見 | 基板処理方法および基板処理装置 |
US20030111013A1 (en) * | 2001-12-19 | 2003-06-19 | Oosterlaken Theodorus Gerardus Maria | Method for the deposition of silicon germanium layers |
US7445382B2 (en) * | 2001-12-26 | 2008-11-04 | Mattson Technology Canada, Inc. | Temperature measurement and heat-treating methods and system |
US6541366B1 (en) * | 2002-01-08 | 2003-04-01 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for improving a solder bump adhesion bond to a UBM contact layer |
JP3957277B2 (ja) * | 2002-04-15 | 2007-08-15 | 株式会社アドバンスト・ディスプレイ | 液晶表示装置及びその製造方法 |
TWI283899B (en) * | 2002-07-09 | 2007-07-11 | Applied Materials Inc | Capacitively coupled plasma reactor with magnetic plasma control |
US7297641B2 (en) | 2002-07-19 | 2007-11-20 | Asm America, Inc. | Method to form ultra high quality silicon-containing compound layers |
US7294582B2 (en) * | 2002-07-19 | 2007-11-13 | Asm International, N.V. | Low temperature silicon compound deposition |
US7186630B2 (en) * | 2002-08-14 | 2007-03-06 | Asm America, Inc. | Deposition of amorphous silicon-containing films |
GB0219771D0 (en) * | 2002-08-24 | 2002-10-02 | Koninkl Philips Electronics Nv | Manufacture of electronic devices comprising thin-film circuit elements |
KR20040021758A (ko) * | 2002-09-04 | 2004-03-11 | 엘지.필립스 엘시디 주식회사 | 다결정 실리콘 박막트랜지스터 제조방법 |
US6689646B1 (en) * | 2002-11-14 | 2004-02-10 | Sharp Laboratories Of America, Inc. | Plasma method for fabricating oxide thin films |
KR101163682B1 (ko) | 2002-12-20 | 2012-07-09 | 맷슨 테크날러지 캐나다 인코퍼레이티드 | 피가공물 지지 장치 |
TWI223762B (en) * | 2003-01-02 | 2004-11-11 | Taiwan Semiconductor Mfg | Front end dispatching method and system for long batch processing equipment in semiconductor manufacturing |
EP1445802A1 (en) * | 2003-02-06 | 2004-08-11 | Centre National De La Recherche Scientifique (Cnrs) | Transistor for active matrix display, a display unit comprising the said transistor and a method for producing said transistor |
JP4382375B2 (ja) * | 2003-03-13 | 2009-12-09 | Nec液晶テクノロジー株式会社 | 薄膜トランジスタの製造方法 |
JP3922204B2 (ja) * | 2003-04-10 | 2007-05-30 | 株式会社デンソー | 携帯型送信機 |
EP1473383B1 (en) * | 2003-04-29 | 2012-08-15 | Imec | Method for producing polycrystalline silicon germanium suitable for micromachining |
EP1482069A1 (en) * | 2003-05-28 | 2004-12-01 | Interuniversitair Microelektronica Centrum Vzw | Method for producing polycrystalline silicon germanium suitable for micromachining |
US7115480B2 (en) * | 2003-05-07 | 2006-10-03 | Micron Technology, Inc. | Micromechanical strained semiconductor by wafer bonding |
US7901952B2 (en) * | 2003-05-16 | 2011-03-08 | Applied Materials, Inc. | Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters |
US7452824B2 (en) * | 2003-05-16 | 2008-11-18 | Applied Materials, Inc. | Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters |
US7910013B2 (en) | 2003-05-16 | 2011-03-22 | Applied Materials, Inc. | Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure |
US7795153B2 (en) * | 2003-05-16 | 2010-09-14 | Applied Materials, Inc. | Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters |
US7470626B2 (en) * | 2003-05-16 | 2008-12-30 | Applied Materials, Inc. | Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure |
US7247218B2 (en) * | 2003-05-16 | 2007-07-24 | Applied Materials, Inc. | Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power |
US7662701B2 (en) * | 2003-05-21 | 2010-02-16 | Micron Technology, Inc. | Gettering of silicon on insulator using relaxed silicon germanium epitaxial proximity layers |
US7008854B2 (en) * | 2003-05-21 | 2006-03-07 | Micron Technology, Inc. | Silicon oxycarbide substrates for bonded silicon on insulator |
US7501329B2 (en) * | 2003-05-21 | 2009-03-10 | Micron Technology, Inc. | Wafer gettering using relaxed silicon germanium epitaxial proximity layers |
US7273788B2 (en) * | 2003-05-21 | 2007-09-25 | Micron Technology, Inc. | Ultra-thin semiconductors bonded on glass substrates |
JP4128910B2 (ja) * | 2003-06-11 | 2008-07-30 | 日本アイ・ビー・エム株式会社 | 液晶表示セル及び液晶表示セルの製造方法 |
US7439158B2 (en) | 2003-07-21 | 2008-10-21 | Micron Technology, Inc. | Strained semiconductor by full wafer bonding |
US7153753B2 (en) * | 2003-08-05 | 2006-12-26 | Micron Technology, Inc. | Strained Si/SiGe/SOI islands and processes of making same |
US7703034B2 (en) * | 2003-08-07 | 2010-04-20 | National Instruments Corporation | Visualization tool for viewing timing information for a graphical program |
JP5630935B2 (ja) * | 2003-12-19 | 2014-11-26 | マトソン テクノロジー、インコーポレイテッド | 工作物の熱誘起運動を抑制する機器及び装置 |
US7402207B1 (en) | 2004-05-05 | 2008-07-22 | Advanced Micro Devices, Inc. | Method and apparatus for controlling the thickness of a selective epitaxial growth layer |
KR100712101B1 (ko) * | 2004-06-30 | 2007-05-02 | 삼성에스디아이 주식회사 | 박막트랜지스터 및 그의 제조 방법 |
US7097779B2 (en) * | 2004-07-06 | 2006-08-29 | Tokyo Electron Limited | Processing system and method for chemically treating a TERA layer |
JP2006024735A (ja) * | 2004-07-08 | 2006-01-26 | Seiko Instruments Inc | 半導体膜の結晶化方法、及び、表示装置の製造方法 |
US7253084B2 (en) * | 2004-09-03 | 2007-08-07 | Asm America, Inc. | Deposition from liquid sources |
US7402485B1 (en) | 2004-10-20 | 2008-07-22 | Advanced Micro Devices, Inc. | Method of forming a semiconductor device |
US7456062B1 (en) | 2004-10-20 | 2008-11-25 | Advanced Micro Devices, Inc. | Method of forming a semiconductor device |
FI117728B (fi) * | 2004-12-21 | 2007-01-31 | Planar Systems Oy | Monikerrosmateriaali ja menetelmä sen valmistamiseksi |
US20060150906A1 (en) * | 2005-01-07 | 2006-07-13 | Selen Louis J M | Wafer boat for reduced shadow marks |
US7629267B2 (en) * | 2005-03-07 | 2009-12-08 | Asm International N.V. | High stress nitride film and method for formation thereof |
US7422634B2 (en) * | 2005-04-07 | 2008-09-09 | Cree, Inc. | Three inch silicon carbide wafer with low warp, bow, and TTV |
US20060252191A1 (en) * | 2005-05-03 | 2006-11-09 | Advanced Micro Devices, Inc. | Methodology for deposition of doped SEG for raised source/drain regions |
US7359177B2 (en) * | 2005-05-10 | 2008-04-15 | Applied Materials, Inc. | Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output |
US7553732B1 (en) | 2005-06-13 | 2009-06-30 | Advanced Micro Devices, Inc. | Integration scheme for constrained SEG growth on poly during raised S/D processing |
US20060281271A1 (en) * | 2005-06-13 | 2006-12-14 | Advanced Micro Devices, Inc. | Method of forming a semiconductor device having an epitaxial layer and device thereof |
NL1029647C2 (nl) * | 2005-07-29 | 2007-01-30 | Otb Group Bv | Werkwijze voor het passiveren van ten minste een deel van een substraatoppervlak. |
US7572705B1 (en) | 2005-09-21 | 2009-08-11 | Advanced Micro Devices, Inc. | Semiconductor device and method of manufacturing a semiconductor device |
KR101299604B1 (ko) * | 2005-10-18 | 2013-08-26 | 가부시키가이샤 한도오따이 에네루기 켄큐쇼 | 반도체 장치 및 그 제조 방법 |
WO2007075369A1 (en) * | 2005-12-16 | 2007-07-05 | Asm International N.V. | Low temperature doped silicon layer formation |
US7544584B2 (en) | 2006-02-16 | 2009-06-09 | Micron Technology, Inc. | Localized compressive strained semiconductor |
JP2007305698A (ja) * | 2006-05-09 | 2007-11-22 | Nec Corp | 半導体装置及びその製造方法 |
US9105776B2 (en) * | 2006-05-15 | 2015-08-11 | Stion Corporation | Method and structure for thin film photovoltaic materials using semiconductor materials |
EP1863097A1 (en) * | 2006-05-29 | 2007-12-05 | Interuniversitair Microelektronica Centrum ( Imec) | Method for modulating the effective work function |
EP1863072A1 (en) * | 2006-05-29 | 2007-12-05 | Interuniversitair Microelektronica Centrum ( Imec) | Method for modulating the effective work function |
US7880267B2 (en) * | 2006-08-28 | 2011-02-01 | Micron Technology, Inc. | Buried decoupling capacitors, devices and systems including same, and methods of fabrication |
DE102006052586B4 (de) * | 2006-11-08 | 2008-07-03 | Schott Solar Gmbh | Verfahren und Vorrichtung zur Reinigung der Abgase einer Siliziumdünnschicht-Produktionsanlage |
US8454356B2 (en) * | 2006-11-15 | 2013-06-04 | Mattson Technology, Inc. | Systems and methods for supporting a workpiece during heat-treating |
KR101164607B1 (ko) * | 2006-11-22 | 2012-07-10 | 삼성테크윈 주식회사 | 휴대용 전자기기용 슬라이딩 구조체 |
JP2008177419A (ja) * | 2007-01-19 | 2008-07-31 | Nissin Electric Co Ltd | シリコン薄膜形成方法 |
KR101030769B1 (ko) * | 2007-01-23 | 2011-04-27 | 삼성전자주식회사 | 스택 패키지 및 스택 패키징 방법 |
US7972943B2 (en) * | 2007-03-02 | 2011-07-05 | Semiconductor Energy Laboratory Co., Ltd. | Manufacturing method of semiconductor device |
US20080230008A1 (en) * | 2007-03-21 | 2008-09-25 | Alexander Paterson | Plasma species and uniformity control through pulsed vhf operation |
US20080299747A1 (en) * | 2007-05-30 | 2008-12-04 | Asm Japan K.K. | Method for forming amorphouse silicon film by plasma cvd |
US8071179B2 (en) | 2007-06-29 | 2011-12-06 | Stion Corporation | Methods for infusing one or more materials into nano-voids if nanoporous or nanostructured materials |
WO2009009499A1 (en) * | 2007-07-07 | 2009-01-15 | Xunlight Corporation | Hybrid chemical vapor deposition process combining hot-wire cvd and plasma-enhanced cvd |
US20090017637A1 (en) * | 2007-07-10 | 2009-01-15 | Yi-Chiau Huang | Method and apparatus for batch processing in a vertical reactor |
US8441018B2 (en) | 2007-08-16 | 2013-05-14 | The Trustees Of Columbia University In The City Of New York | Direct bandgap substrates and methods of making and using |
US20090065816A1 (en) * | 2007-09-11 | 2009-03-12 | Applied Materials, Inc. | Modulating the stress of poly-crystaline silicon films and surrounding layers through the use of dopants and multi-layer silicon films with controlled crystal structure |
US8314009B2 (en) * | 2007-09-14 | 2012-11-20 | Semiconductor Energy Laboratory Co., Ltd. | Method for manufacturing SOI substrate and method for manufacturing semiconductor device |
US8759671B2 (en) * | 2007-09-28 | 2014-06-24 | Stion Corporation | Thin film metal oxide bearing semiconductor material for single junction solar cell devices |
ITRE20070116A1 (it) * | 2007-10-29 | 2009-04-30 | Corghi Spa | '' dispositivo e metodo per la verifica dell'assetto di un veicolo '' |
US8187434B1 (en) | 2007-11-14 | 2012-05-29 | Stion Corporation | Method and system for large scale manufacture of thin film photovoltaic devices using single-chamber configuration |
KR101270174B1 (ko) * | 2007-12-03 | 2013-05-31 | 삼성전자주식회사 | 산화물 반도체 박막 트랜지스터의 제조방법 |
US8772078B1 (en) | 2008-03-03 | 2014-07-08 | Stion Corporation | Method and system for laser separation for exclusion region of multi-junction photovoltaic materials |
US8064224B2 (en) * | 2008-03-31 | 2011-11-22 | Intel Corporation | Microelectronic package containing silicon patches for high density interconnects, and method of manufacturing same |
CN102089873A (zh) | 2008-05-16 | 2011-06-08 | 加拿大马特森技术有限公司 | 工件破损防止方法及设备 |
US8642138B2 (en) * | 2008-06-11 | 2014-02-04 | Stion Corporation | Processing method for cleaning sulfur entities of contact regions |
US8003432B2 (en) | 2008-06-25 | 2011-08-23 | Stion Corporation | Consumable adhesive layer for thin film photovoltaic material |
US9087943B2 (en) * | 2008-06-25 | 2015-07-21 | Stion Corporation | High efficiency photovoltaic cell and manufacturing method free of metal disulfide barrier material |
US7855089B2 (en) * | 2008-09-10 | 2010-12-21 | Stion Corporation | Application specific solar cell and method for manufacture using thin film photovoltaic materials |
US8383450B2 (en) * | 2008-09-30 | 2013-02-26 | Stion Corporation | Large scale chemical bath system and method for cadmium sulfide processing of thin film photovoltaic materials |
US7947524B2 (en) * | 2008-09-30 | 2011-05-24 | Stion Corporation | Humidity control and method for thin film photovoltaic materials |
US8053274B2 (en) * | 2008-09-30 | 2011-11-08 | Stion Corporation | Self cleaning large scale method and furnace system for selenization of thin film photovoltaic materials |
US8741689B2 (en) * | 2008-10-01 | 2014-06-03 | Stion Corporation | Thermal pre-treatment process for soda lime glass substrate for thin film photovoltaic materials |
US20110018103A1 (en) * | 2008-10-02 | 2011-01-27 | Stion Corporation | System and method for transferring substrates in large scale processing of cigs and/or cis devices |
US8168463B2 (en) | 2008-10-17 | 2012-05-01 | Stion Corporation | Zinc oxide film method and structure for CIGS cell |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US8344243B2 (en) * | 2008-11-20 | 2013-01-01 | Stion Corporation | Method and structure for thin film photovoltaic cell using similar material junction |
US8012876B2 (en) | 2008-12-02 | 2011-09-06 | Asm International N.V. | Delivery of vapor precursor from solid source |
CN102239283A (zh) * | 2008-12-02 | 2011-11-09 | 住友电气工业株式会社 | 生长氮化镓晶体的方法和制造氮化镓晶体的方法 |
US7833906B2 (en) | 2008-12-11 | 2010-11-16 | Asm International N.V. | Titanium silicon nitride deposition |
US20100173448A1 (en) * | 2009-01-07 | 2010-07-08 | Applied Materials, Inc. | High frequency plasma enhanced chemical vapor deposition |
US7972980B2 (en) * | 2009-01-21 | 2011-07-05 | Asm Japan K.K. | Method of forming conformal dielectric film having Si-N bonds by PECVD |
US8142862B2 (en) * | 2009-01-21 | 2012-03-27 | Asm Japan K.K. | Method of forming conformal dielectric film having Si-N bonds by PECVD |
US7919416B2 (en) * | 2009-01-21 | 2011-04-05 | Asm Japan K.K. | Method of forming conformal dielectric film having Si-N bonds by PECVD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8507786B1 (en) | 2009-06-27 | 2013-08-13 | Stion Corporation | Manufacturing method for patterning CIGS/CIS solar cells |
CN102473749A (zh) * | 2009-06-30 | 2012-05-23 | 三洋电机株式会社 | 太阳能电池的制造方法和制造装置 |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US8398772B1 (en) | 2009-08-18 | 2013-03-19 | Stion Corporation | Method and structure for processing thin film PV cells with improved temperature uniformity |
US8809096B1 (en) | 2009-10-22 | 2014-08-19 | Stion Corporation | Bell jar extraction tool method and apparatus for thin film photovoltaic materials |
KR101768433B1 (ko) * | 2009-12-18 | 2017-08-16 | 가부시키가이샤 한도오따이 에네루기 켄큐쇼 | 반도체 장치 제작 방법 |
US8859880B2 (en) | 2010-01-22 | 2014-10-14 | Stion Corporation | Method and structure for tiling industrial thin-film solar devices |
US9096930B2 (en) | 2010-03-29 | 2015-08-04 | Stion Corporation | Apparatus for manufacturing thin film photovoltaic devices |
US8907258B2 (en) * | 2010-04-08 | 2014-12-09 | Ncc Nano, Llc | Apparatus for providing transient thermal profile processing on a moving substrate |
WO2011126076A1 (ja) * | 2010-04-09 | 2011-10-13 | 大日本印刷株式会社 | 薄膜トランジスタ基板 |
WO2011150058A2 (en) | 2010-05-25 | 2011-12-01 | Mossey Creek Solar, LLC | Method of producing a semiconductor |
JP5687547B2 (ja) * | 2010-06-28 | 2015-03-18 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理方法および基板処理装置 |
JP5568390B2 (ja) * | 2010-07-02 | 2014-08-06 | 株式会社半導体エネルギー研究所 | 成膜方法及びトランジスタの作製方法 |
US8461061B2 (en) | 2010-07-23 | 2013-06-11 | Stion Corporation | Quartz boat method and apparatus for thin film thermal treatment |
US9064691B2 (en) * | 2010-08-13 | 2015-06-23 | United Microelectronics Corp. | Semiconductor process |
US8628997B2 (en) | 2010-10-01 | 2014-01-14 | Stion Corporation | Method and device for cadmium-free solar cells |
JP5636867B2 (ja) * | 2010-10-19 | 2014-12-10 | 富士通株式会社 | 半導体装置及び半導体装置の製造方法 |
KR101826069B1 (ko) * | 2010-10-26 | 2018-03-23 | 삼성디스플레이 주식회사 | 유기발광표시장치 및 그 제조방법 |
US8998606B2 (en) | 2011-01-14 | 2015-04-07 | Stion Corporation | Apparatus and method utilizing forced convection for uniform thermal treatment of thin film devices |
US8728200B1 (en) * | 2011-01-14 | 2014-05-20 | Stion Corporation | Method and system for recycling processing gas for selenization of thin film photovoltaic materials |
WO2012114379A1 (ja) * | 2011-02-23 | 2012-08-30 | パナソニック株式会社 | 薄膜トランジスタ装置の製造方法、薄膜トランジスタ装置および表示装置 |
JP5659882B2 (ja) * | 2011-03-09 | 2015-01-28 | 住友電気工業株式会社 | 半導体装置の製造方法 |
CN102723272B (zh) * | 2011-03-29 | 2015-02-25 | 中国科学院微电子研究所 | 半导体制造方法 |
US20120252225A1 (en) * | 2011-03-29 | 2012-10-04 | Chunlong Li | Semiconductor fabrication method |
US10150230B2 (en) * | 2011-04-08 | 2018-12-11 | Ncc Nano, Llc | Method for drying thin films in an energy efficient manner |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US8436445B2 (en) | 2011-08-15 | 2013-05-07 | Stion Corporation | Method of manufacture of sodium doped CIGS/CIGSS absorber layers for high efficiency photovoltaic devices |
CN103828061B (zh) * | 2011-10-07 | 2018-02-13 | 应用材料公司 | 使用氩气稀释来沉积含硅层的方法 |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
JP6125846B2 (ja) * | 2012-03-22 | 2017-05-10 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
JP6128906B2 (ja) | 2012-04-13 | 2017-05-17 | 株式会社半導体エネルギー研究所 | 半導体装置 |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9512519B2 (en) * | 2012-12-03 | 2016-12-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Atomic layer deposition apparatus and method |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
KR102304824B1 (ko) | 2013-08-09 | 2021-09-23 | 가부시키가이샤 한도오따이 에네루기 켄큐쇼 | 반도체 장치 |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
CN105047544B (zh) * | 2015-07-10 | 2018-06-19 | 苏州工业园区纳米产业技术研究院有限公司 | 低应力变化pecvd二氧化硅薄膜的制备方法 |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
CN105679676A (zh) * | 2016-03-01 | 2016-06-15 | 京东方科技集团股份有限公司 | 薄膜晶体管及其制备方法、阵列基板 |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
KR102162902B1 (ko) * | 2016-03-31 | 2020-10-07 | 최재성 | 반도체 소자 및 그 제조 방법 |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US9960266B2 (en) | 2016-05-17 | 2018-05-01 | The United States Of America, As Represented By The Secretary Of The Navy | Damage-free plasma-enhanced CVD passivation of AlGaN/GaN high electron mobility transistors |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (ko) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102316293B1 (ko) * | 2017-09-18 | 2021-10-22 | 삼성전자주식회사 | 반도체 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
WO2019103610A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
JP7214724B2 (ja) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | バッチ炉で利用されるウェハカセットを収納するための収納装置 |
CN107978538A (zh) * | 2017-12-01 | 2018-05-01 | 泰州海天电子科技股份有限公司 | 一种判定晶体管键合弹坑的方法 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
JP7124098B2 (ja) | 2018-02-14 | 2022-08-23 | エーエスエム・アイピー・ホールディング・ベー・フェー | 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法 |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TWI843623B (zh) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
WO2020003000A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
TW202409324A (zh) | 2018-06-27 | 2024-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料之循環沉積製程 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
TWI844567B (zh) | 2018-10-01 | 2024-06-11 | 荷蘭商Asm Ip私人控股有限公司 | 基材保持裝置、含有此裝置之系統及其使用之方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN118422165A (zh) | 2019-08-05 | 2024-08-02 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
KR20210089079A (ko) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | 채널형 리프트 핀 |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
JP2021172884A (ja) | 2020-04-24 | 2021-11-01 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR102707957B1 (ko) | 2020-07-08 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Family Cites Families (36)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS5562778A (en) * | 1978-11-02 | 1980-05-12 | Fuji Photo Film Co Ltd | Preparation of photoconductor film |
JPS58164267A (ja) * | 1982-03-25 | 1983-09-29 | Seiko Epson Corp | 薄膜シリコントランジスタの製造方法 |
JP2530117B2 (ja) * | 1983-05-06 | 1996-09-04 | セイコーエプソン株式会社 | 薄膜トランジスタの製造方法 |
JPH0647730B2 (ja) * | 1985-12-25 | 1994-06-22 | キヤノン株式会社 | 堆積膜形成法 |
JPS6331110A (ja) * | 1986-07-25 | 1988-02-09 | Fujitsu Ltd | 半導体装置の製造方法 |
JPS63115328A (ja) * | 1986-11-04 | 1988-05-19 | Matsushita Electric Ind Co Ltd | 半導体装置の製造方法 |
JPH02137797A (ja) * | 1988-11-16 | 1990-05-28 | Toshiba Corp | Siエピタキシャル成長装置 |
JP2708533B2 (ja) * | 1989-03-14 | 1998-02-04 | 富士通株式会社 | Cvd装置の残留ガス除去方法 |
US5192717A (en) * | 1989-04-28 | 1993-03-09 | Canon Kabushiki Kaisha | Process for the formation of a polycrystalline semiconductor film by microwave plasma chemical vapor deposition method |
US5114770A (en) * | 1989-06-28 | 1992-05-19 | Canon Kabushiki Kaisha | Method for continuously forming functional deposited films with a large area by a microwave plasma cvd method |
JP2864658B2 (ja) * | 1990-04-25 | 1999-03-03 | セイコーエプソン株式会社 | 薄膜トランジスタの製造方法 |
DE69125886T2 (de) * | 1990-05-29 | 1997-11-20 | Semiconductor Energy Lab | Dünnfilmtransistoren |
SG63578A1 (en) * | 1990-11-16 | 1999-03-30 | Seiko Epson Corp | Thin film semiconductor device process for fabricating the same and silicon film |
JP3575698B2 (ja) * | 1991-01-30 | 2004-10-13 | Tdk株式会社 | 多結晶半導体装置の製造方法 |
KR960001611B1 (ko) * | 1991-03-06 | 1996-02-02 | 가부시끼가이샤 한도다이 에네르기 겐뀨쇼 | 절연 게이트형 전계 효과 반도체 장치 및 그 제작방법 |
JPH055582A (ja) | 1991-06-26 | 1993-01-14 | Mitsubishi Heavy Ind Ltd | 蓄熱熱交換器及びこれを備えたヒートポンプ空気調和 機 |
JP3507072B2 (ja) * | 1991-07-16 | 2004-03-15 | セイコーエプソン株式会社 | 化学気相推積装置及び半導体膜形成方法と薄膜半導体装置の製造方法 |
US5242530A (en) * | 1991-08-05 | 1993-09-07 | International Business Machines Corporation | Pulsed gas plasma-enhanced chemical vapor deposition of silicon |
JP3483581B2 (ja) * | 1991-08-26 | 2004-01-06 | 株式会社半導体エネルギー研究所 | 半導体装置 |
US5582880A (en) * | 1992-03-27 | 1996-12-10 | Canon Kabushiki Kaisha | Method of manufacturing non-single crystal film and non-single crystal semiconductor device |
JP3201495B2 (ja) * | 1992-09-16 | 2001-08-20 | キヤノン株式会社 | 非晶質シリコンの製造方法 |
JPH0616A (ja) | 1992-06-19 | 1994-01-11 | Iseki & Co Ltd | トラクタモーアのコレクタ |
CN100442532C (zh) * | 1992-07-06 | 2008-12-10 | 株式会社半导体能源研究所 | 有源矩阵显示器件 |
JPH06163401A (ja) * | 1992-09-11 | 1994-06-10 | A G Technol Kk | 多結晶シリコン層の形成方法およびそれを用いた多結晶シリコン薄膜トランジスタ |
EP0592227A3 (en) * | 1992-10-07 | 1995-01-11 | Sharp Kk | Manufacture of a thin film transistor and production of a liquid crystal display device. |
JPH06132306A (ja) * | 1992-10-21 | 1994-05-13 | Casio Comput Co Ltd | 半導体装置の製造方法 |
CN1088002A (zh) * | 1992-11-16 | 1994-06-15 | 东京电子株式会社 | 制造液晶显示器基板及评价半导体晶体的方法与装置 |
JP3265668B2 (ja) * | 1993-01-13 | 2002-03-11 | 株式会社ニコン | ベストフォーカス位置の算出方法 |
JPH0828337B2 (ja) * | 1993-01-20 | 1996-03-21 | 日本電気株式会社 | 半導体薄膜の製造方法 |
JP3497198B2 (ja) * | 1993-02-03 | 2004-02-16 | 株式会社半導体エネルギー研究所 | 半導体装置および薄膜トランジスタの作製方法 |
JPH06296023A (ja) * | 1993-02-10 | 1994-10-21 | Semiconductor Energy Lab Co Ltd | 薄膜状半導体装置およびその作製方法 |
JPH06275524A (ja) * | 1993-03-24 | 1994-09-30 | G T C:Kk | 薄膜トランジスタの製造方法 |
JPH0799321A (ja) * | 1993-05-27 | 1995-04-11 | Sony Corp | 薄膜半導体素子の製造方法および製造装置 |
US5488000A (en) * | 1993-06-22 | 1996-01-30 | Semiconductor Energy Laboratory Co., Ltd. | Method of fabricating a thin film transistor using a nickel silicide layer to promote crystallization of the amorphous silicon layer |
US5482749A (en) * | 1993-06-28 | 1996-01-09 | Applied Materials, Inc. | Pretreatment process for treating aluminum-bearing surfaces of deposition chamber prior to deposition of tungsten silicide coating on substrate therein |
US5624873A (en) * | 1993-11-12 | 1997-04-29 | The Penn State Research Foundation | Enhanced crystallization of amorphous films |
-
1995
- 1995-06-15 KR KR1019960700843A patent/KR100306527B1/ko active IP Right Grant
- 1995-06-15 EP EP06018098A patent/EP1722403B1/en not_active Expired - Lifetime
- 1995-06-15 EP EP95921972A patent/EP0714140B1/en not_active Expired - Lifetime
- 1995-06-15 CN CN95190552A patent/CN1052116C/zh not_active Expired - Lifetime
- 1995-06-15 WO PCT/JP1995/001196 patent/WO1995034916A1/ja active IP Right Grant
- 1995-06-15 CN CNB200310101574XA patent/CN1269196C/zh not_active Expired - Lifetime
- 1995-06-15 DE DE69531654T patent/DE69531654T2/de not_active Expired - Lifetime
- 1995-06-15 US US08/591,681 patent/US5858819A/en not_active Expired - Lifetime
- 1995-06-15 CN CNB2003101015754A patent/CN1274009C/zh not_active Expired - Lifetime
- 1995-06-15 EP EP03008849A patent/EP1335419A3/en not_active Withdrawn
- 1995-06-15 KR KR1020007011948A patent/KR100327086B1/ko active IP Right Grant
-
1998
- 1998-02-13 US US09/023,695 patent/US6017779A/en not_active Expired - Lifetime
-
1999
- 1999-05-21 CN CN99107026A patent/CN1130777C/zh not_active Expired - Lifetime
- 1999-08-16 US US09/373,982 patent/US6335542B2/en not_active Expired - Lifetime
-
2001
- 2001-06-21 US US09/885,027 patent/US6972433B2/en not_active Expired - Fee Related
-
2008
- 2008-10-02 JP JP2008257150A patent/JP4466775B2/ja not_active Expired - Lifetime
Cited By (13)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN102024688A (zh) * | 2009-09-11 | 2011-04-20 | 株式会社日立国际电气 | 半导体装置的制造方法以及衬底处理装置 |
WO2012017875A1 (en) * | 2010-08-06 | 2012-02-09 | Semiconductor Energy Laboratory Co., Ltd. | Manufacturing method of microcrystalline silicon film and manufacturing method of thin film transistor |
US8440548B2 (en) | 2010-08-06 | 2013-05-14 | Semiconductor Energy Laboratory Co., Ltd. | Manufacturing method of microcrystalline silicon film and manufacturing method of thin film transistor |
US9570626B2 (en) | 2012-04-06 | 2017-02-14 | Semiconductor Energy Laboratory Co., Ltd. | Insulating film, method for manufacturing semiconductor device, and semiconductor device |
US9318317B2 (en) | 2012-04-06 | 2016-04-19 | Semiconductor Energy Laboratory Co., Ltd. | Insulating film, method for manufacturing semiconductor device, and semiconductor device |
US8901556B2 (en) | 2012-04-06 | 2014-12-02 | Semiconductor Energy Laboratory Co., Ltd. | Insulating film, method for manufacturing semiconductor device, and semiconductor device |
US10096719B2 (en) | 2012-04-06 | 2018-10-09 | Semiconductor Energy Laboratory Co., Ltd. | Insulating film, method for manufacturing semiconductor device, and semiconductor device |
US10741694B2 (en) | 2012-04-06 | 2020-08-11 | Semiconductor Energy Laboratory Co., Ltd. | Insulating film, method for manufacturing semiconductor device, and semiconductor device |
US11437523B2 (en) | 2012-04-06 | 2022-09-06 | Semiconductor Energy Laboratory Co., Ltd. | Insulating film, method for manufacturing semiconductor device, and semiconductor device |
WO2016002591A1 (ja) * | 2014-07-03 | 2016-01-07 | 東京エレクトロン株式会社 | 成膜装置 |
JP2021520630A (ja) * | 2018-04-03 | 2021-08-19 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | H2プラズマを用いた流動性膜の硬化 |
WO2022225831A1 (en) * | 2021-04-20 | 2022-10-27 | Applied Materials, Inc. | Helium-free silicon formation |
US11784272B2 (en) | 2021-04-29 | 2023-10-10 | Solaero Technologies Corp. | Multijunction solar cell |
Also Published As
Publication number | Publication date |
---|---|
US20010032986A1 (en) | 2001-10-25 |
CN1052116C (zh) | 2000-05-03 |
CN1130777C (zh) | 2003-12-10 |
US20010013607A1 (en) | 2001-08-16 |
EP0714140A4 (en) | 1998-04-01 |
WO1995034916A1 (fr) | 1995-12-21 |
DE69531654D1 (de) | 2003-10-09 |
CN1245972A (zh) | 2000-03-01 |
CN1129492A (zh) | 1996-08-21 |
EP1722403B1 (en) | 2012-07-25 |
EP0714140B1 (en) | 2003-09-03 |
US6017779A (en) | 2000-01-25 |
CN1269196C (zh) | 2006-08-09 |
KR100327086B1 (ko) | 2002-03-06 |
CN1495857A (zh) | 2004-05-12 |
EP0714140A1 (en) | 1996-05-29 |
CN1495869A (zh) | 2004-05-12 |
CN1274009C (zh) | 2006-09-06 |
EP1335419A2 (en) | 2003-08-13 |
KR960704361A (ko) | 1996-08-31 |
EP1722403A2 (en) | 2006-11-15 |
US5858819A (en) | 1999-01-12 |
DE69531654T2 (de) | 2004-07-29 |
KR100306527B1 (ko) | 2002-06-26 |
JP4466775B2 (ja) | 2010-05-26 |
US6972433B2 (en) | 2005-12-06 |
US6335542B2 (en) | 2002-01-01 |
EP1335419A3 (en) | 2003-08-27 |
EP1722403A3 (en) | 2007-05-30 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP4466775B2 (ja) | 薄膜半導体装置の製造方法 | |
US6673126B2 (en) | Multiple chamber fabrication equipment for thin film transistors in a display or electronic device | |
JP4258476B2 (ja) | 薄膜半導体装置の製造方法 | |
WO2004079826A1 (ja) | 薄膜トランジスタの製造方法、及び表示装置と電子機器 | |
JP2001007024A (ja) | 多結晶シリコン膜の形成方法 | |
JP3999138B2 (ja) | 半導体装置の製造方法、表示装置の製造方法、および電子機器の製造方法 | |
US6444507B1 (en) | Fabrication process for thin film transistors in a display or electronic device | |
JP2002313721A (ja) | 半導体積層体の製造方法、積層体の製造方法、半導体素子、および電子機器 | |
JP2000269133A (ja) | 薄膜半導体装置の製造方法 | |
JP3924828B2 (ja) | 結晶性半導体膜の製造方法、および薄膜トランジスタの製造方法 | |
JP2005167280A (ja) | 半導体装置、アクティブマトリクス基板、及び電子機器 | |
JP2004288864A (ja) | 薄膜半導体、薄膜トランジスタの製造方法、電気光学装置及び電子機器 | |
JP4200530B2 (ja) | 薄膜トランジスタの製造方法 | |
JP2003174036A (ja) | 薄膜トランジスタの製造方法及び薄膜トランジスタ | |
JP3881715B2 (ja) | 結晶性半導体膜の形成方法、アクティブマトリクス装置の製造方法、及び電子装置の製造方法 | |
JP2000286211A (ja) | 薄膜半導体装置の製造方法 | |
JP3707287B2 (ja) | 半導体装置の製造方法 | |
JP2005197576A (ja) | 薄膜トランジスタの製造方法、電気光学装置及び電子機器 | |
JP4337555B2 (ja) | 半導体装置の製造方法 | |
JP2005259818A (ja) | 半導体膜の結晶化方法、薄膜トランジスタの製造方法、電気光学装置及び電子機器 | |
JP2002289862A (ja) | 半導体薄膜トランジスタの製造方法 | |
JP2004273629A (ja) | 薄膜トランジスタの製造方法、電気光学装置および電子機器 | |
JP2001053278A (ja) | 薄膜トランジスタおよびこれを用いた表示装置の製造方法 | |
JP2004349581A (ja) | 薄膜トランジスタの製造方法、電気光学装置及び電子機器 | |
JP2000260707A (ja) | 多結晶シリコン膜の形成方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20090811 |
|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20091009 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20091110 |
|
A521 | Written amendment |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20100106 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20100202 |
|
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20100215 |
|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20130305 Year of fee payment: 3 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20130305 Year of fee payment: 3 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20140305 Year of fee payment: 4 |
|
S111 | Request for change of ownership or part of ownership |
Free format text: JAPANESE INTERMEDIATE CODE: R313113 |
|
R350 | Written notification of registration of transfer |
Free format text: JAPANESE INTERMEDIATE CODE: R350 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
EXPY | Cancellation because of completion of term |