JP4466775B2 - 薄膜半導体装置の製造方法 - Google Patents

薄膜半導体装置の製造方法 Download PDF

Info

Publication number
JP4466775B2
JP4466775B2 JP2008257150A JP2008257150A JP4466775B2 JP 4466775 B2 JP4466775 B2 JP 4466775B2 JP 2008257150 A JP2008257150 A JP 2008257150A JP 2008257150 A JP2008257150 A JP 2008257150A JP 4466775 B2 JP4466775 B2 JP 4466775B2
Authority
JP
Japan
Prior art keywords
film
semiconductor
semiconductor film
substrate
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2008257150A
Other languages
English (en)
Other versions
JP2009044171A (ja
Inventor
光敏 宮坂
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Seiko Epson Corp
Original Assignee
Seiko Epson Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Seiko Epson Corp filed Critical Seiko Epson Corp
Priority to JP2008257150A priority Critical patent/JP4466775B2/ja
Publication of JP2009044171A publication Critical patent/JP2009044171A/ja
Application granted granted Critical
Publication of JP4466775B2 publication Critical patent/JP4466775B2/ja
Expired - Lifetime legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/762Charge transfer devices
    • H01L29/765Charge-coupled devices
    • H01L29/768Charge-coupled devices with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66757Lateral single gate single channel transistors with non-inverted structure, i.e. the channel layer is formed before the gate
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16CSHAFTS; FLEXIBLE SHAFTS; ELEMENTS OR CRANKSHAFT MECHANISMS; ROTARY BODIES OTHER THAN GEARING ELEMENTS; BEARINGS
    • F16C29/00Bearings for parts moving only linearly
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • H01L21/02661In-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/7866Non-monocrystalline silicon transistors
    • H01L29/78672Polycrystalline or microcrystalline silicon transistor
    • H01L29/78675Polycrystalline or microcrystalline silicon transistor with normal-type structure, e.g. with top gate
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16CSHAFTS; FLEXIBLE SHAFTS; ELEMENTS OR CRANKSHAFT MECHANISMS; ROTARY BODIES OTHER THAN GEARING ELEMENTS; BEARINGS
    • F16C2360/00Engines or pumps
    • F16C2360/44Centrifugal pumps
    • F16C2360/45Turbo-molecular pumps
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • G02F1/133Constructional arrangements; Operation of liquid crystal cells; Circuit arrangements
    • G02F1/1333Constructional arrangements; Manufacturing methods
    • G02F1/1345Conductors connecting electrodes to cell terminals
    • G02F1/13454Drivers integrated on the active matrix substrate
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F2202/00Materials and properties
    • G02F2202/10Materials and properties semiconductor
    • G02F2202/104Materials and properties semiconductor poly-Si

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • General Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Thin Film Transistor (AREA)
  • Recrystallisation Techniques (AREA)
  • Formation Of Insulating Films (AREA)
  • Liquid Crystal (AREA)

Description

本発明はアクティブマトリックス液晶ディスプレイ等に適用される薄膜半導体装置の製造方法、薄膜半導体装置、液晶表示装置及び電子機器に関する。
近年、液晶ディスプレイ(LCD)の大画面化、高解像度化に伴い、その駆動方式は単純マトリックス方式からアクティブマトリックス方式へ移行し、大容量の情報を表示できるようになりつつある。アクティブマトリックス方式は数十万を越える画素を有する液晶ディスプレイが可能であり、各画素毎にスイッチングトランジスタを形成するものである。各種液晶ディスプレイの基板としては、透過型ディスプレイを可能ならしめる溶融石英板やガラスなどの透明絶縁基板が使用されている。
薄膜トランジスタ(TFT)の能動層としては、通常アモルファスシリコンや多結晶シリコンなどの半導体膜が用いられるが、駆動回路まで一体化して薄膜トランジスタで形成しようとする場合には動作速度の速い多結晶シリコンを用いるのが有利である。多結晶シリコン膜を能動層とする場合は溶融石英板を基板として用い、通常は工程最高温度が1000℃を越える高温プロセスと呼ばれる製造方法にてTFTが作成されている。一方アモルファスシリコン膜を能動層とする場合には通常のガラス基板が用いられている。
LCDの表示画面の拡大化や低価格化を進める場合にはこのように絶縁基板として安価な通常ガラスを使用するのが必要不可欠である。
しかしながら、前述の如くアモルファスシリコン膜は電気特性が多結晶シリコン膜に比べ著しく劣り動作速度が遅い等の問題を内有している。また、高温プロセスの多結晶シリコンTFTは溶融石英板を用いているため、LCDの大型化や低価格化が困難との問題を有している。結局、通常のガラス基板上に多結晶シリコン膜等の半導体膜を能動層とする薄膜半導体装置を作成する技術が強く求められているのである。
然るに量産性に富む大型の通常ガラス基板を用いる際には、基板の変形を避けるべく工程最高温度を約570℃程度以下とする大きな制約がある。すなわち斯様な制約下にて液晶ディスプレイを動作し得る薄膜トランジスタと、駆動回路を高速作動し得る薄膜トランジスタの能動層を形成する技術が望まれている。これらは現在低温プロセスpoly−Si TFTと称されている。
従来の低温プロセスpoly−Si TFTは、非特許文献1に示されている。それによると、まずLPCVD法で原料気体としてモノシランを(SiH4)を用い、堆積温度550℃にて50nmのアモルファスシリコン(a−Si)膜を堆積し、このa−Si膜にレーサー照射を施し、a−Si膜をpoly−Si膜へと改質する。poly−Si膜のバターニング後、ゲート絶縁膜であるSiO2膜をECR−PECVD法で基板温度を100℃として堆積する。ゲート絶縁膜上にタンタル(Ta)にてゲート電極を形成した後、ゲート電極をマスクとしてドナー又はアクセプター不純物をシリコン膜にイオン注入してトランジスタのソース・ドレインを自己整合的(セルフ・アライン)に形成する。この時イオン注入はイオン・ドーピング法と呼ばれる質量非分離型の注入装置を用い、水素希釈されたフォスフィン(PH3)やジボラン(B26)を原料気体として用いている。
注入イオンの活性化は300℃である。その後層間絶縁膜を堆積し、インジウム錫酸化物(ITO)やアルミニウム(Al)で電極や配線を作成し、薄膜半導体装置は完成する。
SID(Society for Information Display)’93ダイジェストP.387(1993)
しかしながら、前述の従来技術に則る低温プロセスpoly−Si TFTには次のような問題が内在しており、これらが量産化の阻害要因となっている。
課題1).工程温度が550℃と高いため、安価なガラスを使用できず、製品価格の高騰を招く。加えてガラス自身の自重によるゆがみが大型化と共に大きくなり、液晶表示装置(LCD)を大型化し得ない。
課題2).基板全体に渡る均一なレーザー照射を行う適正照射条件が厳しく、適用範囲が狭い。そのため、結晶化がロット毎に均一であったり不均一であったりと変動し、安定的な生産ができない。
課題3).ゲート電極に対してソース・ドレインが自己整合するセルフ・アラインTFTをイオン・ドーピング法及びそれに引き続き300℃〜350℃程度の低温で活性化する際、時々活性化ができないとの問題が生ずる。すなわちソース・ドレインの抵抗が数ギガΩになってしまう。この問題はライトリー・ドープド・ドレイン(LDD)TFTを作成しようとするときより深刻となり、著しい歩留まりの低下原因となっている。
課題4).低温プロセスpoly−Si TFTではECR−PECVD法にて作成したSiO2しか良好なトランジスタ特性を示さないが、ECR−PECVD装置はECR源の大型化が困難でLCDの大型化に適さない。また、スループットがきわめて悪い。従って大型基板に適用可能で量産性に富む実用的なゲート酸化膜製造装置が得られていない。
課題5).シリコンなどの半導体膜をレーザー照射等の熔融結晶化によって形成する際に部分凝集が生じ、そのために半導体膜の電気特性に基板内で大きな変動が生じたり、半導体膜表面が粗くなりゲー卜・ソース間やゲート・ドレイン間の電気耐圧が低くなる。
課題6).基板に廉価な汎用ガラスなどを用いたとき、基板から半導体膜への不純物混入を効果的に防ぐ下地保護膜が、最良な電気特性を示す薄膜半導体装置の下地保護膜ではなかった。例えば不純物混入を防ぐために下地保護膜を厚くすると、下地保護膜からのストレスに起因して薄膜半導体装置の電気特性が悪化したり、或いは薄膜半導体装置にひび割れ(クラック)が発生していた。
課題7).半導体膜をプラズマ化学気相堆積法(PECVD法)にて形成する場合、成膜室内を洗浄するとフッ素(F)や炭素(C)等の洗浄気体の構成元素が成膜室内に残留して次に半導体膜を堆積する際に不純物として半導体膜中に混入するなどしていた。その結果基板間で不純物混入量が異なることとなり、優良な薄膜半導体装置を安定的に製造し得ない。

課題8).低圧化学気相堆積法(LPCVD法)にて半導体膜を堆積する場合、堆積温度が低くなるにつれて基板内での均一性と堆積速度の両立が困難となる。すなわち堆積温度を下げると堆積速度が低下するため、これを補償すべく圧力を上げると基板内での均一性が著しく悪化してしまう。この傾向は基板が大きくなるに従って顕著と化し、大型LCD量産の大きな障害となっている。
課題9).薄膜半導体装置の電気特性のばらつきには基板内のばらつきの他に、同一ロット内での基板間のばらつきとロット間でのばらつきの三種類のばらつきが認められる。従来技術の薄膜半導体装置やその製造方法ではこれら三種類のばらつきの制御ができず、とりわけロット間でのばらつきに対しては殆ど何の考慮も払われていなかった。
課題10).PECVD法にて半導体膜を成膜する際に半導体膜と下地保護膜との密着性が悪く、半導体膜にクレーター状の穴が無数に発生したり、おびただしきは膜の剥がれが生じてしまう。
そこで本発明は上述の課題6),7),9)および10)のうち少なくとも一部を解決することを目的とする。
本発明の薄膜半導体装置の製造方法は、少なくとも基板表面の一部に絶縁性物質である下地保護膜を設ける工程と、PECVD装置により、前記下地保護膜に第1のプラズマを照射する工程と、前記下地保護膜に前記第1のプラズマを照射した後、前記PECVD装置により、真空を破ることなく連続して前記下地保護膜上に半導体膜を形成する工程と、前記半導体膜を形成した後、前記PECVD装置により、真空を破ることなく連続して前記半導体膜に第2のプラズマを照射する工程と、前記半導体膜を熔融結晶化させる工程と、を有し、前記第1のプラズマは、酸素プラズマと水素プラズマのうちいずれかであり、前記第2のプラズマは、酸素プラズマと水素プラズマのうちいずれかであり、前記半導体膜をトランジスタの能動層としていることを特徴とする。
また本発明の薄膜半導体装置の製造方法では、前記第1のプラズマは酸素プラズマであり、前記下地保護膜に前記第1のプラズマを照射した後、真空を破ることなく連続して前記下地保護膜に水素プラズマを照射することができる。
また本発明の薄膜半導体装置の製造方法では、前記下地保護膜に第1のプラズマを照射する工程と前記下地保護膜上に半導体膜を形成する工程との間に成膜室の真空引きを行うことができる。
また本発明の薄膜半導体装置の製造方法では、前記第2のプラズマは水素プラズマであり、前記半導体膜に前記第2のプラズマを照射した後、真空を破ることなく連続して前記半導体膜に酸素プラズマを照射することができる。
また本発明の薄膜半導体装置の製造方法では、前記第2のプラズマは酸素プラズマであり、前記半導体膜に第2のプラズマを照射した時に前記半導体膜表面に形成された酸化膜を、前記半導体膜に前記第2のプラズマを照射した後に前記半導体膜の膜表面から除去する工程をさらに有し、前記酸化膜を除去した後直ちに前記半導体膜を熔融結晶化させる工程を行なうことができる。
また本発明の薄膜半導体装置の製造方法では、前記半導体膜に前記第2のプラズマを照射した後、真空を破ることなく連続して前記半導体膜に前記酸素プラズマを照射した時に前記半導体膜表面に形成された酸化膜を、前記半導体膜に前記酸素プラズマを照射した後に前記半導体膜の膜表面から除去する工程をさらに有し、前記酸化膜を除去した後直ちに前記半導体膜を熔融結晶化させる工程を行なうことができる。
また本発明の薄膜半導体装置の製造方法では、前記半導体膜をPECVD法によって製膜する際、前記半導体膜の堆積速度を0.1nm/s以上とすることができる。
また本発明の薄膜半導体装置の製造方法では、前記堆積速度を0.37nm/s以上とすることができる。

本発明の薄膜半導体装置は、前記下地保護膜はその表面粗さが中心線平均粗さで1.5nm以下であることを特徴とする。
本発明の薄膜半導体装置の製造方法は、少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、表面粗さが中心線平均粗さで1.5nm以下である下地保護膜上に半導体膜を成膜する第1の工程と、該半導体膜を熔融結晶化させる第2工程と、を有する工程を有することを特徴とする。
本発明の薄膜半導体装置は、少なくとも基板表面の一部に絶縁性物質である下地保護膜が設けられた基板と、該基板の下地保護膜上に形成されトランジスタの能動層をなしている半導体膜と、を有する薄膜半導体装置に於いて、前記下地保護膜は少なくとも二種類の異なった膜が積層された積層膜であり、該二種類の異なった膜のうち最上層をなす膜が酸化硅素(SiOx、0<x≦2)膜であることを特徴とする。
本発明の薄膜半導体装置は、前記二種類の異なった膜のうち下層をなす膜が窒化硅素(Si3x、0<x≦4)膜であることを特徴とする
本発明の薄膜半導体装置は、前記酸化硅素膜の膜厚が100nmから500nmの間にあり、前記窒化硅素膜の膜厚が50nmから500nmの間にあることを特徴とする
本発明の薄膜半導体装置は、少なくとも基板表面の一部に絶縁性物質である下地保護膜が設けられた基板と、該基板の下地保護膜上に形成された半導体膜とゲート絶縁膜とゲート電極とを有する電界効果トランジスタと、該電界効果トランジスタの配線間の電気的絶縁性をとる層間絶縁膜と、を有する薄膜半導体装置に於いて、前記下地保護膜の膜厚と前記ゲート絶縁膜の膜厚と前記層間絶縁膜の膜厚との和が2μm以下であることを特徴とする。
本発明の薄膜半導体装置の製造方法は、少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、一台のPECVD装置により該下地保護膜と該半導体膜とを連続的に成膜する成膜工程であって、該PECVD装置の成膜室内に付着したる薄膜を取り除く第1工程と、該成膜室にパッシベーション膜を成膜する第2工程と、該成膜室内に基板を設置する第3工程と、該基板上に下地保護膜を成膜する第4工程と、該下地保護膜上に半導体膜を成膜する第5工程と、該成膜室内から該基板を取り出す第6工程と、を有する成膜工程を有することを特徴とする。
本発明の薄膜半導体装置の製造方法は、基板面積(S)が90000mm2以上である基板の少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、複数の基板をLPCVD装置の成膜室内に設置して該半導体膜をLPCVD法により成膜する際、LPCVD装置成膜室内の基板間隔を(d(mm))としたとき、d≧0.02×S1/2の関係式を満たす条件下にて半導体膜を成膜する工程を有することを特徴とする。
本発明の薄膜半導体装置の製造方法は、少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜上にシリコンを含有する半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、高次シラン(Sin2n+2:nは2以上の整数)を原料気体としてLPCVD法により該半導体膜を成膜し、単位面積当たりの高次シラン流量(R)が1.13×10-3sccm/cm2以上の条件下にて半導体膜を成膜する工程を有することを特徴とする。
本発明の薄膜半導体装置の製造方法は、Rが2.27×10-3sccm/cm2以上の条件下にて半導体膜を成膜する工程を有することを特徴とする。
本発明の薄膜半導体装置の製造方法は、少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜上にシリコンを含有する半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、堆積温度が450℃未満で高次シラン(Sin2n+2:nは2以上の整数)を原料気体の少なくとも一種として使用するLPCVD法により前記半導体膜を成膜し、その際に半導体膜の堆積速度(DR)が0.20nm/min以上の条件下にて半導体膜を成膜する工程を有することを特徴とする。
本発明の薄膜半導体装置の製造方法は、DRが0.60nm/min以上の条件下にて半導体膜を成膜する工程を有することを特徴とする。
本発明の薄膜半導体装置は、少なくとも基板表面の一部に絶縁性物質である下地保護膜が設けられた基板と、該基板の下地保護膜上に形成されトランジスタの能動層をなしている半導体膜と、を有する薄膜半導体装置に於いて、前記半導体膜は堆積温度が450℃未満のLPCVD法にて成膜された後に結晶化されることにより形成された半導体膜であって、膜厚が10nm以上140nm以下である半導体膜であることを特徴とする。
本発明の薄膜半導体装置の製造方法は、少なくともガラス基板表面に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、ホット・ウォール型縦型LPCVD装置により前記半導体膜を成膜し、その際に該ホット・ウオール型縦型LPCVD装置内に異なった歪点を有する少なくとも二種類以上の複数のガラス基板を二枚一組として裏面同士を合わせて略水平に設置し、該二枚一組のガラス基板のうち歪点の大きい方のガラス基板を下側とした状態にて半導体膜を堆積する成膜工程を有することを特徴とする。
本発明の薄膜半導体装置の製造方法は、少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、PECVD装置により前記半導体膜を成膜し、その際、下地保護膜に酸素プラズマを照射する第1工程と、真空を破ることなく連続して該下地保護膜上に半導体膜を成膜する第2工程と、を有する成膜工程を有することを特徴とする。
本発明の薄膜半導体装置の製造方法は、前記第1工程と前記第2工程との間に成膜室の真空引きを行うことを特徴とする。
本発明の薄膜半導体装置の製造方法は、少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、PECVD装置により前記半導体膜を成膜し、その際、下地保護膜に水素プラズマを照射する第1工程と、真空を破ることなく連続して該下地保護膜上に半導体膜を成膜する第2工程と、を有する成膜工程を有することを特徴とする。
本発明の薄膜半導体装置の製造方法は、少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、PECVD装置により該半導体膜を成膜し、その際、下地保護膜に酸素プラズマを照射する第1工程と、真空を破ることなく連続して下地保護膜に水素プラズマを照射する第2工程と、さらに真空を破ることなく連続して該下地保護膜上に半導体膜を成膜する第3工程と、を有する成膜工程を有することを特徴とする。
本発明の薄膜半導体装置の製造方法は、前記第1工程と前記第2工程との間に成膜室の真空引きを行うことを特徴とする。
本発明の薄膜半導体装置の製造方法は、少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜土に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、PECVD装置により前記半導体膜を成膜し、その際、該下地保護膜土に半導体膜を成膜する第1工程と、真空を破ることなく連続して該半導体膜に水素プラズマを照射する第2工程と、を有する成膜工程を有することを特徴とする。
本発明の薄膜半導体装置の製造方法は、少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜土に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、PECVD装置により前記半導体膜を成膜し、その際、該下地保護膜上に半導体膜を成膜する第1工程と、真空を破ることなく連続して該半導体膜に酸素プラズマを照射する第2工程と、を有する成膜工程を有することを特徴とする。
本発明の薄膜半導体装置の製造方法は、少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、PECVD装置により前記半導体膜を成膜し、その際、該下地保護膜上に半導体膜を成膜する第1工程と、真空を破ることなく連続して該半導体膜に水素プラズマを照射する第2工程と、さらに真空を破ることなく連続して該半導体膜に酸素プラズマを照射する第3工程と、を有する成膜工程を有することを特徴とする。
本発明の薄膜半導体装置の製造方法は、少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、下地保護膜上に半導体膜を成膜する第1工程と、該半導体膜膜表面から酸化膜を除去する第2工程と、酸化膜除去後直ちに該半導体膜を熔融結晶化させる第3工程と、を有することを特徴とする。
本発明の薄膜半導体装置の製造方法は、少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、PECVD法により堆積速度が0.1nm/s程度以上の条件下にて混晶質の半導体膜を成膜する第1工程と、該半導体膜を熔融結晶化させる第2工程と、を有することを特徴とする。
本発明の薄膜半導体装置の製造方法は、前記第1工程が堆積速度が3.7nm/s程度以上の条件下にて混晶質の半導体膜を成膜する工程であることを特徴とする。
本発明の薄膜半導体装置の製造方法は、少なくとも基板表面の一部に絶縁性物質である下地保護膜を設け、さらに該下地保護膜上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、該半導体膜の構成元素を含有する化学物質と不活性気体を原料気体とし、不活性気体のガスの流量に対する半導体膜の構成元素を含有する化学物質のガスの流量の流量比を1/33未満とした条件下にてPECVD法により混晶質の半導体膜を成膜する第1工程と、該半導体膜を熔融結晶化させる第2工程と、を有することを特徴とする。
本発明の薄膜半導体装置の製造方法は、前記第1工程が前記流量比を1/124から40.67/1の間とした条件下にてPECVD法により混晶質の半導体膜を成膜する工程であることを特徴とする。
本発明の薄膜半導体装置は、少なくとも基板表面の一部に絶縁性物質である下地保護膜が設けられた基板と、該基板の下地保護膜上に形成されトランジスタの能動層をなしている半導体膜と、を有する薄膜半導体装置に於いて、前記半導体膜はPECVD法にて成膜された後に結晶化されることにより形成された半導体膜であって、膜厚が9nm以上135nm以下の半導体膜であることを特徴とする。
本発明の薄膜半導体装置の製造方法は、少なくとも表面の一部が絶縁性物質である基板の該絶縁性物質上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、減圧化学気相堆積法(LPCVD法)により堆積温度450℃未満の温度で半導体膜を堆積する第1工程と、該半導体膜に光学エネルギー又は電磁波エネルギー照射を施す第2工程と、を有し、かつ、該第2工程の終了以降の工程最高温度が350℃以下であることを特徴とする。
本発明の薄膜半導体装置の製造方法は、前記第1工程が堆積温度430℃以下の温度で半導体膜を堆積する工程であることを特徴とする。
本発明の薄膜半導体装置の製造方法は、少なくとも表面の一部が絶縁性物質である基板の該絶縁性物質上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、堆積温度350℃以下で半導体膜を形成する第1工程と、該半導体膜に光学エネルキー又は電磁波エネルギー照射を施す第2工程と、を有し、かつ、該第2工程の終了以降の工程最高温度が350℃以下であることを特徴とする。
本発明の薄膜半導体装置の製造方法は、第1工程がプラズマ化学気相堆積法(PECVD法)により行われることを特徴とする。
本発明の薄膜半導体装置の製造方法は、第1工程がスパッター法により行われることを特徴とする。
本発明の薄膜半導体装置の製造方法は、少なくとも表面の一部が絶縁性物質である基板の該絶縁性物質上に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、VHFプラズマ化学気相堆積法(VHF−PECVD法)により半導体膜を形成する第1工程を有し、かつ、該第1工程の終了以降の工程最高温度が350℃以下であることを特徴とする。
本発明の薄膜半導体装置の製造方法は、前記第1工程にて半導体膜を形成する際、該半導体膜の膜厚を20nmから150nmの間とすることを特徴とする。
本発明の薄膜半導体装置の製造方法は、前記第1工程により半導体膜を形成する際、該半導体膜の構成元素を含有する化学物質を原料気体とし、さらに追加気体として希ガス族元素を用いることを特徴とする。
本発明の薄膜半導体装置の製造方法は、前記半導体膜の構成元素を含有する化学物質がシラン(SiH4、Si26,Si38)であることを特徴とする。
本発明の薄膜半導体装置の製造方法は、前記希ガス族元素がヘリウム(He)であることを特徴とする。
本発明の薄膜半導体装置の製造方法は、前記希ガス族元素がネオン(Ne)であることを特徴とする。
本発明の薄膜半導体装置の製造方法は、前記希ガス族元素がアルゴン(Ar)であることを特徴とする。
本発明の薄膜半導体装置の製造方法は、少なくとも表面の一部が絶縁性物質である基板の該絶縁性物質上に結晶性半導体膜を形成し、該結晶性半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、マイクロ波プラズマ化学気相堆積法(マイクロ波−PECVD法)により結晶性半導体膜を形成する第1工程を有し、かつ、該第1工程以後の工程最高温度が350℃以下であることを特徴とする。
本発明の薄膜半導体装置の製造方法は、前記第1工程により結晶性半導体膜を形成する際、該結晶性半導体膜の膜厚を20nmから150nmの間とすることを特徴とする。
本発明の薄膜半導体装置の製造方法は、前記第1工程にて結晶性半導体膜を形成する際、該結晶性半導体膜の構成元素を含有する化学物質を原料気体とし、さらに追加気体として希ガス族元素を用いることを特徴とする。
本発明の薄膜半導体装置の製造方法は、前記結晶性半導体膜の構成元素を含有する化学物質がシラン(SiH4、Si26,Si38)であることを特徴とする。
本発明の薄膜半導体装置の製造方法は、前記希ガス族元素がヘリウム(He)であることを特徴とする。
本発明の薄膜半導体装置の製造方法は、前記希ガス族元素がネオン(Ne)であることを特徴とする。
本発明の薄膜半導体装置の製造方法は、前記希ガス族元素がアルゴン(Ar)であることを特徴とする。
本発明の薄膜半導体装置の製造方法は、少なくとも表面の一部が絶縁性物質である基板の該絶縁性物質土に半導体膜を形成し、該半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、VHFプラズマ化学気相堆積法(VHF−PECVD法)により半導体膜を形成する第1工程と、該半導体膜を結晶化させる第2工程とを有し、かつ、該第2工程以後の工程最高温度が350℃以下であることを特徴とする。本発明の薄膜半導体装置の製造方法は、前記第2工程にて結晶化された半導体膜の膜厚を10nmから150nmの間とすることを特徴とする。
本発明の薄膜半導体装置の製造方法は、前記第1工程にて半導体膜を形成する際、該半導体膜の構成元素を含有する化学物質を原料気体とし、さらに追加気体として希ガス族元素を用いることを特徴とする。
本発明の薄膜半導体装置の製造方法は、前記半導体膜の構成元素を含有する化学物質がシラン(SiH4、Si26,Si38)であることを特徴とする。
本発明の薄膜半導体装置の製造方法は、前記希ガス族元素がヘリウム(He)であることを特徴とする。
本発明の薄膜半導体装置の製造方法は、前記希ガス族元素がネオン(Ne)であることを特徴とする。
本発明の薄膜半導体装置の製造方法は、前記希ガス族元素がアルゴン(Ar)であることを特徴とする。
本発明の薄膜半導体装置の製造方法は、少なくとも表面の一部が絶縁性物質である基板の該絶縁性物質上に結晶性半導体膜を形成し、該結晶性半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法に於いて、マイクロ波プラズマ化学気相堆積法(マイクロ波−PECVD法)により半導体膜を形成する第1工程と、該半導体膜を結晶化させる第2工程と、を有しかつ、該第2工程以後の工程最高温度が350℃以下であることを特徴とする。
本発明の薄膜半導体装置の製造方法は、前記第2工程により結晶化された半導体膜の膜厚を10nmから150nmの間とすることを特徴とする。
本発明の薄膜半導体装置の製造方法は、前記第1工程にて結晶性半導体膜を形成する際、該結晶性半導体膜の構成元素を含有する化学物質を原料気体とし、さらに追加気体として希ガス族元素を用いることを特徴とする。
本発明の薄膜半導体装置の製造方法は、前記結晶性半導体膜の構成元素を含有する化学物質がシラン(SiH4、Si26,Si38)であることを特徴とする。
本発明の薄膜半導体装置の製造方法は、前記希ガス族元素がヘリウム(He)であることを特徴とする。
本発明の薄膜半導体装置の製造方法は、前記希ガス族元素がネオン(Ne)であることを特徴とする。
本発明の薄膜半導体装置の製造方法は、前記希ガス族元素がアルゴン(Ar)であることを特徴とする。
図面を参照しながら本発明の基礎原理及び作用を説明する。
図1(a)〜(d)は、MIS型電界効果トランジスタを形成する薄膜半導体装置の製造工程を断面で示した概略図である。この図を用いて低温プロセスpoly−Si TFTの製造方法の概略を述べた後に本願発明に関する詳細を各工程毎に説明する。
(1、本願発明の薄膜半導体装置の製造方法の概略)
本発明では基板101の一例として汎用無アルカリガラスを用いる。まず基板101上に常圧化学気相堆積法(APCVD法)やPECVD法或いはスパッター法などで絶縁性物質である下地保護膜102を形成する。次に後に薄膜半導体装置の能動層となる真性シリコン膜等の半導体膜を堆積する。半導体膜はLPCVD法やPECVD法、APCVD法等の化学気相堆積法(CVD法)、或いはスパッター法、蒸着法等の物理気相堆積法(PVD法)によって形成される。こうして得られた半導体膜にレーザー光等の光学エネルギー又は電磁波エネルギーを短時間照射して結晶化を進める。最初に堆積した半導体膜が非晶質であったり、非晶質と微結晶が混在する混晶質であれば、この工程は結晶化と呼ばれる。一方、最初に堆積した半導体膜が多結晶質であれば、この工程は再結晶化と呼ばれる。本明細書では特に断らない限り両者をまとめて単に結晶化と称する。レーザー光等のエネルキー強度が高ければ、結晶化の際に半導体膜は一度熔融し冷却固化過程を経て結晶化する。これを本願では熔融結晶化法と称する。これに対し半導体膜の結晶化を熔融せずに固相にて進める方法を固相成長法(SPC法)と称する。
固相成長法は550℃程度から650℃程度の温度で数時間から数十時間掛けて結晶化を進める熱処理法(Furnace−SPC法)と、一秒未満から一分程度の短時間で700℃から1000℃との高温で結晶化を進める急速熱処理法(RTA法)、及びレーザー光等のエネルキー強度が低いときに生ずる極短時間固相成長法(VST−SPC法)の三者に主として分類される。本願発明はこれら何れの結晶化方法をも適用可能であるが、大型基板を高い生産性で製造するとの視点に則ると熔融結晶化法やRTA法、VST−SPC法がとりわけ適している。これらの結晶化方法では照射時間が非常な短時間でありかつ照射領域も基板全体に対して局所的であるため、半導体膜の結晶化に際して基板全体が熱せられることはなく、故に基板の熱による変形や割れ等も生じないからである。その後この半導体膜をパターニングし、後にトランジスタの能動層となる半導体膜103を作成する。(図1(a))
半導体膜形成後、CVD法やPVD法などでゲート絶縁膜104を形成する。
絶縁膜形成に当たり様々な製造方法が考えられるが、絶縁膜形成温度は350℃以下が好ましい。これはMOS界面の熱劣化やゲート絶縁膜の熱劣化を防ぐために重要である。同じことは以下の総ての工程に対しても適用される。ゲート絶縁膜形成後の総ての工程温度は350℃以下に押さえられねばならない。こうすることにより高性能な薄膜半導体装置を容易に、かつ安定的に製造できるからである。
引き続いてゲート電極105となる薄膜をPVD法或いはCVD法などで堆積する。通常はゲート電極とゲート配線は同一材料にて同一工程で作られるため、この材質は電気抵抗が低く、350℃程度の熱工程に対して安定であることが望まれる。ゲート電極となる薄膜を堆積後パターニングを行い、引き続いて半導体膜に不純物イオン注入106を行ってソース・ドレイン領域107及びチャンネル領域108を形成する。(図1(c))
この時ゲート電極がイオン注入のマスクとなっているが故、チャンネルはゲート電極下のみに形成される自己整合構造となる。不純物イオン注入は質量非分離型イオン注入装置を用いて注入不純物元素の水素化物と水素を注入するイオン・ドーピング法と質量分離型イオン注入装置を用いて所望の不純物元素のみを注入するイオン打ち込み法の二種類が適用され得る。イオン・ドーピング法の原料ガスとしては水素中に希釈された濃度0.1%程度から10%程度のホスフィン(PH3)やジボラン(B26)等の注入不純物元素の水素化物を用いる。イオン打ち込み法では所望の不純物元素のみを注入した後に引き続いて水素イオン(プロトンや水素分子イオン)を注入する。前述の如くMOS界面やゲート絶縁膜を安定に保つためには、イオン・ドーピング法にしろイオン打ち込み法にしろイオン注入時の基板温度は350℃以下でなければならない。一方注入不純物の活性化を350℃以下の低温にて常に安定的に行うには、イオン注入時の基板温度は200℃以上であることが望ましい。
トランジスタのしきい値電圧を調整するためにチャンネル・ドーブ行うとか、或いはLDD構造を作成するといったように低濃度に注入された不純物イオンを低温で確実に活性化するには、イオン注入時の基板温度は250℃以上であることが必要となる。このように基板温度が高い状態でイオン注入を行うと、半導体膜のイオン注入に伴う結晶壊破の際に再結晶化も同時に生じ、結果としてイオン注入部の非晶質化を防ぐことができるのである。すなわちイオン注入された領域は注入後も依然として結晶質として残り、その後の活性化温度が350℃程度以下と低温であっても注入イオンの活性化が可能になる訳である。CMOS TFTを作成するときはポリイミド樹脂等の適当なマスク材を用いてNMOS又はPMOSの一方を交互にマスクで覆い、上述の方法にてそれぞれのイオン注入を行う。イオン注入時の基板温度が300℃程度以下であれば、ポリイミド樹脂に代わって安価で保存等の取扱いが易しい汎用フォト・レジストをイオン注入に対するマスクとして使用することが可能となる。
次に層間絶縁膜109をCVD法或いはPVD法で形成する。イオン注入と層間絶縁膜形成後、350℃程度以下の適当な熱環境下にて数十分から数時間の熱処理を施して注入イオンの活性化及び層間絶縁膜の焼き締めを行う。この熱処理温度は注入イオンを確実に活性化するためにも250℃程度以上が好ましい。また、層間絶縁膜を効能的に焼き締めるには300℃以上の温度が好ましい。通常ゲート絶縁膜と層間絶縁膜とではその膜品質が異なっている。そのために層間絶縁膜形成後二つの絶縁膜にコンタクトホールを開ける際、絶縁膜のエッチング速度が違っているのが普通である。斯様な条件下ではコンタクトホールの形状が下方程広い逆テーパー状になったり或いは庇が発生してしまい、その後電極形成したときに電気的な導通がうまく取れない所謂接触不良の原因となる。層間絶縁膜を効能的に焼き締めるとこうした接触不良の発生を最小限に止められるのである。層間絶縁膜形成後ソース・ドレイン上にコンタクトホールを開孔し、ソース・ドレイン取り出し電極110と配線をPVD法やCVD法などで形成して薄膜半導体装置が完成する。(図1(d))
(2、本願発明の薄膜半導体装置の製造方法に関する工程毎の詳細説明)
(2−1、本発明が適用される基板と下地保護膜)
まず本発明が適用される基板と下地保護膜について説明する。本発明を適用し得る基板としては金属等の導電性物質、シリコン・カーバイト(SiC)やアルミナ(Al23)、窒化アルミニウム(AlN)等のセラミック材料、溶融石英やガラス等の透明絶縁性物質、シリコンウエハーなどの半導体基板及びそれらを加工したLSI、サファイア(三方晶系Al23結晶)などの結晶性絶縁物質等が用いられる。廉価な汎用ガラス基板としてはコーニングジャパン株式会社製#7059ガラスや#1737ガラス、或いは日本電気硝子株式会社製OA−2ガラス、(株)NHテクノグラス製NA35ガラス等が使用され得る。半導体膜は基板の種類に拘りなく、少なくとも基板の表面の一部が絶縁性物質で構成され、その絶縁性物質上に堆積される。この絶縁性物質を本願では下地保護膜と称する。例えば基板として溶融石英基板を用いたときは基板自身が絶縁性物質であるから、溶融石英基板土に直接半導体膜を堆積してもよい。或いは酸化硅素膜(SiOx:0<x≦2)や窒化硅素膜(Si3x:0<x≦4)などの絶縁性物質を溶融石英基板上に下地保護膜として形成した後に半導体膜を堆積してもよい。
基板として通常ガラスを用いる場合、半導体膜を直接絶縁性物質である通常ガラス上に堆積してもよいが、ガラス中に含まれているナトリウム(Na)などの可動イオンが半導体膜中に混入せぬように酸化硅素膜や窒化硅素膜などの絶縁性物質にてガラス基板上に下地保護膜を形成した後に半導体膜を堆積するのが好ましい。こうすることで薄膜半導体装置は長時間に渡る使用や高電圧下での使用に対して動作特性が変化することなく、安定性が増す訳である。本願ではこの安定性のことをトランジスタの信頼性と呼ぶ。サファイア等の結晶性絶縁物質を基板として用いる場合を除いて半導体膜は下地保護膜上に堆積されるのが好ましい。各種セラミック基板を基板として用いる場合、セラミック中に添加されている焼結助材原料が半導体部に拡散混入するのを防ぐ役割を下地保護膜が演じている。
また、金属材料を基板として用いるときには絶縁性を確保するために下地保護膜は必要不可欠である。さらに半導体基板やLSI素子ではトランジスタ間や配線間の層間絶縁膜等が下地保護膜の役割を担っている。基板の大きさや形状にはそれが製造工程中の熱環境に対して伸縮や歪み等の変形が生じない限り全く何の制限も加えられない。すなわち直径3インチ(76.2mm)程度の円板から560mm×720mm程度以上の長方形基板に至るまで任意である。
下地保護膜はまず基板を純水にて洗浄した後、基板上にAPCVD法、LPCVD法、PECVD法等のCVD法、或いはスパッター法などのPVD法で酸化硅素膜や酸化アルミニウム膜、酸化タンタル膜等の酸化膜、或いは窒化硅素膜などの窒化膜により形成される。酸化膜や窒化膜は最初にシリコン膜やアルミニウム、タンタルなどの金属膜を基板上に成膜しておき、熱的或いは電気的な化学反応を利用して形成してもよい。例えば100nm程度のタンタルをスパッター法で堆積しておき、その後450℃程度の酸化性雰囲気下にて熱酸化を施して200nm程度の酸化タンタル膜を形成することも可能である。APCVD法では基板温度250℃から450℃程度でモノシラン(SiH4)や酸素を原料とすれば酸化硅素膜を堆積できる。PECVD法やスパッター法では基板温度を室温から400℃程度の間でこれら下地保護膜が形成される。
本願発明では下地保護膜上に形成された半導体膜がトランジスタの能動層として働き、その半導体膜が結晶化によって作成されるため、下地保護膜の性質が半導体膜品質に強い影響を及ぼす。まず下地保護膜の表面粗さは中心線平均粗さで3.0nm以下であることが望まれる。下地保護膜上にCVD法でシリコンなどの半導体膜を堆積するとき、膜形成の極初期段階ではまず基板土に幾つかの核が発生する。この核は徐々に成長して行く一方で、未だに核の発生を見ぬ下地保護膜上では新たな核が発生してくる。これらの核が成長してお互いにぶつかり合い、やがて膜として連なる訳である。斯様な成膜機構に起因して堆積膜は非晶質か結晶質かを問わず何れも皆成膜初期段階の核に対応する領域から構成されることとなる。従って核の発生密度が低ければ堆積膜を構成する領域は大きくなる。結晶化する前の半導体膜を構成する領域が大きければ、結晶化された半導体膜を構成する結晶粒も大きくなる。半導体膜の結晶粒が大きくなると、これをトランジスタの能動層としている薄膜半導体装置の移動度等の電気特性が良くなる訳である。本願発明者の実験によると下地保護膜の表面粗さが中心線平均粗さで3.0nm以下と成れば核の発生密度を低く抑えられ、結果として高性能の薄膜半導体装置が製造され得ることが判明した。これは下地保護膜表面の凸凹が核発生の一因となり、凸凹が激しい程核発生密度が上るが故と考えられる。さらに半導体膜を熔融結晶化する場合には下地保護膜の表面粗さは中心線平均粗さで1.5nm以下が好ましい。表面がこれほど滑らかになると熔融したシリコン等の半導体物質が下地保護膜上でよく広がることとなる。そのために大粒径の結晶粒が成長し易くなり、薄膜半導体装置の特性が急速に改善されるのである。同時に熔融半導体物質が冷却固化してくる過程で溶融物質の局所凝集が生じなくなり、熔融領域内の均一性が高まることとなる。薄膜半導体装置に対してもLSIのスケーリング則は適用されるようで今後の集積化に伴い素子の微細化が進む物と思われる。
このようにトランジスタ・サイズが1μm程度のオーダーからさらにサブミクロンのオーダーへと微細化されて行くにつれ、局所凝集をいかに避けるかが重要な課題となる。熔融結晶化で半導体膜を形成する場合、理想的には下地保護膜の表面粗さは中心線平均粗さで1.0nm以下である。こうすることにより大粒径の結晶粒から構成される半導体膜を局所凝集のない均一な膜として得られる訳である。
下地保護膜の別な役割は基板からの不純物元素の拡散混入を防ぐことにある。
これには下地保護膜を少なくとも二種類以上の異なった膜で積層することが有効である。例えば基板上に下層から酸化タンタル膜、窒化硅素膜、酸化硅素膜と積層する。通常基板内には様々な種類の不純物元素が含まれており、これらの絶縁物質中の拡散係数は皆異なっている。ある種の不純物元素は下地保護膜を構成するある一層では拡散は遅いが他の層では速いと言ったようなことが容易に生じ得る。基板中に色々な不純物元素が含まれており、後述するように下地保護膜の膜厚に所定の制限がある以上、下地保護膜は一層で構成されるより複数の異なった膜で積層された方が不純物の拡散混入を防ぐ下地保護膜の能力は高いことになる。下地保護膜の材質は様々な物が考えられるが、CVD法などで簡単に成膜できる点を考慮すると窒化硅素膜と酸化硅素膜の積層が最適である。このような二層の場合、或いは多層の場合でも下地保護膜の最上層は酸化硅素膜であることが好ましい。これは下地保護膜と半導体層との界面に必然的に発生する界面準位を酸化硅素膜が最も少なくするからである。とりわけ半導体膜がトランジスタの動作状態下で膜全体に空乏層が広がるような数百nm以下の薄膜である場合はこの界面準位を低く抑えることが肝要となる。本願発明の薄膜半導体装置の最適半導体膜厚は製造方法に応じて多少異なるが、およそ150nm以下である。しかも半導体膜品質が高いため、結晶粒界の捕獲準位や結晶粒界内の結晶欠陥が少ない。
こうした理由に基づき、トランジスタの動作状態下では半導体膜全体に空乏層が広がる。下地保護膜と半導体膜との界面に生ずる界面準位が多いと、これらは実質的にドナーイオンやアクセプターイオンと同等の働きをするが故、チャンネル形成時の空乏層の広がりを遅らせて閾値電圧を高くしてしまう。すなわち、トランジスタ特性を悪化させる一要因と化すのである。下地保護膜表面のトランジスタ特性への効果が出現してくるのは半導体膜厚がおよそ150nm以下からで、かつチャンネル部の実質的不純物濃度(NMOSであれば(アクセプター・イオン濃度)−(ドナー・イオン濃度)+(アクセプター・イオンのように働く捕獲準位や結晶欠陥濃度)、PMOSであれば(ドナー・イオン濃度)−(アクセプター・イオン濃度)+ドナー・イオンのように働く捕獲準位や結晶欠陥濃度))が1×1018cm-3程度以下の場合、或いは閾値電圧(Vth)の絶対値が4.5V程度以下の薄膜半導体装置からである。これらの条件を満たすトランジスタの特性をさらに高くするためには下地保護膜表面の調整が不可欠で、その一つとして下地保護膜が多層であるときの最上層は酸化硅素膜が好ましい訳である。
さて下地保護膜の厚さは基板からの不純物イオンの拡散混入を防ぐのに十分の厚さが必要で、その値は最小で100nm程度である。ロット間や基板間のばらつきを考慮すると200nm程度以上が好ましく、300nmあれば保護膜としての機能を十分に果たし得る。下地保護膜がIC素子間やこれらを結ぶ配線等の層間絶縁膜を兼ねるときには通常400nmから600nm程度の膜厚となる。
絶縁膜が余りにも厚くなると絶縁膜にストレスに起因するクッラクが生ずるため、最大膜厚は2μm程度が好ましい。さらにスルーブットを考慮に入れると1μm程度が上限である。前述のように下地保護膜が下層の窒化硅素膜と上層の酸化硅素膜からなるときもこの関係は同様で最も薄くとも其々50nmずつの計100nmは必要である。本願発明の薄膜半導体装置ではゲート絶縁膜を350℃程度以下のCVD法やPVD法で形成する。
その際、清浄なMOS界面を得るためにゲート絶縁膜形成直前に半導体膜表面の自然酸化膜を一度除去してからゲート酸化膜を形成する。この自然酸化膜除去工程では半導体膜表面の自然酸化膜のみならず、半導体膜が存在せず下地保護膜が露出している酸化硅素膜表面も矢張り一部除去されてしまう。自然酸化膜除去工程後も下地保護膜が正しく機能するためには、少なくとも下地保護膜を成す酸化硅素膜の膜厚は100nm以上が必要となる。すなわち酸化硅素膜の最小膜厚は100nm程度で、窒化硅素膜の最小膜厚は50nm程度である。50nm以下の薄膜では膜が膜としてつながらず、所々に空隙ができることがある。
このような場合不純物混入を防ぐという下地保護膜の機能は失われてしまうから、いずれの膜を用いる場合であっても最低限50nmは必要である。窒化硅素膜や酸化硅素膜等の膜厚の上限値は前述の如く2μm程度である。然るに下地保護膜はその膜厚が300nmもあればその機能を十分に発揮する上、逆にこれ以上大きく厚過ぎれば膜ストレスに起因するクラックの発生やトランジスタ特性の劣化が生ずるため、理想的な上限値は略500nmである。異なった膜を積層する場合は各膜によりストレス状態が違うため、各層が各々500nm程度以下であれば問題はない。
一般にCVD法やPVD法で350℃程度以下の堆積温度にて形成された酸化硅素膜はその膜内部に強いストレスを有する。こうしたストレスの一部は膜形成後の高温熱処理にて解放されるのが普通である。ところが本発明の低温プロセスではゲート絶縁膜形成以後の工程最高温度が350℃程度以下でしかない。
このような低温熱処理しか被むることのない酸化硅素膜はストレス解放が困難で、それ故酸化硅素膜厚が2μm程度以上になると基板にひび割れが入ってしまう。さらに基板が300mm×300mm程度以上と大型化すると大型化につれ、より容易にストレスが集積されるようになり、ますます簡単にひび割れが生じるようになる。この事情は酸化硅素膜が単層の場合であっても複層であっても同じで、酸化硅素膜の総膜厚が2μm以上になるとその膜厚に応じてひび割れが発生する。本願発明の薄膜半導体装置は基板表面の一部に絶縁性物質である下地保護膜が設けられ、この下地保護膜上には半導体膜とゲート絶縁膜とゲート電極よりなる電界効果トランジスタが形成され、さらにこの電界効果トランジスタの配線間の電気的絶縁性を取る層間絶縁膜が設けられている。下地保護膜の最上層は少なくとも酸化硅素膜からなり、また、ゲート絶縁膜のMOS界面側も酸化硅素膜からなり、さらに通常は層間絶縁膜の一部も酸化硅素膜からなる。従ってこれら三種類の酸化硅素膜の膜厚の和が2μm以下であれば、低温プロセスで大型基板上に形成された薄膜半導体装置であってもひび割れが生ずることはない。無論下地保護膜の膜厚とゲート絶縁膜の膜厚と層間絶縁膜の膜厚との和が2μm以下であれば、より確実にひび割れの防止が可能となる。
先に下地保護膜の最上層が酸化硅素膜であることが好ましい理由で説明した通り、本願発明の薄膜半導体装置のようにトランジスタの能動層として高品質の半導体薄膜を用いるときには、半導体膜と下地保護膜との間の界面制御が重要となる。とりわけ溶融結晶化により半導体膜を形成するときは下地保護膜表面はできるだけ清浄であることが望まれる。下地保護膜表面が清浄であれば、単に下地保護膜と半導体膜との間に存在する界面準位を減らすにのみならず、汚れ等の不純物を半導体膜の溶融過程中に半導体膜内部に取り込むこともなくなるからである。
このためには一台の成膜装置で下地保護膜と半導体膜を連続成膜させればよい。下地保護膜は窒化硅素膜や酸化硅素膜、或いは両者の積層とし、半導体膜をシリコン膜やシリコン・ゲルマニウム膜とすれば下地保護膜と半導体膜は一台のPECVD装置で容易に連続成膜される。薄膜半導体装置の量産を考えると、これらの膜の成膜室内は定期的に洗浄し、PECVD装置の成膜室内に付着したる薄膜を取り除くことが必要となる。洗浄を施さず成膜室内に薄膜を付着し続けると膜が剥がれ落ちたり、或いは微粒子の異常発生を招いて歩留まりが著しく低下してしまうからある。
一方で洗浄工程により成膜室内から薄膜が取り除かれると、成膜室内には必ずフッ素(F)や炭素(C)等の洗浄気体の構成元素が微量とは言え残留する。こうした状態で半導体膜を堆積すると残留元素が不純物として半導体膜中に取り込まれ、トランジスタの特性を悪化させてしまう。しかも洗浄工程後に何枚もの基板を続けて処理し、所定の枚数を処理した後に洗浄工程を入れると、洗浄工程直後の基板に取り込まれる不純物量は多く、洗浄工程直前の基板に取り込まれる不純物量は少ないという事態に陥る。換言すれば基板間で不純物混入量が異なり、それが故優良な薄膜半導体装置を安定的に製造し得ないのである。そこで本願発明ではこの洗浄工程を先の連続成膜の工程と同じ一連の作業として行う。すなわち基板を一枚成膜処理する毎に洗浄工程を入れるのである。
まず第1工程として基板をPECVD装置の成膜室に設置する前に、その一枚前の基板処理時に成膜室内に付着した薄膜を取り除く。具体的にはNF3やCF4、CHF3、CH22、CH3Fなどの洗浄気体を単体、又はこれら洗浄気体と酸素(O2)や水素(H2)、アンモニア(NH3)などの反応制御気体との混合体、或いは必要に応じてヘリウム(He)、アルゴン(Ar)、窒素(N2)などの不活性気体をさらに適宜混合して成膜室内に導入し、プラズマを立てる。この工程により成膜室内に付着した薄膜が取り除かれる。この洗浄工程終了後成膜室内を一度真空引きし、できる限り残留気体が残らぬようにする。
続く第2工程では成膜室に窒化硅素膜や酸化硅素膜を残留不純物元素に対するパッシベーション膜として堆積する。すなわちこのパッシベーション膜にて不純物元素を閉じ込めるのである。パッシベーション膜は下地保護膜と同様に100nm程度以上の膜厚が確実に不純物混入を防ぐには必要である。このパッシベーション膜は一枚の基板処理が終了する毎に完全除去される必要がある。従って余りにも厚過ぎると先の第1工程の洗浄時間とパッシベーション膜を成膜する第2工程の時間が長くなり生産性を落とすので、パッシベーション膜は厚くとも1μm程度が上限である。パッシベーション膜に窒化硅素膜を用いる際にはアンモニア(NH3)とシラン(SiH4、Si26…等)を原料気体として用い、酸化硅素膜を用いる際には笑気ガス(N2O)とシランを用いる。
第3工程で成膜室内に基板を設置した後、第4工程でこの基板上に下地保護膜を成膜する。下地保護膜は基板上では下地保護膜として機能しているが、基板外の成膜室内では第2のパッシベーション膜として機能する。下地保護膜はそれ単体で不純物の下地保護膜中の拡散を防ぐから、第2工程で成膜されたパッシベーション膜と合わせればほぼ完全に不純物の半導体膜中への混入を防止することが可能になる。この第4工程に連続して真空を破ることなく第5工程で半導体膜を成膜し、第6工程で成膜室から基板を取り出して一枚の基板処理作業が終了する。以後各基板に対して同じ基板処理作業を繰り返す。こうした基板処理作業に則って下地保護膜と半導体膜の連続成膜を行うと、下地保護膜と半導体膜との間の界面が清浄と化し優良な薄膜半導体装置が製造されるのである。さらに半導体膜中へのフッ素や炭素等の不純物混入量も最小限に止められ、しかも仮に不純物の極微量混入があったとしても、それらの量を基板間で常に同一に保つことができるので、結果として優良な薄膜半導体装置を安定的にしかも高い生産性で製造し得る訳である。
(2−2、本発明の半導体膜とそれらを成膜するための原料物質)
本発明では半導体膜を何らかの基板の上に堆積する。これは以下総ての発明に共通している。本発明が適用される半導体膜の種類としてはシリコン(Si)やゲルマニウム(Ge)などの単体の半導体膜の他にシリコン・ゲルマニウム(SixGe1-x:0<x<1)やシリコン・カーバイト(Six1-x:0<x<1)やゲルマニウム・カーバイト(Gex1-x:0<x<1)等の四族元素複合体の半導体膜やガリウム・ヒ素(GaAs)、インジウム・アンチモン(InSd)等の三族元素と五族元素の複合体化合物半導体膜、又はカドミウム・セレン(CdSe)等の二族元素と六族元素の複合体化合物半導体膜も可能である。或いは、シリコン・ゲルマニウム・ガリウム・ヒ素(SixGeyGazAsz:x+y+z=1)と言った更なる複合化合物半導体膜やこれらの半導体膜にリン(P)、ヒ素(As)、アンチモン(Sb)等のドナー元素を添加したN型半導体膜、或いはホウ素(B)、アルミニウム(Al)、ガリウム(Ga)、インジウム(In)等のアクセプター元素を添加したP型半導体膜にも本発明は適用可能である。
本発明では半導体膜をCVD法で堆積する場合、堆積される半導体膜の構成元素を含有する化学物質を原料気体として半導体膜を堆積する。例えば半導体膜がシリコン(Si)である場合、原料気体としてはモノシラン(SiH4)、ジシラン(Si26)、トリシラン(Si38)、ジクロールシラン(SiH2Cl2)等のシランを用いる。本明細書ではジシランやトリシランを高次シラン(Sin2n+2:nは2以上の整数)と称する。ゲルマニウム(Ge)が半導体膜である場合はゲルマン(GeH4)等を用いるし、燐(P)やポロン(B)を半導体膜に添加するときにはフォスフィン(PH3)やジボラン(B26)なども共に用いられる。原料気体としては前述の各種半導体膜を構成する元素を含有する化学物質が用いられるが、必ず原料気体の一部が半導体膜中に残留するが故、構成元素の水素化物がより好ましい。例えばジクロールシラン(SiH2Cl2)から成膜されるシリコン膜には量の大小はともかく必ず塩素(Cl)が残留し、このシリコン膜を薄膜半導体装置の能動層に用いた場合残留塩素がトランジスタ特性の劣化要因となる。従ってジクロールシランよりは構成元素の水素化物であるモノシラン(SiH4)の方が好ましい。
原料気体及び必要に応じて添加される追加気体の純度は高ければ高い程好ましいが、高純度気体を得る技術的な困難さの増大と、価格上昇を考慮すると、純度は99.9999%以上が好ましい。通常半導体膜成膜装置は背景真空度が10-6torr程度であり、成膜圧力が0.1torrから数torrである。それ故背景真空から成膜過程への不純物混入の割合は10-5から10-6程度となる。成膜に用いる原料気体や追加気体の純度はそれらの気体を利用する成膜装置の背景真空度に対する成膜圧力の比と同等であれば十分である。従って本発明にて成膜装置に流す気体の純度は99.999%以上(不純物の割合が1×10-5以下)が好ましく、99.9999%(不純物の割合が1×10-6以下)であれば原料としての使用に全く支障はなく、背景真空度と成膜圧力の比の十倍の純度(この例では純度が99.99999%で、不純物の割合が1×10-7以下)となれば気体からの不純物混入は全く考慮する必要はなく理想的である。
(2−3、本発明で用いられるLPCVD装置)
本願発明で半導体膜をLPCVD法で堆積する場合のLPCVD装置の概要を説明する。LPCVD装置は縦型炉であっても横型炉であっても構わない。一般には成膜室は石英などからできており、その成膜室の中央部付近に基板を設置する。成膜室の外側には複数のゾーンに分かれたヒーターが設置されており、それらを独立に調整することで反応室中央部付近に所望の温度で均熱帯を形成する。
所謂ホット・ウォール型のLPCVD装置である。複数のヒーターを其々独立に調整すれば均熱帯内での温度のずれを0.2℃以内とすることが可能となる。均熱帯内での温度の変動量が僅かであるとはいえ必ず存在し、この温度偏差が堆積膜厚変動の第1因子であり、さらに基板内の均一性が基板間の均一性に優先するが故、ヒーターからの熱輻射方向に対して基板は平行に設置されるのが望ましい。例えばLPCVD装置が縦型炉であれば、基板は略水平に設置したほうが垂直に設置するよりも半導体膜を均一に成膜できる。反対に横型炉であれば基板を略垂直に設置したほうがよい。シラン(SiH4)ジシラン(Si26)或いはゲルマン(GeH4)等の原料気体と、必要に応じて用いられるヘリウム・窒素・アルゴン・水素等の希釈ガスは成膜室の一方に設けられた気体導入部より成膜室に導入され、成膜室の中央部付近に設置された複数の基板や成膜室の壁面などに半導体膜を堆積した後に気体導入部とは反対の他方から排気される。成膜室からの排気はゲート・バルブやコンダクタンス・バルブを介してターボ分子ポンプやロータリー・ポンプなどの真空排気装置によって取られる。真空排気装置は本願発明ではターボ分子ポンプとロータリーポンプから構成されているが、この他にもメカニカル・ブースター・ポンプやドライポンプなどを組み合わせてもよい。
縦型炉か横型炉かを問わず成膜室に設置された基板の法線方向を成膜室内の気体流の方向と略一致させることで、半導体膜の均一性が比較的容易に得られるようになる。すなわち縦型炉であれば前述の如く基板は略水平に設置されるが故気体は上下方向に流されるのが好ましい。同様に横型炉であれば基板は略垂直に設置されているから気体は水平方向に流されるのが好ましい訳である。本発明で用いられるLPCVD装置は高真空型であり、成膜時の背景真空度は10-7torr代である。そのために基板やボート治具等からの不必要で必然的に生ずる脱ガスを十分速く排気することが可能となっている。基板やボート治具等から発生する脱ガスには水(H2O)、酸素(O2)等が含まれており、これらの不純物ガスは良質な半導体膜の成長を阻害する。すなわち基板やボート治具から発生した不純物ガスはシリコン膜などの半導体膜堆積に際し、堆積の初期過程では堆積膜の核となり得る。これがため脱ガスが十分排気されない場合には沢山の不純物ガスが基板表面に吸着し、多くの核が発生してしまう。
半導体膜を堆積して、その後熱処理やレーザー照射等で結晶化させても、これらの脱ガスに起因する多量の核の存在は結晶成長後の平均粒径サイズを小さくし、半導体特性を低下させる。加えて堆積進行中にもこれらの脱ガス不純物は成長半導体膜内に取り込まれるため、半導体特性はさらに劣下してしまう。(2−1)の項で説明したように核の発生を抑えるには下地保護膜の材質や表面粗さが重要な役割を演ずる訳であるが、同時に半導体膜の堆積条件も慎重に制御されねばならないのである。結局、良質な半導体膜を形成するには、下地保護膜表面を核が発生しにくいように調整した上で、さらに基板などから不可避的に発生する脱ガス不純物を十分速く排気し得るLPCVD装置を使用することが不可欠なのである。
LPCVD法では原料気体の熱分解を利用して基板上に半導体膜を堆積する。
この方法で300mm×300mmと言ったような大型基板に、それを使用し得る比較的低い堆積温度で、かつ高い生産性を持って膜形成を行う場合の最大の課題は堆積速度(DR)と均一性の両立である。例えば前述した安価な大型汎用ガラス基板上にシリコン膜を堆積することを考える。基板サイズが300mm×300mm以上となるような大型基板は基板をどのように設置しても、堆積温度が450℃程度未満でないと成膜中に基板の自重により歪みが生ずる。いうまでもなくこの熱による歪みは堆積温度が低い程小さくなるが、歪みがパターニング時の露光などの後工程に全く影響を及ぼさぬ程小さくなるのは堆積温度が430℃程度以下となったときである。そこでシリコン膜等の半導体膜を425℃といったような低温でジシランなどの高次シランを用いて堆積するのだが、堆積温度をこれ程にまで下げると堆積速度も非常に遅くなってしまう。そこで低い堆積温度であっても速い堆積速度が得られるように堆積圧力を上げる。
気体濃度は圧力に比例するから堆積圧力を上げることは原料気体濃度を上げるに等しく、それ故原料の基板表面への輸送速度が大きくなって堆積速度は速くなるのである。しかしながら斯様な堆積方法を用いると大型基板の周辺部の半導体膜のみが特に厚くなり、その結果基板面内の均一性が悪くなってしまう。基板の中心部と周辺部の膜厚の相異は基板が大きくなるに従って顕著になり、また、堆積温度が低下するに従って矢張り顕著と化す。
この一つの原因としては原料気体の輸送速度を大きくしたときに基板のエッヂ部で乱流が発生し、そのために周辺部のみに著しく多量の原料物質が輸送され、最終的に中心部に比較して膜が厚くなるのだと考えられる。もう一つの原因は基板サイズの増大に伴い中心部への気相での輸送速度が落ちるためだと思われる。換言すれば450℃程度末満、或いは430℃程度未満の低温で速い堆積速度と均一な膜厚分布を得るには原料気体の気相中での輸送速度が基板の中央部や周辺部といった場所によらず常に大きい状態にあり、しかもエッヂ部に発生する乱流を最小限に制御することが肝要なのである。さて堆積時の原料気体分圧(ジシランが原料気体ならばジシラン分圧)が10mtorr程度から5torr程度の真空度であれば、乱流の大小と輸送速度の相異はLPCVD装置内に設置した基板間隔dによりある程度制御し得ることが発明者の行った一連の実験から明らかとなった。発明者の実験では一般に基板間隔dが大きい程均一性は良くなる傾向が認められ、さらに基板が大きくなるにつれて同じ均一性を得るにもより大きな基板間隔が必要となることが判明した。基板間隔がある程度広がると基板中央部に対しても効果的に原料気体が輸送され、中央部と周辺部での輸送量の差が小さくなること、及び周辺部に発生する乱流が小さくなることの二つの事象により、均一性は改善されると思われる。
具体的には堆積温度が410℃程度から440℃程度であり、基板の面積Sが90000mm2(300mm×300mmの基板)程度以上であるとき、基板間隔dをd≧0.02×S1/2(mm)…(1)
(1)式を満たすように設定すれば均一性が改善されるのである。例えば300mm×300mmの基板をLPCVD装置内に設置する場合は基板間隔dを6mm以上とすればよい。実際堆積温度425℃、ジシラン流量200sccm、ヘリウム流量1000sccm、圧力1.2torr、ジシラン分圧200mtorr、堆積速度0.85nm/minの堆積条件で300mm×300mmの基板を7.5mm間隔でLPCVD装置内に設置したときに基板の周辺1cmを除いた膜厚のばらつきは僅か3.4%であった(但しここでばらつきは周辺部を除いた280mm×280mmの領域内の最大膜厚をmax、最小膜厚をminとしたとき(max−min)/(max+min)で定義した。)。
これに対して全く同じ堆積条件で同じサイズの基板を5mm間隔でLPCVD装置内に設置したときのばらつきは8.9%となった。後述するように半導体膜厚は薄膜半導体装置の性能に対して強い影響を及ぼすが、ばらつきがおよそ5%以内であれば、性能の差異は殆ど問題にならない。同様に360mm×465mmの基板を10mm間隔でLPCVD装置内に設置したときのばらつきが4.2%であったのに対し、7.5mm間隔のときは10.1%であった。(1)式に従えば、360mm×465mmの基板に対しては基板間隔dは8.2mm以上とすべきであるが、事実はこれを忠実に支持している。このように例えば均熱帯の幅が約120cmで設置基板の間隔を10mmとすれば、処理基板の上下又は前後のダミー空間を考慮しても1バッチで100枚の基板処理が可能である。次項にて述べられる本願発明の成膜方法を利用すると、1バッチ当たりの処理時間は3時間程度となる。それ故、基板一枚当たりの処理時間(本願ではこれをタクト時間と称する)は1分48秒となり、LPCVD装置のメンテナンスなどの停止期間を加味してもタクト時間が2分程度となる。すなわち斯様な高生産性をして均一性のよい薄膜半導体装置が製造されるのである。
前述の如く堆積温度を下げるに従い、堆積速度が遅くなり、ために均一性を得るのも困難になる。堆積温度が410℃程度未満となれば(1)に代わり、d≧0.04×S1/2(mm)…(2)
(2)式の条件を満たすように基板を設置すると同様に良好な均一性が得られる。尚、図3(a)に示すように横型炉を用いて二枚の基板を一組として互いに背中合わせにボート上に立て掛けて半導体膜を成膜する場合は隣接する組間の距離が基板間隔dに相当する。先の360mm×465mmの例を考えると1バッチで200枚の基板処理ができるようになり、さらに生産性は倍増する訳である。同様の関係は縦型LPCVD装置に対しても当てはまる。この場合も二枚一組としてガラス基板の裏面同士を合わせて略水平に設置する。すなわち二枚のガラス基板のうちで下側の基板は表面が下向きとなり、上側の基板は表面が上向きとなる。
こうしたときも組間の距離dが前述の基板間隔に相当する。(図3(b)参照)ホット・ウォール型縦型LPCVD装置で大型基板を水平に設置するときに生ずる問題の一つが図4に示す基板の中央部の反りである。この反りは基板が大きくなる程大きくなり、また、ガラスの歪点が低い基板程大きくなる。その一方でガラス基板は歪点が高く耐熱性が高い物程その価格が高い傾向にある。
そこで図3(b)に示したように二枚一組として複数のガラス基板をLPCVD装置内に設置する際、互いに歪点の異なるガラスを一組とし、歪点の大きい方のガラス基板が下側となるようにして半導体膜を堆積する。すると歪点の大きいガラスの反りは小さいから、その上に設けられた歪点の小さいガラスの反りも小さくすることができ、結果としてさらに安価なガラス基板が使用可能となる訳である。すなわち二枚一組とすることで単に生産性が倍増するにのみならず、LCD一枚当たりの価格をも容易に引き下げられるのである。
(2−4、本発明によるLPCVD法での半導体膜堆積)
前項で説明したように、汎用大型ガラス基板を使用するに当たり、堆積温度はできる限り低い方が好ましい。しかしながら堆積温度の低下は同時に堆積速度の低下をも意味している。堆積速度が遅くなると成膜に費やす時間が長くなり、生産性を落とすのは無論であるが、それ以外にも薄膜半導体装置の性能にも悪影響を及ぼす。
逆をいうと半導体膜にシリコンが含有される良好な薄膜半導体装置を低温プロセスで製造するに当たり、半導体膜を堆積温度が450℃未満、とりわけ430℃程度以下でジシラン等の高次シランを用いて堆積する際、堆積速度が0.20nm/min以上であれば移動度の大きい薄膜半導体装置ができ、さらに堆積速度を0.60nm/min以上とすれば基板内のトランジスタ特性の変動を小さくし得るのである。また、純粋なシリコン膜からなる半導体膜を430℃程度以下の低温で、かつシリコン膜の堆積速度を0.20nm/min程度以上として形成したときには熔融結晶化された半導体膜品質はレーザーの変動に対して安定で、しかもこれを用いたpoly−Si TFTではECR−PECVD装置を用いずに形成したSiO2膜をゲート絶縁膜として用いても良好なトランジスタ特性を有する薄膜半導体装置が作成されるのである。実際堆積温度400℃、ジシラン流量200sccm、ヘリウム流量1000sccm、圧力880mtorr、ジシラン分圧147mtorr、堆積速度0.12nm/minとの堆積条件や堆積温度425℃、ジシラン流量200sccm、水素流量200sccm、圧力131mtorr、ジシラン分圧65.5mtorr、堆積速度0.19nm/minとの条件で堆積した非晶質シリコン膜は透過型電子顕微鏡写真によると至る所に黒い斑点が観測され、RTA法で結晶化した後の結晶粒径も小さかった。
そのためにこれをトランジスタの能動層としたときに移動度も小さくなるのである。堆積速度が0.20nm/minよりも遅いときに何故非晶質Si上に黒い斑点が発生し、トランジスタ特性を劣化させるのかその詳細は定かではないが、恐らく余りにも成長速度が遅いため、膜成長の表面が気相に露呈している時間も長くなり、結果として背景真空からの不純物混入が多くなる物と思われる。従って堆積速度の下限はLPCVD装置の背景真空度に依存する。すなわち本願のように背景真空度が1×10-7torr〜1×10-6torrのLPCVD装置では堆積速度が0.20nm/min以上で良質な半導体膜が堆積されるのである。堆積速度が0.60nm/min以上となればこうした影響は全くなくなり、そのためにトランジスタ特性の変動量も小さくなるのである。
さらに後述するように本願発明のLPCVD法で薄膜半導体装置を作成したときの半導体膜の最適膜厚はおよそ50nmである。従って堆積速度が0.60nm/min以上であれば堆積時間は80分程度である。LPCVD装置に基板を入れて真空引きをするのに約20分を費やし、成膜前の予備加熱時間が約1時間、上述の如く堆積時間が約1時間20分、成膜後の真空引きと基板取り出しを行うのに約20分となり、都合1バッチの処理時間は3時間程度となる。前項で示した通り、1バッチで100枚の基板を処理すればタクト時間は2分程度となり、二枚一組の方法を用いればタクト時間が1分未満との非常な高生産性が実現される。
これまで述べてきたように、高性能な低温プロセスpoly−Si TFTを安定的に大型基板上に作成するには、シリコンを含有する半導体膜を430℃程度以下の温度で、堆積速度が0.6nm/min以上の速さで、かつ大型基板内の膜厚のばらつきを5%程度以下とすることが理想的には求められる。この条件はLPCVD法で半導体膜を成膜する際に原料気体としてジシランなどの高次シランを用い、LPCVD装置成膜室内で半導体膜が成膜され得る表面の総面積A(cm2)と半導体膜成膜中に成膜室内に導入される高次シランの流量Q(sccm)との関係を規定することで満足される。すなわち単位面積当たりの高次シラン流量をR(sccm/cm2)R=Q/Aにて定義したとき、この値を調整することで上記三種の理想条件が満たされるのである。LPCVD法による半導体膜成膜に際して堆積温度は主として基板表面での化学反応速度を定める。
一方気相に於ける原料気体の輸送速度は空間内の原料気体の濃度と正の相関にある。原料気体の濃度CはC=P/kTgの関係式で原料気体の圧力Pと温度Tgに結び付いている。(kはボルツマン定数。)堆積温度を一定値に固定した上で、すなわち潜在的表面反応速度を一定に保った上で尚堆積速度を上げるには、従って原料気体の圧力Pを大きくして気相に於ける輸送速度を速めることで実際の表面反応速度を増大させるのが一般的である。ところが前述の如く、圧力を高くして堆積速度を速くすると均一性が損なわれてしまうのである。
こうした事実が認められる一方で、成膜室中の圧力Pは成膜室の排気速度Sと気体流量Qとの間にP=Q/Sとの関係を結んでいる。ここには独立変数が3個認められ、それらの間の関係式が一つであるから結局独立変数は2個存在することになる。言い換えれば圧力Pのみを指定しても一つの物理状態を定め得ないのである。このことは例えば同じ圧力100mtorrであっても気体流量が100sccmで排気速度が1sccm/mtorrの系と気体流量が1sccmで排気速度が0.01sccm/mtorrの系では全く別の物理系であることを意味している。発明者はこの点に注目し、堆積温度と堆積圧力を一定値に定めた上で、成膜室に於ける排気速度と原料気体であるジシランの流量を変えて、これらのパラメーターが堆積速度と均一性に如何なる効果を及ぼすかを検討した。その結果、堆積温度と圧力を一定にした場合であっても原料気体の流量を大きくするに従い堆積速度も増大し、しかも均一性も改善されることが判明した。
さらにこの関係は反応室内の総面積Aにも深く関わっており、総面積に比例して原料気体流量も増やす必要が認められたのである。このことを図5を用いて説明する。体積184.51を擁する縦型ホット・ウォールLPCVD装置に300mm×300mmの基板を基板間隔10mmで35枚設置し、非晶質シリコン膜を堆積した。基板一枚の面積は30cm×30cm×2(表裏)で1800cm2であるから35枚の基板の総面積は63000cm2である。
一方成膜室内で半導体膜が成膜される部分の面積は25262cm2であったから、LPCVD装置内で半導体膜が成膜され得る総面積AはA=63000+25262=88262cm2である。この条件下で堆積温度を425℃に、体積圧力を320mtorrに、成膜室にはジシランのみを流して半導体膜を堆積した。ジシラン流量を50sccmから400sccmまで変え、同時にLPCVD装置の圧力調整器により成膜室の排気速度を変えて堆積圧力を320mtorrの一定値に保った。斯様になされた実験のジシラン流量に対する堆積速度を図5に丸印と実線(DR)により示し、基板内の膜厚のばらつきを四角印と破線(V)で示した。A=88262cm2であるので、Q=50sccmはR=5.66×10-4sccm/cm2に相当し、以下Q=100sccmがR=1.13×10-3sccm/cm2に、Q=200sccmがR=2.27×10-3sccm/cm2、Q=400sccmが4.53×10-3sccm/cm2に相当する。
Rが2.27×10-3よりも大きくなると堆積速度は略飽和し、表面反応速度が潜在的表面反応速度におよそ一致する。前述のように同じ温度と圧力であれば堆積速度が速い方が、生産性の視点からも半導体膜質の視点からも望ましい。堆積速度が大きければ核の発生速度に対してその成長速度が大きくなるがため、結晶化工程後の結晶粒も大きくなることと、脱ガス等の不純物ガスの半導体膜中への取り込み量が減ることの二点により半導体膜質は改善される。これら二点はこの半導体膜を薄膜半導体装置の能動層として用いたとき、其々移動度が大きくなることと閾値電圧が低くなることを意味している。さらに不純物の取り込みが少ないことはpoly−Si TFTのオフ電流を低く抑えることにも結び付く。
このように堆積速度は速い方がよいのだが、その値は図5から分かるようにR=2.27×10-3sccm/cm2以上で飽和する。従って半導体膜成膜の際の単位面積当たりの高次シラン流量は2.27×10-3sccm/cm2程度以上が好ましい。この実験は縦型炉で行われ、原料気体は成膜室上部より導入され、下部から排気がなされた。R=5.66×10-4sccm/cm2では一番上に設置した基板と一番下に設置した基板で堆積速度が18%異なっていた。R=1.13×10-3sccm/cm2以上ではこのずれは殆ど観測されなかったことから、基板間での均一性を得るためにはRは1.13×10-3sccm/cm2程度以上が望まれる。また、図5から分かるようにR≧4.54×10-3sccm/cm2では基板内のばらつきが5%以下となり、堆積速度も1.30nm/minと大きく理想的である。
LPCVD装置内で半導体膜が成膜され得る総面積Aに対応して原料ガス流量も変えねばならない。すなわち、調整すべきパラメーターは単位面積当たりの高次シラン流量Rなのである。実際235mm×235mmの基板を17枚20mm間隔でLPCVD装置内に設置し上述と全く同じ実験を施した。基板総面積は23.5cm×23.5cm×2×17=18777cm2で、成膜室内で半導体膜が成膜される部分の面積は25262cm2であるから、総面積A=44039cm2で、R=5.66×10-4sccm/cm2、1.13×10-3sccm/cm2、2.27×10-3sccm/cm2、4.53×10-3sccm/cm2に相当する高次シラン流量は其々25sccm、50sccm、100sccm、199sccmとなる。これらのジシラン流量で堆積速度と基板間の均一性を調べたところ、先と同一な現象が確認された。
すなわち単位面積当たりの高次シラン量が堆積温度と圧力の他に物理系を一義的に定めるパラメーターなのである。430℃程度以下の堆積温度で100mtorr程度以上のジシラン分圧でシリコンを含有する半導体膜を堆積する際、上述の発明によると少なくともRは1.13×10-3sccm/cm2以上が求められる。例えば400mm×500mmの基板100枚を直径900mmの円筒状の成膜室に基板間隔15mmで設置して半導体膜を堆積する場合、基板総面積は400000cm2で、成膜室内面積は約56550cm2でA=45650cm2程度となる。従って最小限必要なジシラン流量はR=1.13×10-3sccm/cm2とAを掛けてQ=518sccmである。同様に560mm×720mmの基板100枚を25mm間隔で直径1200mm程度の成膜室に設置して半導体膜を堆積するときに必要な最低ジシラン流量QはA〜919500cm2R≧1.13×10-3sccm/cm2より約1050sccm程度である。
(2−5、poly−Si TFTのチャンネル膜厚とトランジスタ特性)
ここでpoly−Si TFT型薄膜半導体装置のチャンネル膜厚を構成する能動層半導体膜厚とトランジスタ特性の関連を述べる。一般に薄膜半導体装置ではチャンネルとなる半導体膜の最適膜厚はその形成方法に強く依存する。これは半導体膜の膜質がその膜厚に応じて大きく変化するからである。例えばSOS(Silicon On Sapphire)やSOI(Silicon On Insulator)のように原則として半導体膜質がその膜厚に依存しない系であれば、半導体膜は薄い程トランジスタの特性は良くなる。(ここでこの原理を動作理論に基づく薄膜効果と呼ぶ。)これは薄い半導体膜では空乏層が逸速く全半導体膜厚に広がり、半導体膜表面に反転層がすぐに形成されるが故である(閾値電圧Vthが小さくなる)。
一方多結晶膜をチャネルに用いる薄膜半導体装置では半導体膜質が膜厚によって大きく異なるため、上述の機構はより複雑となる。通常は多結晶膜の膜質は膜が薄くなるほど悪化する。具体的には薄膜と厚膜を比較すると薄膜の方がそれを構成する結晶粒(グレイン)のサイズが小さくなり、また、同時に結晶内の欠陥や結晶粒界のトラップ数も増大する。結晶粒のサイズが小さくなると、それを用いた薄膜半導体装置の移動度は小さくなる。さらに結晶内欠陥や結晶粒界のトラップ数の増大は空乏層の広がりを遅くし、実質的に閾値電圧Vthを大きくしてしまう(ここでこの原理を薄膜劣化と呼ぶ。)。
結局先の動作理論に基づく薄膜効果は薄膜劣化と競争過程にある訳である。薄膜化しても差程大きく膜質が変化しなければ(薄膜劣化が小さければ)、動作理論に基づく薄膜効果が効いてトランジスタ特性は薄膜程良くなる。逆に薄膜化で著しく膜質が悪化すれば(薄膜劣化が大きければ)、動作理論に基づく薄膜効果はキャンセルされ、薄膜化に伴い特性は悪化する。すなわち膜質の膜厚依存性の大小により薄膜化した際のトランジスタ特性は良くもなり悪くもなる訳である。この膜質の膜厚依存性はその膜の形成方法によって異なるし、また、その膜厚によっても異なる。従って半導体膜の最適膜厚は薄膜半導体装置の製造方法により全く異り、其々の製造方法に応じてその最適値が求められねばならない。
(2−6,LPCVD−結晶化膜の最適膜厚)
ここでは上述した本願発明の低温プロセス薄膜半導体装置のうち、半導体膜が堆積温度450℃未満、理想的には430℃程度以下のLPCVD法にて成膜された後に結晶化されて作成されたpoly−Si TFTの最適半導体膜厚に就いて説明する。LPCVD法で450℃未満、或いは430℃以下で膜が膜としてつながるのは膜厚が10nm程度以上となったときである。膜がつながっておらず島状に浮いていると熔融結晶化にしろ、固相成長法にしろ、結晶化後も矢張り膜がつながらないので、半導体のオン特性は非常に悪い。いわば薄膜劣化が動作理論に基付く薄膜効果に対して圧倒的に勝っている。従ってLPCVD−結晶化膜の最低膜厚は10nm程度である。膜厚が20nm程度以上になると熔融結晶化膜のトランジスタ特性が良くなり始める。
半導体膜を熔融結晶化する際には、冷却固化過程で一つの核を中心に結晶化し、核周辺の半導体原子が核に寄せ集められる。そのため20nm程度よりも薄いと仮令LPCVD法で堆積した直後には膜としてつながっていても熔融結晶化後は至るところに空隙が発生し、依然トランジスタ特性は優れぬ訳である。すなわち、LPCVD−熔融結晶化膜に於いては20nm以下は薄膜劣化が優性で20nm程度以上となって漸く薄膜劣化は小さくなり、動作理論に基付く薄膜効果が薄膜劣化に対して拮抗してくるのである。これが続くのは膜厚が20nm程度から80nm程度の間であり、この間の膜厚でトランジスタ特性は最良となる。
膜厚が80nmよりも厚いと動作理論に基付く薄膜効果が勝り、トランジスタ特性は膜厚の増大に伴い徐々に悪化して行く。半導体膜厚が30nm以上あれば安定的な生産が可能となる。とりわけ高精細・微細加工が進み、層間絶縁膜やゲート絶縁膜に開孔するコンタクトホールを反応性イオンエッチング(RIE)で行うようになると、30nm程度以上の半導体膜は半導体膜と配線との接触不良(コンタクト不良)を著しく減少せしめることとなる。通常ゲート絶縁膜と層間絶縁膜の膜厚の和は600nm程度であり、基板内のこれらの膜厚のばらつきが±10%の計20%とすると最も薄い絶縁膜と最も厚い絶縁膜の差は120nm程度である。RIEの半導体膜に対する選択比はおよそ1:10程度であるから、最も厚い絶縁膜にコンタクト・ホールが開孔したときに最も薄い絶縁膜の下に位置する半導体膜は10〜15nm程度削られている。
半導体膜厚が30nm程度以上あれば、このように仮令15nm程度がコンタクト・ホール開孔時に失われても、接触抵抗は十分に低くなり、コンタクト不良は生じないからである。半導体膜厚が70nm程度以下であればレーザー照射等の熔融結晶化時に膜全体が均一に加熱され綺麗に結晶化が生ずる。膜が140nm程度以上と厚いとレーザー光を上から照射した時膜の上層部しか熔融せず、下層部には非晶質部分が残るため、動作理論に基付く薄膜効果と合わせてトランジスタ特性は激しく低下してしまう。すなわちLPCVD−結晶化法の上限膜厚は140nm程度である。
(2−7、本発明によるPECVD法での半導体膜堆積)
本発明による薄膜半導体装置の半導体膜をPECVD法にて形成する方法を説明する。ここで使用したPECVD装置は容量結合型でプラズマは工業用rf波(13.56MHz)を用いて二枚の平行平板電極間に発生させる。二枚の平行平板電極のうちの下部平行平板電極は接地電位にあり、この電極上に半導体膜を堆積すべき基板が置かれる。上部平行平板電極にはrf波が供給される。また、上部平行平板電極には多数のガス導入口が開いており、この電極面より原料気体が一様な層流となって成膜室内へと供給される。成膜時の圧力は0.1torr程度から5torr程度で平行平板電極間距離は10mm程度から50mm程度の間で可変である。
基板表面の少なくとも一部に酸化硅素膜等の絶縁性物質である下地保護膜を設けた後に、この下地保護膜上に半導体膜を形成し、最終的にはこの半導体膜をトランジスタの能動層としている薄膜半導体装置を製造する。PECVD法で半導体膜を堆積する場合、PECVD装置の成膜室に基板を設置した後、まず下地保護膜に酸素プラズマを照射する。酸素プラズマは電極間距離15mm程度から35mm程度で、圧力1.0torr程度から2.0torr程度、rf電力密度0.05w/cm2程度から1w/cm2程度で立てる。基板温度は半導体堆積時と同じ250℃程度から350℃程度とし、酸素プラズマ照射時間は10秒程度から1分程度である。酸素プラズマ照射後、一度プラズマを消し成膜室の真空引きを10秒から30秒間程度行う。真空引きを15秒程度以上行うと成膜室内の真空度は1mtorr程度以下となる。
これは次工程の半導体膜堆積時に半導体膜中に酸素を混入させぬために行われる。真空引きを行った後にシランや水素などの半導体膜堆積に用いられる原料気体をプラズマを立てることなく10秒から2分間程度流し続ける。この時成膜室の圧力や原料気体流量等の条件は半導体膜堆積時と同じとする。これにより成膜室内は酸素から原料気体へと完全に置換されるので半導体膜中への酸素混入は最小限に止められる。
さらにこの時間を30秒程度以上とすれば基板温度は一定値に定まり、常に同一条件で半導体を堆積し得るのである。本願発明の薄膜半導体装置では下地保護膜の最上層は核の発生速度を遅くした酸化硅素膜等からなる。この酸化硅素膜はCVD法やPVD法で形成されるため、必ずSiの未反応対が存在する。それ故この下地保護膜上に何の前処理も行わず半導体膜を形成すると、未反応対が下地保護膜中の固定電荷と化す。前述の如く半導体膜が数百nm程度以下と薄いとき、これら固定電荷は閾値電圧(Vth)をずらす等の悪影響を薄膜半導体装置に及ぼす。下地保護膜表面に酸素プラズマ照射をすることにより未反応対は酸素原子と結合し、下地保護膜内の固定電荷は激減する。
すなわち半導体特性を改善すべく半導体膜を十分薄くしても、下地保護膜に起因するVth変動などの特性不安定性を解消できるのである。さらに酸素プラズマは下地保護膜表面を酸化反応(燃焼)にて清浄化し、半導体膜堆積の初期段階に於ける核発生速度を一段と抑制する。これにより半導体膜の純度を高めると共に、堆積膜を構成する領域が大きくなり、結晶化された半導体膜を構成する結晶粒も大きくなる。このことは薄膜半導体装置の特性上ではオフ電流が下ることやVthが小さくなること、サブスレシュホールド・スウィングが急峻になりスイッチング特性が良くなること、移動度が大きくなること等として出現する。
下地保護膜表面を改善するには酸素プラズマ照射の他に水素プラズマ照射も効果的である。すなわち半導体膜を堆積すべき基板をPECVD装置内に設置した後、まず基板上の下地保護膜に水素プラズマを照射し、真空を破ることなく連続してこの下地保護膜上に半導体膜を成膜するのである。半導体膜堆積条件が水素3000sccmにモノシラン100sccmというように多量の水素が使用されており、しかも水素のシランに対する比が10倍以上もあるようなときには、水素プラズマ処理から半導体膜形成へとプラズマを切ることなく連続処理を行うことも可能である。
半導体膜堆積条件がアルゴン7000sccmにモノシラン100sccmと言ったように水素プラズマ条件と異なるときには水素プラズマ処理を行った後に一度プラズマを消し、プラズマを立てないことを除いてその他のプロセス・パラメーターは総て半導体膜堆積条件と同じにして堆積前の安定期間を設けるのが好ましい。こうすれば基板温度は半導体膜堆積時に常に一定となるからである。水素プラズマ処理時間はおよそ10秒程度から1分間程度であり、半導体膜堆積前の安定期間は10秒程度から2分間程度である。
下地保護膜中の未反応対はSi−*のように酸素で終端される物とSi−O−*のように酸素で終端し得ない物とがある。水素プラズマ照射はこれらの未反応対をもSi−H、Si−OHとの形態で終端し得るので下地保護膜中の固定電荷を減らすのに非常な効果が認められる。また、水素プラズマ処理は下地保護膜表面をエッチングして清浄化する効果をも有するので半導体膜の純度を高めることにもなる。さらにこの清浄化により下地保護膜と半導体膜の密着性が著しく改善される。PECVD法で半導体膜を形成するとその堆積条件に応じて半導体膜にクレーター状の穴が発生したり、膜の剥がれが生ずることがあるが、水素プラズマ処理でこれらの発生を回避し得るのである。
半導体膜を堆積する際に酸素プラズマ処理と水素プラズマ処理の両者を施せば尚更好ましい。すなわち表面が酸化硅素膜である下地保護膜にまず酸素プラズマを照射する。最初に酸化反応によって下地保護膜中の未反応対が終端され、同時に表面は熱焼にて清浄化され核の発生速度が抑制される。次に酸素プラズマを消し、真空引きを10秒から1分間程度行って成膜室内の酸素を取り除く。さらに真空を破ることなく連続して下地保護膜に水素プラズマを照射する。酸素プラズマでは終端できなかった末反応対の幾つかが水素により終端化され、下地保護膜中の固定電荷は最小となる。加えて一段と表面は清浄化され、同時に半導体膜と下地保護膜との密着性をも改善される訳である。水素プラズマ処理後、必要に応じて真空引きや基板加熱を行い、さらに真空を破ることなく連続して下地保護膜上に半導体膜を成膜する。
こうすると先の酸素プラズマ効果と水素プラズマ効果が共に得られるにのみならず、酸素プラズマと半導体膜成膜の間に水素プラズマが入るため半導体膜中への酸素混入量も明らかに減少し、より高純度で高品質の半導体膜が得られることとなる。(2−1)項で述べたように熔融結晶化された半導体膜は清浄な下地保護膜表面と下地保護膜−半導体界面の制御が特に重要であるから、半導体膜堆積前の下地保護膜表面処理は殊の外重要な意味を有するのである。
次にPECVD法で半導体膜形成後の処理方法について説明する。下地保護膜上に半導体膜が成膜された後、真空を破ることなく連続して半導体膜に水素プラズマを照射するのが好ましい。これによりシリコンなど半導体原子の未反応対が終端されるからである。これは特に半導体膜堆積時に水素量が少ない状態で成膜したときに効果的である。例えば半導体膜をモノシランをヘリウム、アルゴン等の不活性気体と混合して堆積するというように、水素量が成膜室に導入される気体のうちで50%未満となる系で特に有効である。
こうした系で半導体膜を堆積すると膜中にはおびただしい量の未反応対が必ず出現する。これらの未反応対は化学的にきわめて活性であるから、大気中に存在する様々な不純物元素や物質と反応をしたり、或いは物理的に吸着する。こうした状態でレーザー照射等により結晶化を施すと半導体膜の純度が低下し、さらにまた、吸着物質が結晶成長の核となってしまうことにより結晶粒が小さくなる訳である。斯様な不都合点は水素プラズマ処理により容易に除去され得るのである。すなわち高純度で高品質な半導体膜はそれ自体では大気で汚染される不安定な物なのであるが、成膜後の水素プラズマ照射により高純度で高品質な膜が安定化されるのである。
同様の効果は下地保護膜上に半導体膜を成膜した後、真空を破ることなく連続して半導体膜に酸素プラズマを照射することでも達成される。半導体膜がシリコン、或いはシリコンが主体であるとき酸素プラズマは半導体膜表面に酸化硅素膜を形成する。この酸化膜は非常に安定であり、半導体表面に比べて化学・物理的な不純物吸着や半導体膜への不純物拡散を防ぐ能力にもきわめて優れている。すなわち半導体膜を外部からの汚染から守るのに最適なのである。しかも大気中の酸素と異なり高純度に品質調整された酸素のプラズマで酸化するため、酸化膜自体の純度も高い。後に結晶化させられる際、酸化膜は取り除かれるのが好ましいが、仮令取り除かれなくとも酸化膜からの半導体膜への不純物混入は殆ど問題とならない。
理想的には半導体膜をPECVD装置で堆積後、真空を破ることなく連続して水素プラズマを照射し、水素化によって終端される未反応対をまず不活性化する。その後さらに真空を破ることなく連続して半導体膜に酸素プラズマを照射し、水素によって終端化され得なかった未反応対を酸素で終端化すると共に、半導体膜表面に半導体膜を外部汚染から守る高純度の酸化硅素膜を形成するのが望まれる。この処理方法だと水素プラズマ効果と酸素プラズマ効果の両者が得られるにのみならず、未反応対の終端効果が上がり、さらに半導体膜中に取り込まれる酸素量をも低減し得るのである。その結果、酸素プラズマ単独の処理よりも結晶化した後の半導体膜純度は高くなり、より良好な薄膜半導体装置が形成されるからである。
さて酸素プラズマ照射の段階で述べたようにLPCVD法、PECVD法に拘らず半導体膜が高純度となるように細心の注意を払っても、半導体膜表面には酸化膜が存在するから、結晶化時にこれらの酸素が半導体膜中に取り込まれれば結晶化膜の品質は低下してしまう。この事情はとりわけレーザー照射のような熔融結晶化では深刻となる。本願発明のように下地保護膜の表面調整やLPCVD法、PECVD法で高品質半導体膜を形成するように心掛けて来た半導体膜はその結晶化に際しても同様な注意が払われなければならない。すなわち、薄膜半導体装置の能動層を成す半導体膜がレーザー照射等の熔融結晶化にて形成される場合、熔融結晶化直前に半導体膜表面の酸化膜を除去することが好ましい。こうすることで半導体膜熔融時に酸化膜を構成する酸素の半導体膜中に取り込まれる量を最少とすることができるのである。半導体膜中に取り込まれる酸素量が減ると結晶化膜の結晶性が高まるにのみならず、欠陥密度も減り、トランジスタ特性は著しく改善されるのである。
結晶化工程直前の酸化膜除去を最も容易に行い得る処理方法は弗化水素酸水溶液を利用する物である。無論NF3プラズマを利用するなどの気相プラズマ処理で酸化膜を除去してもよい。酸化膜を除去した後は直ちに半導体膜の結晶化を行うのが好ましい。除去工程終了後2時間程度以内に半導体膜の熔融結晶化が施されれば、半導体膜中への酸素取り込み量も極僅かとなる。
(2−8、混晶質半導体膜の熔融結晶化)
本願発明の薄膜半導体装置は上ゲート構造のpoly−Si TFTに対して最も有効であり、この薄膜半導体装置はゲート絶縁膜形成以後の総ての工程を350℃程度以下の温度にて製造される。従って半導体膜形成工程を350℃程度以下の温度で行うことができれば全製造工程が350℃程度以下となる。現在LCD用の汎用ガラス基板の厚みは1.1mmであるが、これが0.7mmとなればガラス基板が安価と化すに留まらず、基板の重量も小さくなるためLCDを携帯するにも製造するにも多大なる便益性が生ずる。
ガラスの比重は2.5g/cm3程度なので、例えば400mm×500mm×1.1mmのガラス基板一枚の重量は約550gである。このガラス基板を1バッチ100枚で処理しようとすると、その重量は55kgにもなってしまい、製造装置や搬送ロボットに対する大きな負荷となってしまう。いうまでもなくこれが0.7mmと成れば重量も35kgと軽減されて負荷もかなり小さくなる訳である。それ故ガラス基板の薄膜化が求められるのだが、斯様な大型薄膜板ガラスは室温に於いてさえ図4に示した自重による反りが大きく、LPCVD法では如何なる手法を用いても半導体膜を形成し得ない。すなわち斯した大型薄板ガラスを使用するには半導体膜は350℃程度以下のPECVD法で形成されねばならない。ところが一般にPECVD法で成膜された非晶質半導体膜は膜の密度が低いことと水素含有量が大きいことが原因となって、450℃程度の熱処理を施してからでないと結晶化し得ないのである。
そこで発明者がPECVD法による半導体膜を種々検討したところ、PECVD法にて堆積速度を0.1nm/s程度以上として混晶質半導体膜を成膜し、この混晶質半導体膜にレーザー照射を施すと前述の熱処理を施さずとも熔融結晶化が可能であることが判明した。この混晶質半導体膜はラマン分光測定などでは僅かに結晶構造の存在が認められるものの、多結晶とは言いがたい。また、密度も従来のPECVD法で成膜された非晶質シリコンと同程度に低く、水素原子もシリコン原子の20%弱程度含まれている。
このような膜が何故綺麗に熔融結晶化されるのかその詳細は分かっていないが、恐らく微結晶領域よりも非晶質領域の方が容易に熔融し、熔融シリコン液中に浮かぶ微結晶がシリコン熔液の蒸発や飛散を抑える役割を担っている物と考えられる。但し混晶質半導体膜でも堆積速度が0.1nm/s程度以下の物の熔融結晶化は矢張り困難である。これはLPCVD法で堆積速度の遅い膜が不純物を取り込み易く、膜品質が落ち込むことと同様、PECVD法でも成膜中の不純物混入が主要因となって結晶化を困難にしていると想定される。LPCVD装置の背景真空度が10-7torr代であったのに対して、PECVD装置の背景真空度が10-4torr代であることがPECVD法の方が高速膜堆積が求められる原因であろう。また、堆積速度が0.37nm/s以上となれば、半導体膜と下地保護膜の密着性が良くなり、クレーター状の穴の発生や膜剥がれは殆ど観測されなくなった。混晶質シリコン膜はPECVD法で水素とモノシランの流量比を30:1程度とすれば得られるし、或いは又アルゴン等の不活性気体とモノシラン等の半導体膜の構成元素を含有する化学物質の流量比を33:1程度未満(モノシラン濃度3%程度未満)としても得られる。
発明者の実験によると、水素−モノシラン系の混晶質も熱処理なしで熔融結晶化し得るが、熔融結晶化がうまく行くレーザーエネルギー範囲が数十mJ/cm2と限定されている。これに対してアルゴン−モノシラン系の混晶質シリコン膜はレーザーエネルギーが100mJ/cm2から350mJ/cm2への広いエネルギー領域に渡って綺麗に結晶化する。従ってアルゴン−モノシラン系混晶質シリコン膜の方が低温プロセスpoly−Si TFTの半導体膜としてより適しているのである。アルゴンとモノシランの流量比は124:1(モノシラン濃度0.8%)から40.67:1(モノシラン濃度2.4%)の間が熔融結晶化には最適である。
(2−9,PECVD−結晶化膜の最適膜厚)
ここでは上述した本願発明の低温プロセス薄膜半導体装置のうち、半導体膜が堆積温度350℃程度以下のPECVD法にて成膜された後に結晶化されて作成されたpoly−Si TFTの最適半導体膜厚に就いて説明する。PECVD法に於いてもLPCVD法と同様に膜が膜としてつながるのは膜厚が10nm程度以上となったときである。しかしながらPECVD法で得られる半導体膜の密度はLPCVD法で得られる膜密度の85%程度から95%程度である。それ故PECVD法による10nmの半導体膜を結晶化させると、その膜厚は結晶化後には9nm程度に減少する。従ってPECVD−結晶化膜の最低膜厚は9nm程度である。
以下LPCVD−結晶化膜と同様に、膜厚が18nm程度以上になると熔融結晶化膜のトランジスタ特性が良くなり始める。すなわち、PECVD−熔融結晶化膜に於いては18nm程度以下は薄膜劣化が優性で18nm程度以上から薄膜劣化は小さくなり、動作理論に基付く薄膜効果が拮抗してくるのである。これが続くのは膜厚が18nm程度以上から72nm程度の間であり、この間の膜厚でトランジスタ特性は最良となる。膜厚が72nmよりも厚いと動作理論に基付く薄膜効果が勝り、トランジスタ特性は膜厚の増大に伴い徐々に悪化して行く。
半導体膜厚が30nm以上あれば、微細加工を要する高集積薄膜半導体装置の安定的な生産が可能となる。すなわちRIEでコンタクト不良を生じさせることなく安定的にコンタクト・ホールを開孔できるようになる。PECVD法で堆積された直後の半導体膜厚が80nm程度以下であれば、レーザー照射等の熔融結晶化時に膜全体が均一に加熱され綺麗に結晶化が進行する。結晶化後にこの膜は72nm程度となる。堆積直後の半導体膜が150nm程度以上と厚いとレーザー光を上から照射した時膜の上層部しか熔融せず、下層部には非晶質部分が残るため、動作理論に基付く薄膜効果と合わせてトランジスタ特性は激しく低下してしまう。すなわちPECVD−結晶化法の上限膜厚は結晶化後で135nm程度である。
(2−10、MOS界面とゲート絶縁膜、及び熱環境)
本願発明では半導体膜の結晶化が終了した後にCVD法やPVD法などでゲート絶縁膜を形成する。ゲート絶縁膜は如何なる手段で形成されようとも、絶縁膜形成温度は350℃程度以下が好ましい。これはMOS界面やゲート絶縁膜の熱劣化を防ぐために重要である。同じことはこれ以後の総ての工程に対しても適用される。ゲート絶縁膜形成後の総ての工程温度は350℃程度以下に押さえられねばならない。一般にCVD法やPVD法で形成された絶縁膜はその膜内に多量の未反応対を持ち、その構造も不安定である。本願発明ではこうした未反応対を酸素プラズマ照射で終端化している。
また、CVD法による酸化硅素膜はその膜中にSi−OH基を有している。このような水酸基や酸素プラズマで終端化された反応対は熱に対して不安定で、350℃程度以上の熱環境で簡単に解離してしまう。すなわちMOS界面やゲート絶縁膜中に再びSi−O−*やSi−*などの未反応対が発生し、これが界面準位や絶縁膜中の固定電荷となってトランジスタ特性を劣化させるのである。従来はこれを回復させるために一時間程度にも及ぶ水素プラズマ処理を施していた。然るに本願では半導体膜形成後の全工程が350℃程度以下であるので斯様な熱劣化は生ぜず、ために水素化処理も不要と化すのである。結局本願に則ると高性能な薄膜半導体装置を容易に、かつ安定的に製造できることとなる。
さて、こうした熱劣化は当然のことながら下地保護膜にも及ぶ。(2−1)項で説明したように下地保護膜の熱劣化は薄膜半導体装置の特性劣化に結び付く。無論それはゲート絶縁膜程敏感ではないが、それでも無視し得ない影響を及ぼす。それ故、薄膜半導体装置を最良とするのは理論的に半導体膜堆積工程をも含めた全工程が350℃程度以下の温度で行われることである。こうすることにより、下地保護膜の熱劣化もゲート絶縁膜の熱劣化も共に回避されるからである。半導体膜を350℃程度以下で形成する工程はPECVD法やスパッター法で行われる。
(2−11、本発明で用いたVHF−PECVD装置)
まず図2を用いて本発明に用いたVHF−プラズマ化学気相堆積装置(VHF−PECVD装置)の概略構成を説明する。PECVD装置は容量結合型でプラズマは144MHzのVHF波電源を用いて平行平板電極間に発生させる。図2上図は反応室付近を上部より見た概略図で、図中のA−A’の断面図が図2下図である。反応室201は反応容器202により外気から隔絶され、成膜中でおよそ5mtorrから5torr程度の減圧状態とされる。反応容器202内には下部平板電極203と上部平板電極204が互いに平行に設置されており、これら二枚の電極が平行平板電極を形成する。

この平行平板電極間が反応室201となる。本願発明では410mm×510mmの平行平板電極を用い、電極間距離を10mmから50mmへと可変としたため反応室201の容積は電極間距離に応じ2091cm3から10455cm3となる。平行平板電極間距離は下部平板電極203の位置を上下させることにより、前述の如く10mmから50mmの間で自由に設定し得る。また、所定の電極間距離に設定した場合、410mm×510mmの平板電極面内での電極間距離の偏差は僅か0.5mmである。従って電極間に生ずる電界強度の偏差は平板電極面内で5.0%以下となりきわめて均質なプラズマが反応室201に発生する。下部平板電極203上には薄膜を堆積すべき基板205が置かれ、基板縁辺部2mmがシャドー・フレーム206により押さえ付けられている。
図2上図ではPECVD装置の概略を分かり易くするためにシャドー・フィレーム206を省略してある。下部平板電極203内部にはヒーター207が設けられており、下部平板電極の温度を25℃から400℃の間で任意に調整し得る。周辺5mmを除いた下部平板電極203内の温度分布は設定温度に対して±1.0℃以内であり、実質的に基板205の大きさを400mm×500mmとしても基板内温度偏差を2.0℃以内に保つことができる。シャドー・フレーム206は例えば基板205として汎用ガラス基板(例えばコーニングジャパン株式会社製#7059や日本電気硝子株式会社製OA−2、NHテクノグラス株式会社製NA35など)を用いたときに基板がヒーター207からの熱により凹型に変形するのを防ぐと共に、基板のエッヂ部及び裏面に不要な薄膜が成膜されぬように基板を押さえている。原料気体と必要に応じて追加気体からなる反応ガスは配管208を通じて上部平板電極204内に導入され、さらに上部平板電極内に設けられたガス拡散板209の間を擦り抜けて上部平板電極全面より略均一な圧力で反応室201に流れ出る。成膜中であれば反応ガスの一部は上部平板電極から出たところで電離し、平行平板電極間にプラズマを発生させる。反応ガスの一部乃至全部は成膜に関与し、成膜に関与しなかった残留反応ガス及び成膜の化学反応の結果として生じた生成ガスは排気ガスとなって反応容器202周辺上部に設けられた排気穴210を介して排気される。
排気穴210のコンダクタンスは平行平板電極間のコンダクタンスに比べて十分に大きく、その値は平行平板電極間のコンダクタンスの100倍以上が好ましい。さらに平行平板電極間のコンダクタンスはガス拡散板209のコンダクタンスよりも十分に大きく、やはりその値はガス拡散板のコンダクタンスの100倍以上が好ましい。こうした構成により410mm×510mmとの大型上部平板電極全面より略均一な圧力で反応ガスが反応室に導入され、同時に排気ガスが反応室から総ての方向に均等な流量で排気されるのである。各種反応ガスの流量は配管208に導入される前にマス・フロー・コントローラーにより所定の値に調整される。
また、反応室201内の圧力は排気穴出口に設けられたコンダクタンス・バルブ211により所望の値に調整される。コンダクタンス・バルブ211の排気側にはターボ分子ポンプ等の真空排気装置が設けられている。本願発明ではオイル・フリーの磁気浮上型ターボ分子ポンプが真空排気装置の一部として用いられ、反応室等の反応容器内の背景真空度を10-7torr台としている。図2には矢印にてガスの流れの概略を示してある。反応容器202及び下部平板電極203は接地電位にあり、これらと上部平板電極204は絶縁リング212により電気的に絶縁状態が保たれる。プラズマ発生時にはVHF波発振源213から出力された例えば144MHzのVHF波が増幅器214にて増幅された後、マッチング回路215を介して上部平板電極204に印加される。

本発明に用いたPECVD装置は上述の如くきわめて精巧たる電極間制御と均質なガス流を実現したことにより400mm×500mmとの大型基板に対応可能な薄膜形成装置となった。しかしながらこれらの基礎概念さえ踏襲すれば、更なる基板の大型化にはむしろ容易に対応でき、実際550mm×650mmとのより大型な基板に対応し得る装置も実現可能である。また、本願発明では比較的汎用性の高い周波数144MHzのVHF波を用いているが、無論この他の周波数を有するVHF波を利用してもよい。例えば100MHz〜1GHz程度のVHF波は総て利用可能である。一方周波数が10MHz程度のrf波から数百MHz程度のVHF波であれば平行平板電極間にプラズマを発生させることが可能であるから、工業用rf周波数(13.56MHz)の整数倍である27.12MHz、40.68MHz、54.24MHz、67.8MHz等を用いてもよい。

すなわち本願発明に用いたPECVD装置のVHF波発振源213と増幅器214及びマッチング回路215を交換することにより容易に所望の周波数の電磁波を用いてプラズマを発生できるのである。一般に電磁波プラズマでは周波数を上げるとプラズマ中の電子温度が上がりラジカルの発生が容易になるため、後述するように基板表面温度が340℃程度と低くても堆積直後に既に多結晶状態となり、特別な結晶化工程を施さずとも容易にpoly−Si TFTが製造されるのである。

(2−12、VHF−PECVD法やマイクロ波PECVD法による半導体膜形成とその際に用いられる気体)
本願発明の特徴の一つはVHF−PECVD法やマイクロ波PECVD法にて堆積直後の膜(As−deposited膜)を多結晶状態にしている点にある。通常PECVD法でAs−deposited膜を多結晶とするのは非常に困難である。これは基板温度が400℃程度未満と低いため、シラン等の原料物質の成長膜表面での移動度が減り、原料物質の非晶質状態に対する多結晶状態への選択性が失われるためである。本願発明はPECVD法に於けるこの欠点を希ガス族元素による原料物質の希釈との方法と、電子温度を高くし得るVHFプラズマやマイクロ波プラズマの採用とで除去している。As−deposited状態で多結晶膜を成膜するには原料物質のラジカルやイオンを作らずに、ヘリウム(He)やネオン(Ne)、アルゴン(Ar)など希ガス族元素のラジカルやイオンを作り、これらによりエネルキーを基板表面に運ぶ必要がある。原料物質のラジカルやイオンは気相反応を引き起こしたり、或いは原料物質が基板表面に到着した瞬間に反応したりするため、選択性の喪失が生じて多結晶成長を阻害してしまう。それ故こうしたラジカルやイオンのプラズマ中での生成は極力避けられねばならない。

原料物質は非活性状態で成長膜表面にまで運ばれ、そこに吸着した後に反応のためのエネルギーが希釈ガス等により供給されるとAs−deposited状態で多結晶膜が形成されるのである。このことから原料ガスの希釈が求められ、さらに原料物質の基板表面での反応を促進する気体を希釈物質として選ぶ必要が生じてくる。希ガス族元素はいうまでもなく原子単体からなり、それ故イオン化ポテンシャルのスペクトルは非常に単純である。例えばヘリウムの一価イオン化ポテンシャルは24.587eVで二価イオン化ポテンシャルは54.416eVのみである。また、ネオンの一価イオン化ポテンシャルは21.564eVで二価イオン化ポテンシャルは40.962eV、アルゴンの一価イオン化ポテンシャルは15.759eVで二価イオン化ポテンシャルは27.629eV、三価イオン化ポテンシャルは40.74eVである。
従ってヘリウム中に少量の原料物質を希釈してプラズマを立てた場合、イオン化するヘリウムの殆どは24.587eVの一価イオンであるし、ネオン中に少量の原料物質を希釈してプラズマを立てた場合も21.564eVのネオンの一価イオンが主としてイオン化する。アルゴンでは一価イオンと二価イオンの両者が支配的となるが、イオン化エネルギーが比較的低いので、原料物質を多量にアルゴンで希釈せずとも効果的にアルゴンのラジカルやイオンが生成される。これに対し従来希釈ガスとして広く用いられている水素では、水素分子のイオン化ポテンシャルは15eVから18eVの間に十数個の異なったイオン化ポテンシャルが存在する。それ故ヘリウム等の希ガス族元素が一つ或いは二つのエネルギーの揃ったプラズマ状態を成すのに対し(光に例えるとレーザー光)、水素等の分子ガスは多数のエネルギーが混在するプラズマ状態(光に例えると白色光)となる。
白色光よりレーザー光の方が効果的にエネルギーを輸送するように、希ガス族元素で原料ガスを希釈すると一段と効果的にエネルギーが基板表面に運ばれるのである。半導体膜堆積時の希釈物質はこれらヘリウム、ネオン、アルゴンと言った希ガス族元素の他に、無論クリプトン(Kr)やキセノン(Xe)であってもよい。一方VHFプラズマやマイクロ波プラズマはプラズマ内の平均電子温度が高いので、比較的低い出力にてラジカルの生成効率を高められる。換言すれば高出力とする必要がないため、高エネルギーイオンの発生も少なく、それ故これらに起因する膜への損傷も最小限に止められる訳である。さらに、ラジカルの高い生成効率は成膜速度をも高める。従来広く利用されている13.56MHzのrfプラズマで本発明を行おうとしても、成膜速度が数Å/min以下ときわめて遅くなってしまい、全く実用に適さないし、また、余りにも遅い成膜速度が災いして膜品質も低下してしまう。

すなわちVHFプラズマやマイクロ波プラズマを利用するからこそ本発明が達成されるのである。その意味に於いて、より高周波の2.45GHzの整数倍のマイクロ波PECVD法でも本発明はきわめて容易に達成され、これらの系では成膜条件の自由度もVHF−PECVDよりも大きくなり、より良質な結晶性半導体膜がより容易に堆積されるのである。
(2−13、VHF−PECVD法やマイクロ波PECVD法As−deposited膜の最適膜厚)
VHF−PECVD法やマイクロ波PECVD法にて堆積直後の膜(As−deposited膜)を多結晶状態にする場合、膜厚が0から500Å程度では膜質は通常の結晶化膜に比較すると非常に悪い。小さな結晶粒が非晶質の海の中に島状に点在するような状態にあり、結晶化度もきわめて低く、かつ欠陥も非常に多い。500Åから1000Åでは結晶粒の非晶質に対する割合が増大し、膜厚が1000Å程度から1500Å程度で半導体表面が一応結晶粒で被われ、表面での非晶質成分は略消失する。1500Åから2000Å程度では結晶粒のサイズが膜厚と共に徐々に大きくなり、2000Å以上となると略同じ形状で膜は成長する。こうした膜質の膜厚に対する変化に応じてトランジスタ特性の膜厚依存性も変化する。2000Å以上では膜質は殆ど変化しないため(薄膜劣化が殆どないため)、動作理論に基づく薄膜効果が働き、膜は薄い程トランジスタ特性は良くなる。

膜厚が2000Åから1500Åでは薄膜劣化が働き出すが、依然動作理論に基づく薄膜効果の方が支配的で、2000Å以上よりはゆるやかであるが、矢張膜が薄い程トランジスタ特性は良くなる。膜厚が1500Åから200Å程度の間では薄膜劣化と動作理論に基づく薄膜理論が拮抗しており、オン状態のトランジスタ特性は最大値を取る。膜厚が200Å未満では薄膜劣化が動作理論に基づく薄膜効果に打ち勝ち、膜が薄くなる程トランジスタ特性は悪くなる。すなわち本願発明の場合トランジスタ特性は半導体膜厚が200Åから1500Åの間で最良となり、理想的には400Åから1300Åの間である。
ここまでトランジスタ特性とはオン状態の特性を述べてきたが、オフ状態のリーク電流も膜厚によって異なる。薄膜半導体装置のオフ・リークの原理はよくは分かっていない。本願発明では原理は不明だが、膜厚が1000Å以上では膜厚とオフリークは強い正の相関があり、膜が厚い程オフリークも大きくなっている。膜厚が1000Å以下では相関は弱くなり、オフリークは膜厚に対して独立と化す。すなわち、膜厚が0から1000Åの間ではオフリーク電流値は最小値で略一定である。それ故オン状態のトランジスタ特性が最良となり、オフリークが最小となる膜厚は200Åから1000Åで理想的には400Åから1000Åである。本発明の薄膜半導体装置をLCDに用いる場合、オフリーク電流の光照射の影響を考慮するのが好ましい。
薄膜半導体装置は光照射によりオフリーク電流が増大する。これを光リーク電流と呼び、光リーク電流が充分小さいことが良好な薄膜半導体装置の条件である。本願発明の薄膜半導体装置では光リーク電流は膜厚に比例している。安定的な製造と光リーク電流を両立させる立場から半導体膜厚は100Åから800Å程度が好ましい。LCDの画素スイッチング素子に薄膜半導体装置を用いるような、オフリークや光リークが重要となる場合、半導体膜厚は100Åから700Åが好ましい。これにオン電流をより強く考慮する必要がある場合、200Å程度から800Å程度が最適膜厚となり、総ての条件を満たす系は400Åから800Å、理想的には600Åから800Åとなる。
また、本発明のようにソース・ドレイン領域に於ける注入イオンの活性化を350℃以下の低温で行うことは通常かなり困難である。それ故活性化を安定的に行うには半導体膜厚に下限を設定せねばならない。本願発明ではこの値は300Å以上が好ましい。また、LDD構造を採用する場合には500Å以上が好ましい。
(2−14、VHF−PECVD法やマイクロ波PECVD法による半導体膜の結晶化)(2−12)項で詳述したようにVHF−PECVD法を用いると容易にAs−deposited状態で多結晶膜が得られるが、これらは結晶化された膜程膜質の優れた物ではない。一方、通常PECVD法で得られた膜は水素抜きや緻密化の熱処理を施さぬ限り、結晶化させることが困難であった。それに対してVHF−PECVD法やマイクロ波PECVD法の半導体膜はRTA法やVST−SPC法による結晶化、或いはレーザー照射等による熔融結晶化をきわめて容易に行い得る。これは既にAs−deposited状態で多くが結晶化しており、残留非晶質成分が少ないため、比較的低いエネルギー供給で残留非晶質の結晶化が進むからである。また、高いエネルギーで熔融結晶化を進めるときにも多結晶成分が半導体原子の蒸発や飛散を防止する役目を勤めるため、半導体膜の損傷や面粗れ、消失等が生ずることなく結晶化が進められるのである。

結局、VHF−PECVD法やマイクロ波PECVD法で得られた膜はAs−deposited状態で薄膜半導体装置の能動部とするよりは、むしろ熔融結晶化を利用して工程最高温度が350℃程度以下となる低温poly−Si TFTを製造するときの最初の半導体膜により適していると言えよう。すなわち絶縁物質上にVHF−PECVD法やマイクロ波PECVD法で半導体膜を形成し、次にこの膜をRTA法やVST−SPC法等の固相結晶化法、或いはレーザー照射等の熔融結晶化法等で結晶化させ、それ以後の工程を350℃程度以下とすることで高性能薄膜半導体装置が容易に製造されるのである。
VHF−PECVD法やマイクロ波PECVD法で堆積された膜は従来のPECVD法で堆積された膜よりはLPCVD法で堆積された膜にその品質が近い。

そのため結晶化して薄膜半導体装置を作成したときに得られるトランジスタ特性と半導体膜厚の関係もLPCVD法の薄膜半導体装置の有する関係に等しくなる。但しLPCVD法による半導体膜は結晶化の前後で膜減りは殆ど生じないのに対し、VHF−PECVD法やマイクロ波PECVD法では若干の膜減りが認められる。それ故、これらの膜を結晶化して薄膜半導体装置を作成する場合、結晶化された後の半導体の膜厚がLPCVD法−結晶化膜の膜厚と同じになるようにすると(2−6)項の議論をそのまま当てはめることができる。
以上述べて来たように本発明によれば、多結晶シリコン膜等からなる高品質半導体膜を450℃程度未満、さらには430℃程度以下の低温で容易に形成せしめ、以て薄膜半導体装置の特性を飛躍的に向上させ、かつ安定的大量生産を実現した。具体的には以下に記すがが如き効果を有する。
効果1).工程温度が450℃程度未満と低いため、安価なガラスを使用でき、製品価格を低くすることが可能になる。加えてガラス自身の自重によるゆがみを防止できるため、液晶表示装置(LCD)を容易に大型化し得る。
効果2).工程温度が350℃程度以下と低いため、下地保護膜やゲート絶縁膜の熱劣化が生ぜず、容易に高性能で信頼性に優れた薄膜半導体装置が製造され得る。
効果3).レーザー照射を基板全体に渡って均一に行える。その結果ロット毎の均一性が改善され、安定的な生産が可能となった。
効果4).ゲート電極に対してソース・ドレインが自己整合するセルフ・アラインTFTをイオン・ドーピング法及びそれに引き続きく300℃〜350℃程度の低温で活性化することが著しく容易になった。その結果安定的に活性化ができるようになった。さらにはライトリー・ドープド・ドレイン(LDD)TFTを容易にかつ安定的に作成できるようになった。LDD TFTが低温プロセスpoly−Si TFTにて実現したため、TFT素子微細化やオフ・リーク電流を小さくすることが可能になった。
効果5).従来は低温プロセスpoly−Si TFTではECR−PECVD法にて作成したSiO2しか良好なトランジスタ特性を示さなかったが、本発明により汎用的なPECVD装置を利用できるようになった。従って大型基板に適用可能で量産性に富む実用的なゲート酸化膜製造装置が得られることとなった。
効果6).従来よりもオン電流は大きく、オフ電流は小さいより良好な薄膜半導体装置が得られた。また、これらのばらつきも低減された。
効果7).基板に廉価な汎用ガラスなどを用いたとき、基板から半導体膜への不純物混入を効果的に防ぐ下地保護膜が同時に最良な電気特性を示す薄膜半導体装置の下地保護膜とすることが可能となった。さらに下地保護膜からのストレスに起因して薄膜半導体装置の電気特性が悪化したり、或いは薄膜半導体装置にひび割れ(クラック)が発生するようなことも回避された。
効果8).半導体膜をプラズマ化学気相堆積法(PECVD法)で形成する場合にフッ素(F)や炭素(C)等の洗浄気体の構成元素が半導体膜中に混入することを防ぎ得た。その結果基板間で不純物混入量を常に最少とでき、優良な薄膜半導体装置を安定的に製造可能となった。
効果9).低圧化学気相堆積法(LPCVD法)にて450℃程度未満との低温で半導体膜を堆積する場合であっても、基板内や基板間での均一性と堆積速度の両立が可能となった。従って基板の大型化に対応でき、大型LCDが量産できるようになった。
効果10).薄膜半導体装置の電気特性のばらつきには基板内のばらつきの他に、同一ロット内での基板間のばらつきとロット間でのばらつきの三種類のばらつきが認められるが、本願発明はこれら三種類のばらつきを制御し得る。とりわけPECVD法でのロット間でのばらつきが著しく改善された。
効果11).PECVD法で半導体膜を成膜するしても半導体膜と下地保護膜との密着性を良くできる。すなわち、半導体膜にクレーター状の穴が無数に発生したり、膜が剥がれてしまうような事態を避け得る。
効果12).特に余分な結晶化工程を行うことがなくとも、350℃程度以下の低温工程で大面積基板に安定的にpoly−Si TFTを製造できる。
図面の簡単な説明図1(a)〜(d)は本発明の一実施例を示す薄膜半導体装置製造の各工程に於ける素子断面図である。図2は、本発明に用いたPECVD装置を示す図である。図3は、本発明によるLPCVD装置の成膜室とその内部を示す図である。図4は、熱環境による基板の反りを説明した図である。図5は、本願発明の効果を説明した図である。
発明を実施するための最良の形態添付の図面を参照しながら本発明をより詳細に説明する。
(実施例1)
図1(a)〜(d)はMIS型電界効果トランジスタを形成する薄膜半導体装置の製造工程を断面で示した図である。
本実施例1では基板101として235mm□の無アルカリガラス(日本電気硝子社OA−2)を用いたが、工程最高温度に耐え得る基板であるならば、基板の種類や大きさは無論問われない。まず基板101上に常圧化学気相堆積法(APCVD法)やPECVD法或いはスパッター法などで下地保護膜となる二酸化珪素膜(SiO2膜)102を形成する。APCVD法では基板温度250℃から450℃程度でモノシラン(SiH4)や酸素を原料としてSiO2膜を堆積できる。PECVD法やスパッター法では基板温度を室温から400℃とすることができる。本実施例1ではAPCVD法でSiH4とO2を原料ガスとして300℃で2000ÅのSiO2膜を堆積した。
次に後に薄膜半導体装置の能動層と化す真性シリコン膜を500Å程度堆積した。真性シリコン膜は高真空型LPCVD装置にて、原料ガスであるジシラン(Si26)を200sccm流し堆積温度425℃で58分間堆積した。本実施例1にて使用した高真空型LPCVD装置は184.51の容積を有する。17枚の基板は表側を下向きとして、250℃に保たれた反応室に挿入された。基板挿入後、ターボ分子ポンプの運転を開始し、定常回転に達した後、漏洩検査を2分間施した。
この時の脱ガス等の漏洩速度は3.1×10-5torr/minであった。
その後挿入温度の250℃から堆積温度の425℃まで一時間費やして昇温した。昇温の最初の10分間は反応室にガスを全く導入せず、真空中で昇温した。昇温開始後10分後の反応室到達最低背景圧力は5.2×10-7torrであった。また、残り50分間の昇温期間には純度99.9999%以上の窒素ガスを300sccm流し続けた。この時の反応室内平衡圧力は3.0×10-3torrであった。堆積温度到達後、原料ガスであるSi26を200sccmと純度99.9999%以上の希釈用ヘリウム(He)を1000sccm流し、シリコン膜を58分間堆積した。Si26等のガスを反応室に導入した直後の圧力は767mtorrであり、これら原料ガス等を導入してから57分後の圧力は951mtorrであった。こうして得られたシリコン膜の膜厚は501Åであり、基板の周辺部7mmを除いた221mm□の正方形領域内での膜厚変動は±5Å未満であった。本実施例1では斯様にLPCVD法にてシリコン膜を形成したが、形成方法はこれに限らず、PECVD法やスパッター法によってもよい。PECVD法やスパッター法ではシリコン膜形成温度を室温から350℃程度とすることが可能である。
こうして得られたシリコン膜は高純度のa−Si膜である。次にこのa−Si膜に光学エネルギー又は電磁波エネルギーを短時間照射してa−Siを結晶化し、多結晶シリコン(poly−Si)へと改質する。本実施例1ではキセノン・クロライド(XeCl)のエキシマ・レーザー(波長308nm)を照射した。
レーザーパルスの強度半値幅は45nsである。照射時間が斯様に非常な短時間であるため、a−Siのpoly−Siへの結晶化に際して基板が熱せられることはなく、故に基板の変形等も生じない。レーザー照射は基板を室温(25℃)とし、空気中で行った。レーザー照射の一回の照射面積は8mm□の正方形であり、各照射毎に4mmずらして行く。最初に水平方向(Y方向)に走査した後、次に垂直方向(X方向)にも4mmずらせて、再び水平方向に4mmずつずらせて走査し、以後この走査を繰り返して基板全面に第1回目のレーザー照射を行う。この第1回目のレーザー照射エネルギー密度は160mJ/cm2であった。
第1回目のレーザー照射が終了した後、エネルギー密度を275mJ/cm2として第2回目のレーザー照射を全面に行う。走査方法は第1回目のレーザー照射と同じで8mm□の正方形の照射領域をY方向とX方向に4mmずらせて走査する。この二段階レーザー照射により基板全体がa−Siからpoly−Siへと均一に結晶化される。本実施例1では光学エネルギー又は電磁波エネルギーとしてXeClエキシマ・レーザーを用いたが、エネルギー照射時間が数十秒以内であればそのエネルギー源には囚らわれない。例えばArFエキシマ・レーザーや、XeFエキシマ・レーザー、KrFエキシマ・レーザー、YAGレーザー、炭酸ガスレーザー、Arレーザー、色素レーザー等の各種レーザー、或いはアークランプやタングステンランプ等のランプ光を照射してもよい。アークランプ照射を行う場合ランプ出力を1kW/cm2程度以上とし、照射時間を45秒程度とすることでa−Siからpoly−Siへの膜質改変が進む。この結晶化に際してもエネルギー照射時間は短時間なので、基板の熱による変形や割れは生じない。次にこのシリコン膜をパターニングし、トランジスタの能動層となるチャンネル部半導体膜103を作成した。
(図1(a))
その後ECR−PECVD法やPECVD法などでゲート絶縁膜104を形成する。本実施例1ではゲート絶縁膜としてSiO2膜を用い、PECVD法で1200Åの膜厚に堆積した。(図1(b))基板をPECVD装置に設置する直前には、基板を1.67%のフッ化水素酸水溶液に20秒間浸して半導体膜表面の自然酸化膜を取り除いた。酸化膜除去から基板をPECVD装置のロードロック室に入れるまでの時間は約15分程度であった。この時間はできる限り短いことがMOS界面清浄化の視点より望まれ、最長でも30分程度以内が好ましい。
PECVD法では原料ガスとしてモノシラン(SiH4)と笑気ガス(N2O)を用いて基板温度300℃にて形成した。プラズマは13.56MHzのrf波により、出力900W、真空度1.50torrとの条件で立てられた。SiH4の流量は250sccmでN2Oの流量は7000sccmであった。SiO2膜の成膜速度は48.3Å/sであった。SiO2をこれらの条件で成膜する直前と直後にはシリコン膜及び形成酸化膜に酸素プラズマを照射して、MOS界面及び酸化膜の改善をおこなった。本実施例1では原料ガスとしてモノシランと笑気ガスを用いたが、これらに限らずTEOS(Si−(O−CH2−CH34)等の有機シランと酸素等の酸化性気体を用いてもよい。さらにここでは汎用性の高いPECVD装置を利用したが、無論ECR−PECVD装置によって絶縁膜を形成してもよい。どのようなCVD装置や原料ガスを用いる場合であっても、絶縁膜形成温度は350℃以下が好ましい。これはMOS界面やゲート絶縁膜の熱劣化を防ぐために重要である。同じことは以下の総ての工程に対しても適用される。ゲート絶縁膜形成後の総ての工程温度は350℃以下に押さえられねばならない。こうすることにより高性能な薄膜半導体装置を容易に、かつ安定的に製造できるからである。
引き続いてゲート電極105となる薄膜をスパッター法蒸着法或いはCVD法などで堆積する。本実施例1ではゲート電極材料としてタンタル(Ta)を選択し、スパッター法で5000Å堆積した。スパッター時の基板温度は180℃でスパッターガスとしては窒素(N2)を6.7%含んだアルゴン(Ar)を用いた。アルゴン中の窒素含有量は5.0%から8.5%が最適である。こうした条件にて得られたタンタル膜の結晶構造は主としてα構造となっており、その比抵抗は40μΩcmである。従って本実施例1に於けるゲート電極のシート抵抗は0.8Ω/□である。
ゲート電極となる薄膜を堆積後パターニングを行い、引き続いて真性シリコン膜にバケット型質量非分離型のイオン注入装置(イオン・ドーピング法)を用いて燐元素等の不純物イオン注入106を行い、ソース・ドレイン領域107及びチャンネル領域108を形成した。(図1(c))本実施例1ではNMOSTFTの作成を目指したため、原料ガスとしては水素中に希釈された濃度5%のホスフィン(PH3)を用い、高周波出力38W、加速電圧80kVで5×1015l/cm2の濃度に打ち込んだ。高周波出力は20Wから150W程度の適便たる値が用いられる。PMOS TFTを作成する場合は、原料ガスとして水素中に希釈された濃度5%のジボラン(B26)を用い、高周波出力を20Wから150Wとし、加速電圧60kVで5×1015l/cm2程度の濃度に打ち込む。また、CMOS TFTを作成するときはポリイミド樹脂等の適当なマスク材を用いてNMOS又はPMOSの一方を交互にマスクで覆い、上述の方法にてそれぞれのイオン注入を行う。
次に層間絶縁膜109を5000Å堆積する。本実施例1では層間絶縁膜としてSiO2をPECVD法にて形成した。PECVD法では原料ガスとしてTEOS(Si−(O−CH2−CH34)と酸素(O2)を用いて基板温度300℃にて形成した。プラズマは13.56MHzのrf波により、出力800W、真空度8.0torrとの条件で立てられた。TEOSの流量は200sccmでO2の流量は8000sccmであった。この時SiO2膜の成膜速度は120Å/sであった。こうしたイオン注入と層間絶縁膜形成後、酸素雰囲気下300℃で1時間熱処理を施して注入イオンの活性化及び層間絶縁膜の焼き締めを行った。この熱処理温度は300℃から350℃が好ましい。
その後、コンタクトホールを開け、ソース・ドレイン取り出し電極110をスパッター法などで形成し、薄膜半導体装置が完成する。(図1(d))ソース・ドレイン取り出し電極としてはインジウム錫酸化物(ITO)やアルミニウム(Al)が用いられる。これらの導電体スパッター時の基板温度は100℃から250℃程度である。
このようにして試作した薄膜半導体装置のトランジスタ特性を測定したところ、ソース・ドレイン電圧Vds=4V,ゲート電圧Vgs=10Vでトランジスタをオンさせた時のソース・ドレイン電流Idsをオン電流IONと定義して、95%の信頼係数でION=(23.3+1.73、−1.51)×10-6Aであった。
また、Vds=4V、Vgs=0Vでトランジスタをオフさせたときのオフ電流はIOFF=(1.16+0.38、−0.29)×10-12Aであった。ここで測定は温度25℃の元で、チャンネル部の長さL=10μm、幅W=10μmのトランジスタに対してなされた。飽和電流領域から求めた有効電子移動度(J.Levinson et al.J,Appl,Phys.53,1193’82)は、μ=50.92±3.26cm2/v.secであった。一方従来技術の低温プロセスpoly−Si TFTに於いてはION=(18.7+2.24、−2.09)×10-6A、IOFF=(4.85+3.88、−3.27)×10-12Aであった。このように本発明により高移動度を有し、ゲート電圧の10Vの変調に対してIdsが7桁以上も変化し、さらにばらつきが小さくきわめて優良で均一な薄膜半導体装置を工程最高温度を425℃以下で、しかも工程最高温度に維持されている期間を数時間以内とする低温工程で初めて現実化した。前述の如くレーザー結晶化の均一性は基板内、ロット間を問わず重要な課題であった。
然るに本発明によると、オン電流もオフ電流もそれらのばらつきを大幅に低減できている。とりわけオフ電流の均一性は従来技術に比べて著しく改善され、LCDに本発明の薄膜半導体装置を適用した場合、LCD画面全体に渡り均一な高画質が得られることとなる。
また、この均一性の改善はレーザー源の変動に対して初期シリコン膜が安定であることを意味しており、すなわちロット間の変動に対しても本発明は著しい改善をしていることになる。このように本発明によりレーザー照射等のエネルギー照射を利したシリコンの結晶化をきわめて安定的に実施できるようになった。発明者の実験によると初期シリコン膜を450℃未満の低温で、かつシリコン膜の堆積速度を2Å/min程度以上として形成したときにレーザーの変動に対して安定で、しかもECR−PECVD装置を用いずに形成したSiO2膜をゲート絶縁膜として用いても良好なトランジスタ特性を有する薄膜半導体装置が作成されることが判明した。さらにこうして得られたpoly−Si膜は後述するようにイオン・ドーピング法によるライトリー・ドープト・ドレイン(LDD)構造作成に対しても安定でその活性化も容易である。これは斯様な条件下にて形成されたa−Si膜は微細結晶子を含有しない完全な非晶質構造を有しており、かつ、a−Si膜を構成する各構成要子が大きい塊からでき上がっていることに遠因している。a−Si膜が微細結晶子を含んでいないが故、エネルギー照射に伴う結晶化は照射領域内で均一に進む。
同時にa−Si膜が大きい塊から構成されているために、結晶化した際の各結晶粒のサイズが大きくなり、高性能な電気特性が得られる訳である。すなわち初期a−Si膜の成膜条件を最適化することで理想的なa−Si膜を得、これらを結晶化させることにより均一で高品質のpoly−Si膜が得られるのである。従来技術に則るa−Si膜はLPCVD法で堆積温度を550℃程度としたり、或いはPECVD法に於いても基板温度を400℃程度にするなどと初期a−Si膜の品質に関して何等配慮が払われていなかったために、前述の如き課題が生じていたのである。
本発明のもう一つの要旨はpoly−Si膜形成後の工程温度を350℃以下に押さえることにある。これによりMOS界面や絶縁膜質を安定化できるからである。その意味に於いて本発明は図1に示すが如き上ゲート型TFTに対して特に有効である。下ゲート型TFTの場合、ゲート絶縁膜形成後にシリコン膜が堆積され、さらにその後レーザー照射等の結晶化が行われるため、MOS界面やゲート絶縁膜の一部は必然的に1000℃近くの高温熱環境に短時間といえども晒されてしまう。この熱環境はMOS界面を粗らし、さらにはMOS界面近傍の絶縁膜の化学組成や結合状態を変えてしまう。こうした結果トランジスタ特性が悪化したり、ばらつきが大きくなるとの弊害をもたらすのである。
(実施例2)
本願発明の別の実施例を矢張り図1(a)〜(d)を用いて説明する。
本実施例2では基板101として300mm×300mmの無アルカリガラス(日本電気硝子社OA−2)と300mm×300mmの結晶化ガラス((株)オハラTRC−5)を用いた。OA−2の歪点は650℃程度であり、TRC−5は結晶化ガラスであるため歪点は定義できないが、700℃程度までの温度ならば全く基板の変形や歪みは認められないため、実質的な歪点は700℃程度以上と言える。まず基板101上にPECVD法で下地保護膜となる酸化硅素膜102を形成した。酸化硅素膜の形成条件は実施例1のゲート絶縁膜形成条件と同一である。酸化硅素膜の膜厚は300nmであり、その表面粗さは中心線平均粗さで0.98nmである。実施例1のゲート絶縁膜と同様に酸化膜形成の直前と直後に酸素プラズマを其々15秒間照射した。
次に後に薄膜半導体装置の能動層と化す真性シリコン膜を500Å程度堆積した。真性シリコン膜は実施例1と同様(2−3)項で説明した高真空型LPCVD装置にて、原料ガスであるジシラン(Si26)を400sccm流し堆積温度425℃、圧力320mtorrで堆積した。堆積速度は1.30nm/minである。35枚のOA−2基板と35枚のTRC−5基板は其々一枚ずつの二枚一組として、TRC−5基板を下側にし(TRC−5基板の表面が下向き)、OA−2基板を上側(OA−2基板の表面が上向き)として裏面同士を合わせて、基板間隔10mmで250℃に保たれた成膜室に設置された。成膜室内で半導体膜が成膜される部分の面積は88262cm2となり、単位面積当たりのジシラン流量は4.53×10-3sccm/cm2である。基板設置後挿入温度の250℃から堆積温度の425℃まで一時間費やして昇温し、425℃で熱平行状態が得られた後シリコン膜を40分間堆積した。成膜中の圧力はLPCVD装置の圧力調整器により320mtorrに保たれた。このように堆積されたシリコン膜の膜厚は52.4nmであった。
次にこのa−Si膜に光学エネルギー又は電磁波エネルギーを短時間照射してa−Siを熔融結晶化し、多結晶シリコン(poly−Si)へと改質する。本実施例2でもキセノン・クロライド(XeCl)のエキシマ・レーザー(波長308nm)を照射した。レーザー照射直前には基板を1.67%のフッ化水素酸水溶液に20秒間浸して半導体膜表面の自然酸化膜を取り除いた。酸化膜除去からレーザー照射までの時間は約20分であった。半導体膜の結晶化が終了した後、以下実施例1と全く同じ工程で低温プロセスによるpoly−Si TFTを製造した。
このようにして試作した薄膜半導体装置のトランジスタ特性を測定したところ、オン電流は95%の信頼係数でION=(41.9+2.60、−2.25)×10-6Aであった。また、オフ電流はIOFF=(6.44+2.11、−1.16)×10-13Aであった。ここで測定条件は実施例1と同じである。有効電子移動度はμ=90.13±4.61cm2/v.secであり、きわめて優良な薄膜半導体装置が簡単な工程で、しかも安定的に製造された。
(実施例3)
実施例1に詳述した方法にてpoly−Si膜を形成した後、このpoly−Si膜をパターニングせずに実施例1に詳述したゲート絶縁膜に相当するSiO2膜を堆積し、さらに実施例1に詳述したイオン・ドーピング法にてpoly−Si膜にPH3等の不純物イオンを注入した。poly−Si膜やSiO2膜の膜厚及び成膜条件は実施例1と全く同一である。不純物イオン注入条件も注入量を3×1013cm-2とした他は実施例1のイオン注入と同じである。本実施例3は実施例1にて説明したTFTでLDD領域を作成していることに相当している。
燐イオン注入後、矢張り実施例1と同様に酸素中にて300℃で一時間の熱処理を施した。その後絶縁膜を剥離して、燐イオンを含有したn型poly−Si膜のシート抵抗を測定した結果、基板の周辺部7mmを除いた221mm□の正方形領域内でシート抵抗値は95%の信頼係数で(14±2.6)kΩ/□であった。従来はSSDM’93(solldstateDevicesandMaterials1993)p.437に記載されているように水素注入をするなどの特殊工程を付加せねば、活性化ができなかった。しかもそのときのシート抵抗値は50kΩ以上と高く、そのばらつきも10kΩ以上あった。これに対して本発明ではイオン・ドーピング法で簡単に低抵抗なLDD領域を作成でき、そのばらつきも従来の四分の一以下とし得るのである。
(実施例4)
本実施例4では13.56MHzのrf波を用いたPECVD法で下地保護膜と半導体膜を連続成膜し、その後結晶化を施して薄膜半導体装置を作成する。
基板101は360mm×465mm×0.7mmの無アルカリガラスを用いた。ガラス基板をPECVD装置に設置する前にこの基板の一枚前に成膜された薄膜を成膜室から取り除く。すなわち成膜室の洗浄を15秒間行う。洗浄条件はrf出力1600W(0.8W/cm2)、電極間距離40mm、NF3流量3200sccm、アルゴン流量800sccm、圧力1.0torrである。次に真空引きを15秒間施した後に成膜室にバッシベーション膜として窒化硅素膜を15秒間堆積する。堆積条件はrf出力300W(0.15W/cm2)、電極間距離40mm、圧力1.2torr、窒素流量3500sccm、アンモニア流量500sccm、モノシラン流量100sccmである。真空引きを15秒間施した後に基板を成膜室に設置する。
ロードロック室に準備されていた基板が成膜室に設置されるまでの時間は約10秒間である。次の下地保護膜堆積前に安定化期間を30秒間設ける。安定化期間はプラズマを立てぬことを除いて全てのプロセス・パラメーターは下地保護膜の堆積条件と同一である。下地保護膜から半導体膜成膜に掛けて下部平板電極温度は360℃で基板表面温度は340℃程度である。安定化期間終了後、下地保護膜を堆積する。下地保護膜は窒化硅素膜と酸化硅素膜を積層する。まず窒化硅素膜をrf出力800W、電極間距離25mm、圧力1.2torr、窒化流量3500sccm、アンモニア流量500sccm、モノシラン100sccmで30秒間堆積する。
続いて酸化硅素膜をrf出力900W、電極間距離25mm、圧力1.5torr、モノシラン流量250sccm、N2O流量7000sccmで30秒間堆積した。窒化後と酸化膜の膜厚は其々およそ150nmで計300nm程度の下地保護膜が形成される。酸化膜形成に連続して酸素プラズマを20秒間照射する。酸素プラズマ照射条件はrf波出力900W(0.45W/cm2)、電極間距離12mm、圧力0.65torr、酸素流量3000sccmである。真空引きを15秒間行った後に水素プラズマを20秒間照射する。水素プラズマ条件はrf出力100W(0.05W/cm2)、電極間距離25mm、圧力0.5torr、水素流量1400sccmである。水素プラズマに連続して半導体膜を60秒間堆積する。堆積条件はrf出力600W(0.3W/cm2)、電極間距離35mm、圧力1.5torr、アルゴン流量14SLM、モノシラン流量200sccmである。
これにより略50nmの非晶質シリコン膜が堆積される。半導体膜堆積後15秒間真空引きを行い、水素プラズマを20秒間照射する。この水素プラズマ条件は半導体膜堆積前の水素プラズマ条件と同一である。次に真空引きを15秒間行った後、酸素プラズマを20秒間照射する。酸素プラズマ条件は電極間距離を45mmとしたことを除いて下地保護膜後の酸素プラズマ条件に同一である。最後に15秒間の真空引きを行った後に約10秒間で基板を成膜室より取り出す。この工程によるとタクト時間6分10秒で下地保護膜と半導体膜の連続成膜が可能となる。
この後は実施例2と全く同じ工程で薄膜半導体装置を作成した。
このようにして試作した薄膜半導体装置のトランジスタ特性を測定したところ、95%の信頼係数でオン電流はION=(19.6+1.54、−1.49)×10-6Aであり、オフ電流はIOFF=(7.23+2.76、−2.72)×10-13Aであった。また、有効電子移動度はμ=36.83±2.35cm2/v.secであった。測定条件は実施例1に準じている。
(実施例5)
次に(2−11)項で説明して来たPECVD装置を用いて、レーザー照射等の結晶化を必要としない結晶性半導体膜の350℃程度以下の低温堆積方法及びそれを用いた薄膜半導体装置の製造方法とその特徴を詳述する。基板は(2−1)の項で述べた方法で準備される。半導体膜及び原料ガスは(2−2)の項で述べた物が総て適用可能だが、ここでは一例としてシリコン膜を取り上げ、原料気体としてはモノシラン(SiH4)を用いる。本実施例5では基板101として360mm×465mm×1.1mmの無アルカリガラス(日本電気硝子社OA−2)を用い、下地保護膜はAPCVD法でSiH4とO2を原料ガスとして2000ÅのSiO2膜を堆積した。基板温度は300℃であった。
次に薄膜半導体装置の能動層と化す真性シリコン膜を750Å程度堆積した。
真性シリコン膜は前項(2−11)にて記述したVHF−PECVD装置にて、原料ガスであるモノシラン(SiH4)を50sccm流し追加気体として希ガス族元素の一種であるアルゴン(Ar)を4800sccm流して堆積した。VHF波出力は715W、反応室内圧力0.8torr、平行平板電極間距離35.0mm、下部平板電極温度400℃、基板表面温度340℃の成膜条件であった。
こうして得られた半導体膜は高純度のシリコン膜で、堆積直後の状態(As−deposited状態)で多結晶状態となっている。多波長分散型分光エリプソメトリーにて結晶化率を測定したところ、結晶化率は78%の値を示した。通常分光エリプソメトリーにて得られる結晶化率が30%未満であれば非晶質状態(amorphous状態)であり、70%以上であれば多結晶状態(poly−crystalline状態)、30%から70%の間であれば混晶状態(mixed状態)と考えられる。従って得られた膜はAs−deposited状態で明らかに多結晶状態にある。実際ラマン分光法でも結晶状態を示す520cm-1付近の波数域に鋭いラマン・シフトを検出し、さらにX線回折法では{220}方向に比較的強く配向していることが確認された。
次にこのシリコン膜をパターニングし、トランジスタの能動層となるチャンネル部半導体膜103を作成した(図1(a))。以下実施例1に詳述した薄膜半導体装置の製造方法と全く同じ方法でゲート絶縁膜形成(図1(b))、ゲート電極形成、イオン注入によるソース・ドレイン領域及びチャンネル形成(図1(c))、層間絶縁膜形成、注入イオンの活性化及び層間絶縁膜の焼き締めの熱処理、コンタクト・ホール開穴とソース・ドレイン取り出し電極形成を経て、薄膜半導体装置は完成する(図1(d))。従って本実施例5では、半導体膜形成という第1工程以後の工程最高温度は300℃である。
ゲート絶縁膜形成工程や注入イオンの活性化及び層間絶縁膜の焼き締めの熱処理工程の温度も高くとも350℃以下でなければならない。換言すれば(2−10)で詳述したように、半導体膜形成という第1工程以後の工程最高温度が350℃以下であることが優良な薄膜半導体装置を大面積に均一、かつ安定的に製造する上で必要不可欠となる。
このようにして試作した薄膜半導体装置のトランジスタ特性を測定したところ、ソース・ドレイン電圧Vds=4V,ゲート電圧Vgs=10Vでトランジスタをオンさせたときのソース・ドレイン電流Idsをオン電流IONと定義して、95%の信頼係数でION=(1.22+0.11、−0.10)×10-6Aであった。また、Vds=4V、Vgs=0Vでトランジスタをオフさせたときのオフ電流はIOFF=(1.18+0.35、−0.30)×10-13Aであった。ここで測定は温度25℃の元で、チャンネル部の長さL=10μm、幅W=10μmのトランジスタに対してなされた。飽和電流領域から求めた有効電子移動度(J.Levinsonetal.J,Appl,Phys.53,1193’82)は、μ=3.41±0.22cm2/v.secであった。
本実施例5の工程最高温度はVHF−PECVD装置で半導体膜を成膜するときの下部平板電極温度の400℃であり、そのときの基板表面温度は340℃であった。この例が示すようにきわめて低い工程温度で、レーザー照射等の結晶化を施す必要もない簡便な製造方法にて結晶性薄膜半導体装置に一種であるpoly−Si TFTを作成することに成功した。オン電流や移動度の値はレーザー照射を用いた実施例1には遠く及ばない物の、従来400℃程度の工程最高温度で製造しているa−Si TFTに比べると4倍から10倍近く高い値となっている。
また、本実施例5ではゲート電極をマスクにイオン注入法にてソース・ドレイン領域を形成している。しかも300℃から350℃との低温で注入イオンの活性化を行っているため、ソース・ドレイン領域からチャンネル領域への注入イオンは実質的に全く拡散していない。そのためゲート電極とソース・ドレイン領域の重なりはイオン注入時の横方向の飛程偏差で定まり、その値は数百Å以下となる。すなわち、ゲート電極端とソース・ドレイン端がきわめてよく一致するいわゆるセルフ・アライン構造となっている。それ故ソース・ゲート間及びドレイン・ゲート間の寄生容量がa−Si TFTに比べてきわめて小さくなっている。これら二つの事実により、本発明の薄膜半導体装置をアクティブ・マトリクス型液晶表示装置(LCD)の画素スイッチング素子として用いた場合、従来a−Si TFTでは達成不能であった高精細LCD(画素数が多いLCD)や、明るいLCD(付加容量を減らした、又はなくした開口率の高いLCD)或いは高集積LCD(単位面積あたりの画素数が多いLCD)が容易に実現できるのである。
(実施例6)
次にマイクロ波PECVD装置を用いて、レーザー照射等の結晶化を必要としない結晶性半導体膜の350℃程度以下の低温堆積方法及びそれを用いた薄膜半導体装置の製造方法とその特徴を詳述する。基板は(2−1)項で述べた方法で準備される。半導体膜及び原料ガスは(2−2)項で述べた物が総て適用可能だが、ここでは一例としてシリコン膜を取り上げ、原料気体としてはモノシラン(SiH4)を用いる。
本実施例6では基板101として300mm×300mm×1.1mmの無アルカリガラス(日本電気硝子社OA−2)を用い、下地保護膜及び半導体膜はマイクロ波PECVD法装置の一種であるECR−PECVD装置にて基板温度100℃で連続成膜した。マイクロ波は2.45GHzを用いた。下地保護膜である酸化硅素膜はSiH4とO2を原料ガスとして200nmに堆積した。酸素流量は100sccm,シラン流量60sccm,マイクロ波出力2250w、反応室内圧力2.35mtorr,堆積速度8.0nm/sが下地保護膜の堆積条件であった。酸化硅素膜形成後成膜室へのシラン供給を止め、連続して酸素プラズマ照射を10秒間施した。
酸素プラズマ照射中の圧力は1.85mtorrであった。次に真空引きを10秒間行った後、水素流量100sccm、マイクロ波出力2000w、反応室内圧力1.97mtorrの条件下にて下地保護膜に水素プラズマを照射した。さらに真空を破らずに連続して薄膜半導体装置の能動層と化す真性シリコン膜を75nm程度堆積した。原料ガスであるモノシラン(SiH4)を25sccm流し追加気体として希ガス族元素の一種であるアルゴン(Ar)を825sccm流して堆積した。マイクロ波出力は2250Wで反応室内圧力13.0mtorr、堆積速度2.5nm/sの成膜条件であった。半導体膜堆積後半導体膜表面を保護することと半導体膜中の未反応対を終端化する目的で再び水素プラズマ照射と酸素プラズマ照射を連続して行った。水素プラズマと酸素プラズマの照射条件は下地保護膜に対してなされた物と同一である。こうして得られた半導体膜は高純度のシリコン膜で、堆積直後の状態(As−deposited状態)で多結晶状態となっている。多波長分散型分光エリプソメトリーにて結晶化率を測定したところ、結晶化率は85%の値を示した。
次にこのシリコン膜をパターニングし、トランジスタの能動層となるチャンネル部半導体膜103を作成した(図1(a))。以下実施例1に詳述した薄膜半導体装置の製造方法と全く同じ方法でゲート絶縁膜形成(図1(b))、ゲート電極形成、イオン注入によるソース・ドレイン領域及びチャンネル形成(図1(c))、層間絶縁膜形成、注入イオンの活性化及び層間絶縁膜の焼き締めの熱処理、コンタクト・ホール開穴とソース・ドレイン取り出し電極形成を経て、薄膜半導体装置は完成する(図1(d))。従って本実施例6では、全工程を通じての最高温度は300℃である。
このようにして試作した薄膜半導体装置のトランジスタ特性を測定したところ、95%の信頼係数でオン電流はION=(1.71+0.13、−0.12)×10-6Aであり、オフ電流はIOFF=(1.07+0.33、−0.28)×10-13Aであった。また、有効電子移動度はμ=4.68±0.20cm2/v.secであった。測定条件は実施例1に準じている。本願発明によると特に結晶化工程を導入せずとも、全工程を300℃程度以下で行ってpoly−Si TFTを製造することができるのである。
(実施例7)
本実施例ではVHF−PECVD法で得られた半導体膜にレーザー照射を施して熔融結晶化を行い、薄膜半導体装置を作成する。製造プロセスは実施例5に半導体膜が堆積された直後にレーザー照射の工程を加えた物となる。また、レーザー照射方法は実施例1に示したレーザー照射方法で、第1回目のレーザー照射エネルギー密度を130mJ/cm2に、第2回目のレーザー照射エネルギー密度を240mJ/cm2と変更した物である。




このようにして試作した薄膜半導体装置のトランジスタ特性を測定したところ、95%の信頼係数でオン電流はION=(22.4+1.70、−1.55)×10-6Aであり、オフ電流はIOFF=(1.27+0.30、−0.26)×10-12Aであった。また、有効電子移動度はμ=47.95±3.13cm2/v.secであった。測定条件は実施例1に準じている。
(実施例8)
本実施例ではマイクロ波−PECVD法で得られた半導体膜にレーザー照射を施して熔融結晶化を行い、薄膜半導体装置を作成する。製造プロセスは実施例6に半導体膜が堆積された直後にレーザー照射の工程を加えた物となる。また、レーザー照射方法は実施例1に示したレーザー照射方法で、第1回目のレーザー照射エネルギー密度を150mJ/cm2に、第2回目のレーザー照射エネルギー密度を270mJ/cm2と変更した物である。
このようにして試作した薄膜半導体装置のトランジスタ特性を測定したところ、95%の信頼係数でオン電流はION=(39.8+2.45、−1.57)×10-6Aであり、オフ電流はIOFF=(5.80+2.09、−1.26)×10-13Aであった。また、有効電子移動度はμ=85.63±4.38cm2/v.secであった。測定条件は実施例1に準じている。
(実施例9)
上記した実施例で得られた各種薄膜半導体装置を画素用TFTと駆動回路用TFTとして用いたアクティブマトリクス基板を製造した。得られたアクティブマトリクス基板を基板の一方に用いた液晶パネルを製造した。得られた液晶パネルを外部の周辺駆動回路やバックライトユニットとともに液晶表示装置のモジュールを製造したところ、TFT自体の性能が高品質であり、またその製造工程も安定しているため、表示品質の高い液晶表示装置を安定的に低コストで製造することができた。また、TFTの性能が極めて高く、必要な駆動回路をアクティブマトリクス基板上に形成できるため(ドライバ内蔵)、外部の周辺駆動回路との実装構造が単純化され、小型軽量な液晶表示装置とすることができた。
また、そのような液晶表示装置をフルカラーのノートPCの筐体に組み込んだところ、小型軽量で表示品質のよいフルカラーのノートPCを安価に製造することができた。
産業上の利用可能性以上のように、本発明の薄膜半導体装置の製造方法によると、安価なガラス基板の使用が可能である低温プロセスを用いて高性能な薄膜半導体装置を製造することができる。従って、本発明をアクティブ・マトリックス液晶表示装置の製造に適用した場合には、大型で高品質な液晶表示装置を容易にかつ安定的に製造することができる。また、他の電子回路の製造に適用した場合にも高品質な電子回路を容易にかつ安定的に製造することができる。
また、本発明の薄膜半導体装置は、安価でかつ高性能であるため、アクティブ・マトリックス液晶表示装置のアクティブマトリクス基板として最適なものとなっている。特に高い性能を要求されるドライバ内蔵のアクティブマトリクス基板として最適なものとなっている。
また、本発明の液晶表示装置は、安価でかつ高性能であるため、フルカラーのノートPCをはじめ、各種ディスプレイとして最適なものとなっている。
また、本発明の電子機器は、安価でかつ高性能であるため、一般に広く受け入れられるであろう。
本発明の薄膜半導体装置の製造工程。 本発明に用いたVHS−プラズマ化学気相堆積装置(VHS−PECVD装置)。 本発明に用いたホット・ウォール型縦型LPCVD装置。 通常のホット・ウォール型縦型LPCVD装置。 成膜室中の圧力Pと成膜室の排気速度Sと気体流量Qとの関係。
符号の説明
101…基板、102…下地保護膜、103…半導体膜、104…ゲート絶縁膜、105…ゲート電極、106…不純物イオン、107…ソース・ドレイン領域、108…チャネル領域、109…層間絶縁膜、110…ソース・ドレイン取り出し電極。

Claims (8)

  1. 少なくとも基板表面の一部に絶縁性物質である下地保護膜を設ける工程と
    PECVD装置により、前記下地保護膜に第1のプラズマを照射する工程と、
    前記下地保護膜に前記第1のプラズマを照射した後、前記PECVD装置により、真空を破ることなく連続して前記下地保護膜上に半導体膜を形成する工程と
    前記半導体膜を形成した後、前記PECVD装置により、真空を破ることなく連続して前記半導体膜に第2のプラズマを照射する工程と、
    前記半導体膜を熔融結晶化させる工程と、を有し、
    前記第1のプラズマは、酸素プラズマと水素プラズマのうちいずれかであり、
    前記第2のプラズマは、酸素プラズマと水素プラズマのうちいずれかであり、
    前記半導体膜をトランジスタの能動層としている薄膜半導体装置の製造方法。
  2. 前記第1のプラズマは酸素プラズマであり、前記下地保護膜に前記第1のプラズマを照射した後、真空を破ることなく連続して前記下地保護膜に水素プラズマを照射することを特徴とする請求項1に記載の薄膜半導体装置の製造方法。
  3. 前記下地保護膜に第1のプラズマを照射する工程と前記下地保護膜上に半導体膜を形成する工程との間に成膜室の真空引きを行うことを特徴とする請求項1または2に記載の薄膜半導体装置の製造方法。
  4. 前記第2のプラズマは水素プラズマであり、前記半導体膜に前記第2のプラズマを照射した後、真空を破ることなく連続して前記半導体膜に酸素プラズマを照射することを特徴とする請求項1乃至のいずれか1項に記載の薄膜半導体装置の製造方法。
  5. 前記第2のプラズマは酸素プラズマであり、
    前記半導体膜に第2のプラズマを照射した時に前記半導体膜表面に形成された酸化膜を、前記半導体膜に前記第2のプラズマを照射した後に前記半導体膜の膜表面から除去する工程をさらに有し
    前記酸化膜を除去した後直ちに前記半導体膜を熔融結晶化させる工程を行なうことを特徴とする請求項1乃至のいずれか1項に記載の薄膜半導体装置の製造方法。
  6. 前記半導体膜に前記第2のプラズマを照射した後、真空を破ることなく連続して前記半導体膜に前記酸素プラズマを照射した時に前記半導体膜表面に形成された酸化膜を、前記半導体膜に前記酸素プラズマを照射した後に前記半導体膜の膜表面から除去する工程をさらに有し
    前記酸化膜を除去した後直ちに前記半導体膜を熔融結晶化させる工程を行なうことを特徴とする請求項に記載の薄膜半導体装置の製造方法。
  7. 前記半導体膜をPECVD法によって製膜する際、前記半導体膜の堆積速度が0.1nm/s以上であることを特徴とする請求項1乃至のいずれか1項に記載の薄膜半導体装置の製造方法。
  8. 前記堆積速度が0.37nm/s以上であることを特徴とする請求の範囲第項に記載の薄膜半導体装置の製造方法。
JP2008257150A 1994-06-15 2008-10-02 薄膜半導体装置の製造方法 Expired - Lifetime JP4466775B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2008257150A JP4466775B2 (ja) 1994-06-15 2008-10-02 薄膜半導体装置の製造方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP13337494 1994-06-15
JP7214495 1995-03-29
JP2008257150A JP4466775B2 (ja) 1994-06-15 2008-10-02 薄膜半導体装置の製造方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2005031153A Division JP4258476B2 (ja) 1994-06-15 2005-02-07 薄膜半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2009044171A JP2009044171A (ja) 2009-02-26
JP4466775B2 true JP4466775B2 (ja) 2010-05-26

Family

ID=26413272

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008257150A Expired - Lifetime JP4466775B2 (ja) 1994-06-15 2008-10-02 薄膜半導体装置の製造方法

Country Status (7)

Country Link
US (4) US5858819A (ja)
EP (3) EP0714140B1 (ja)
JP (1) JP4466775B2 (ja)
KR (2) KR100327086B1 (ja)
CN (4) CN1274009C (ja)
DE (1) DE69531654T2 (ja)
WO (1) WO1995034916A1 (ja)

Families Citing this family (540)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3637069B2 (ja) * 1993-03-12 2005-04-06 株式会社半導体エネルギー研究所 半導体装置の作製方法
JPH07131030A (ja) * 1993-11-05 1995-05-19 Sony Corp 表示用薄膜半導体装置及びその製造方法
US5641974A (en) 1995-06-06 1997-06-24 Ois Optical Imaging Systems, Inc. LCD with bus lines overlapped by pixel electrodes and photo-imageable insulating layer therebetween
WO1997001863A1 (fr) * 1995-06-26 1997-01-16 Seiko Epson Corporation Procede de formation de film semi-conducteur cristallin, procede de production de transistor a couche mince, procede de production de cellules solaires et dispositif cristal liquide a matrice active
WO1997006565A1 (fr) * 1995-08-04 1997-02-20 Seiko Epson Corporation Procede pour la preparation d'un transistor a couche mince, procede pour la preparation d'un substrat de matrice active, et affichage a cristaux liquides
FR2737806B1 (fr) * 1995-08-11 1997-09-12 Soc D Production Et De Rech Ap Dispositif et procede de traitement de surface par laser
JP3917205B2 (ja) * 1995-11-30 2007-05-23 株式会社半導体エネルギー研究所 半導体装置の作製方法
US7118996B1 (en) * 1996-05-15 2006-10-10 Semiconductor Energy Laboratory Co., Ltd. Apparatus and method for doping
JPH1054999A (ja) * 1996-06-04 1998-02-24 Canon Inc 表示装置とその製造法
TW324862B (en) * 1996-07-03 1998-01-11 Hitachi Ltd Liquid display apparatus
US6188452B1 (en) 1996-07-09 2001-02-13 Lg Electronics, Inc Active matrix liquid crystal display and method of manufacturing same
FR2751131B1 (fr) * 1996-07-09 2001-11-09 Lg Electronics Inc Procede de fabrication d'un dispositif d'affichage a matrice active a cristal liquide et structure du dispositif d'affichage selon ce procede
WO1998021749A1 (fr) * 1996-11-14 1998-05-22 Tokyo Electron Limited Procede de nettoyage d'un dispositif de traitement au plasma et procede de traitement au plasma
WO1998033362A1 (fr) * 1997-01-29 1998-07-30 Tadahiro Ohmi Dispositif a plasma
US5998838A (en) 1997-03-03 1999-12-07 Nec Corporation Thin film transistor
US7176111B2 (en) * 1997-03-28 2007-02-13 Interuniversitair Microelektronica Centrum (Imec) Method for depositing polycrystalline SiGe suitable for micromachining and devices obtained thereof
EP0867701A1 (en) 1997-03-28 1998-09-30 Interuniversitair Microelektronica Centrum Vzw Method of fabrication of an infrared radiation detector and more particularly an infrared sensitive bolometer
JP4086932B2 (ja) 1997-04-17 2008-05-14 株式会社半導体エネルギー研究所 レーザー照射装置及びレーザー処理方法
JP3844561B2 (ja) * 1997-06-10 2006-11-15 株式会社半導体エネルギー研究所 半導体装置の作製方法
JP4601731B2 (ja) * 1997-08-26 2010-12-22 株式会社半導体エネルギー研究所 半導体装置、半導体装置を有する電子機器及び半導体装置の作製方法
US6346175B1 (en) * 1997-11-20 2002-02-12 International Business Machines Corporation Modification of in-plate refractory metal texture by use of refractory metal/nitride layer
US6214526B1 (en) * 1998-02-17 2001-04-10 Novellus Systems, Inc. Semiconductor processing using antireflective layer having high wet etch rate
JPH11233801A (ja) * 1998-02-17 1999-08-27 Canon Inc 微結晶シリコン膜の形成方法、および光起電力素子
US7804115B2 (en) * 1998-02-25 2010-09-28 Micron Technology, Inc. Semiconductor constructions having antireflective portions
US6274292B1 (en) * 1998-02-25 2001-08-14 Micron Technology, Inc. Semiconductor processing methods
US6287436B1 (en) 1998-02-27 2001-09-11 Innovent, Inc. Brazed honeycomb collimator
JP4083921B2 (ja) * 1998-05-29 2008-04-30 株式会社東芝 半導体装置の製造方法
JP2000058839A (ja) * 1998-08-05 2000-02-25 Semiconductor Energy Lab Co Ltd 半導体素子からなる半導体回路を備えた半導体装置およびその作製方法
US6246070B1 (en) * 1998-08-21 2001-06-12 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device provided with semiconductor circuit made of semiconductor element and method of fabricating the same
US6281100B1 (en) 1998-09-03 2001-08-28 Micron Technology, Inc. Semiconductor processing methods
US6268282B1 (en) 1998-09-03 2001-07-31 Micron Technology, Inc. Semiconductor processing methods of forming and utilizing antireflective material layers, and methods of forming transistor gate stacks
JP4493741B2 (ja) * 1998-09-04 2010-06-30 株式会社半導体エネルギー研究所 半導体装置の作製方法
US7126161B2 (en) 1998-10-13 2006-10-24 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device having El layer and sealing material
US6828683B2 (en) 1998-12-23 2004-12-07 Micron Technology, Inc. Semiconductor devices, and semiconductor processing methods
US7235499B1 (en) 1999-01-20 2007-06-26 Micron Technology, Inc. Semiconductor processing methods
JP3399432B2 (ja) * 1999-02-26 2003-04-21 セイコーエプソン株式会社 電気光学装置の製造方法及び電気光学装置
US6303411B1 (en) * 1999-05-03 2001-10-16 Vortek Industries Ltd. Spatially resolved temperature measurement and irradiance control
US7245018B1 (en) * 1999-06-22 2007-07-17 Semiconductor Energy Laboratory Co., Ltd. Wiring material, semiconductor device provided with a wiring using the wiring material and method of manufacturing thereof
US6661096B1 (en) * 1999-06-29 2003-12-09 Semiconductor Energy Laboratory Co., Ltd. Wiring material semiconductor device provided with a wiring using the wiring material and method of manufacturing thereof
JP3393469B2 (ja) * 1999-07-15 2003-04-07 日本電気株式会社 薄膜半導体素子の製造方法及び薄膜半導体形成装置
US6863733B1 (en) 1999-07-15 2005-03-08 Nec Corporation Apparatus for fabricating thin-film semiconductor device
JP2001147446A (ja) * 1999-11-19 2001-05-29 Hitachi Ltd 液晶表示装置とその製造方法
TW451447B (en) * 1999-12-31 2001-08-21 Samsung Electronics Co Ltd Contact structures of wirings and methods for manufacturing the same, and thin film transistor array panels including the same and methods for manufacturing the same
US6440860B1 (en) * 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US20010053559A1 (en) * 2000-01-25 2001-12-20 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating display device
JP2001223209A (ja) * 2000-02-08 2001-08-17 Seiko Epson Corp 絶縁性,半導電性,および導電性薄膜の製造方法
WO2001061761A1 (fr) * 2000-02-18 2001-08-23 Matsushita Electric Industrial Co., Ltd. Couche polycristalline mince et dispositif semi-conducteur
US7196283B2 (en) 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
US6900596B2 (en) * 2002-07-09 2005-05-31 Applied Materials, Inc. Capacitively coupled plasma reactor with uniform radial distribution of plasma
US7030335B2 (en) * 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US7141757B2 (en) * 2000-03-17 2006-11-28 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode having a resonance that is virtually pressure independent
US7220937B2 (en) * 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US8048806B2 (en) * 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US20070048882A1 (en) * 2000-03-17 2007-03-01 Applied Materials, Inc. Method to reduce plasma-induced charging damage
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US20020184970A1 (en) * 2001-12-13 2002-12-12 Wickersham Charles E. Sptutter targets and methods of manufacturing same to reduce particulate emission during sputtering
WO2001086282A1 (en) 2000-05-11 2001-11-15 Tosoh Smd, Inc. Cleanliness evaluation in sputter targets using phase
KR20020002732A (ko) * 2000-06-30 2002-01-10 박종섭 반도체 소자의 절연막 형성 방법
US6875674B2 (en) 2000-07-10 2005-04-05 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device with fluorine concentration
JP2002141514A (ja) * 2000-11-07 2002-05-17 Sanyo Electric Co Ltd ボトムゲート型薄膜トランジスタ及びその製造方法
US6594446B2 (en) * 2000-12-04 2003-07-15 Vortek Industries Ltd. Heat-treating methods and systems
JP4802364B2 (ja) * 2000-12-07 2011-10-26 ソニー株式会社 半導体層のドーピング方法、薄膜半導体素子の製造方法、及び半導体層の抵抗制御方法
CN100585814C (zh) * 2001-01-25 2010-01-27 东京毅力科创株式会社 等离子体处理方法
KR101050377B1 (ko) 2001-02-12 2011-07-20 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6830994B2 (en) * 2001-03-09 2004-12-14 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device having a crystallized semiconductor film
US6436724B1 (en) * 2001-03-14 2002-08-20 Advanced Micro Devices, Inc. Method of monitoring the temperature of a rapid thermal anneal process in semiconductor manufacturing and a test wafer for use in this method
US7087142B2 (en) * 2001-04-04 2006-08-08 Tosoh Smd, Inc. Method for determining a critical size of an inclusion in aluminum or aluminum alloy sputtering target
NL1017849C2 (nl) * 2001-04-16 2002-10-30 Univ Eindhoven Tech Werkwijze en inrichting voor het deponeren van een althans ten dele kristallijne siliciumlaag op een substraat.
US7079975B1 (en) 2001-04-30 2006-07-18 Advanced Micro Devices, Inc. Scatterometry and acoustic based active control of thin film deposition process
JP3501793B2 (ja) * 2001-05-16 2004-03-02 Nec液晶テクノロジー株式会社 薄膜トランジスタ及びその製造方法
JP4901020B2 (ja) * 2001-05-23 2012-03-21 東芝モバイルディスプレイ株式会社 ポリシリコン薄膜トランジスタの製造方法
JP4349904B2 (ja) * 2001-08-09 2009-10-21 トーソー エスエムディー,インク. 寸法と位置によって分類された欠陥の種類による、非破壊的なターゲット清浄度特性決定のための方法と装置
US6887803B2 (en) * 2001-11-08 2005-05-03 Wafermasters, Inc. Gas-assisted rapid thermal processing
JP4252749B2 (ja) * 2001-12-13 2009-04-08 忠弘 大見 基板処理方法および基板処理装置
US20030111013A1 (en) * 2001-12-19 2003-06-19 Oosterlaken Theodorus Gerardus Maria Method for the deposition of silicon germanium layers
KR101067902B1 (ko) * 2001-12-26 2011-09-27 맷슨 테크날러지 캐나다 인코퍼레이티드 온도 측정 및 열처리 방법과 시스템
US6541366B1 (en) * 2002-01-08 2003-04-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving a solder bump adhesion bond to a UBM contact layer
JP3957277B2 (ja) * 2002-04-15 2007-08-15 株式会社アドバンスト・ディスプレイ 液晶表示装置及びその製造方法
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
JP5005170B2 (ja) * 2002-07-19 2012-08-22 エーエスエム アメリカ インコーポレイテッド 超高品質シリコン含有化合物層の形成方法
US7294582B2 (en) * 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US7186630B2 (en) * 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
GB0219771D0 (en) * 2002-08-24 2002-10-02 Koninkl Philips Electronics Nv Manufacture of electronic devices comprising thin-film circuit elements
KR20040021758A (ko) * 2002-09-04 2004-03-11 엘지.필립스 엘시디 주식회사 다결정 실리콘 박막트랜지스터 제조방법
US6689646B1 (en) * 2002-11-14 2004-02-10 Sharp Laboratories Of America, Inc. Plasma method for fabricating oxide thin films
US9627244B2 (en) 2002-12-20 2017-04-18 Mattson Technology, Inc. Methods and systems for supporting a workpiece and for heat-treating the workpiece
TWI223762B (en) * 2003-01-02 2004-11-11 Taiwan Semiconductor Mfg Front end dispatching method and system for long batch processing equipment in semiconductor manufacturing
EP1445802A1 (en) * 2003-02-06 2004-08-11 Centre National De La Recherche Scientifique (Cnrs) Transistor for active matrix display, a display unit comprising the said transistor and a method for producing said transistor
JP4382375B2 (ja) 2003-03-13 2009-12-09 Nec液晶テクノロジー株式会社 薄膜トランジスタの製造方法
JP3922204B2 (ja) * 2003-04-10 2007-05-30 株式会社デンソー 携帯型送信機
EP1482069A1 (en) * 2003-05-28 2004-12-01 Interuniversitair Microelektronica Centrum Vzw Method for producing polycrystalline silicon germanium suitable for micromachining
EP1473383B1 (en) * 2003-04-29 2012-08-15 Imec Method for producing polycrystalline silicon germanium suitable for micromachining
US7115480B2 (en) * 2003-05-07 2006-10-03 Micron Technology, Inc. Micromechanical strained semiconductor by wafer bonding
US7470626B2 (en) * 2003-05-16 2008-12-30 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7247218B2 (en) * 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7901952B2 (en) * 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US7910013B2 (en) 2003-05-16 2011-03-22 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7795153B2 (en) * 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US7452824B2 (en) * 2003-05-16 2008-11-18 Applied Materials, Inc. Method of characterizing a chamber based upon concurrent behavior of selected plasma parameters as a function of plural chamber parameters
US7008854B2 (en) * 2003-05-21 2006-03-07 Micron Technology, Inc. Silicon oxycarbide substrates for bonded silicon on insulator
US7273788B2 (en) * 2003-05-21 2007-09-25 Micron Technology, Inc. Ultra-thin semiconductors bonded on glass substrates
US7501329B2 (en) * 2003-05-21 2009-03-10 Micron Technology, Inc. Wafer gettering using relaxed silicon germanium epitaxial proximity layers
US7662701B2 (en) * 2003-05-21 2010-02-16 Micron Technology, Inc. Gettering of silicon on insulator using relaxed silicon germanium epitaxial proximity layers
JP4128910B2 (ja) * 2003-06-11 2008-07-30 日本アイ・ビー・エム株式会社 液晶表示セル及び液晶表示セルの製造方法
US7439158B2 (en) 2003-07-21 2008-10-21 Micron Technology, Inc. Strained semiconductor by full wafer bonding
US7153753B2 (en) * 2003-08-05 2006-12-26 Micron Technology, Inc. Strained Si/SiGe/SOI islands and processes of making same
US7703034B2 (en) * 2003-08-07 2010-04-20 National Instruments Corporation Visualization tool for viewing timing information for a graphical program
WO2005059991A1 (en) * 2003-12-19 2005-06-30 Mattson Technology Canada Inc. Apparatuses and methods for suppressing thermally induced motion of a workpiece
US7402207B1 (en) 2004-05-05 2008-07-22 Advanced Micro Devices, Inc. Method and apparatus for controlling the thickness of a selective epitaxial growth layer
KR100712101B1 (ko) * 2004-06-30 2007-05-02 삼성에스디아이 주식회사 박막트랜지스터 및 그의 제조 방법
US7097779B2 (en) * 2004-07-06 2006-08-29 Tokyo Electron Limited Processing system and method for chemically treating a TERA layer
JP2006024735A (ja) * 2004-07-08 2006-01-26 Seiko Instruments Inc 半導体膜の結晶化方法、及び、表示装置の製造方法
US7253084B2 (en) 2004-09-03 2007-08-07 Asm America, Inc. Deposition from liquid sources
US7402485B1 (en) 2004-10-20 2008-07-22 Advanced Micro Devices, Inc. Method of forming a semiconductor device
US7456062B1 (en) 2004-10-20 2008-11-25 Advanced Micro Devices, Inc. Method of forming a semiconductor device
FI117728B (fi) * 2004-12-21 2007-01-31 Planar Systems Oy Monikerrosmateriaali ja menetelmä sen valmistamiseksi
US20060150906A1 (en) * 2005-01-07 2006-07-13 Selen Louis J M Wafer boat for reduced shadow marks
US7629267B2 (en) * 2005-03-07 2009-12-08 Asm International N.V. High stress nitride film and method for formation thereof
US7422634B2 (en) * 2005-04-07 2008-09-09 Cree, Inc. Three inch silicon carbide wafer with low warp, bow, and TTV
US20060252191A1 (en) * 2005-05-03 2006-11-09 Advanced Micro Devices, Inc. Methodology for deposition of doped SEG for raised source/drain regions
US7359177B2 (en) * 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
US7553732B1 (en) 2005-06-13 2009-06-30 Advanced Micro Devices, Inc. Integration scheme for constrained SEG growth on poly during raised S/D processing
US20060281271A1 (en) * 2005-06-13 2006-12-14 Advanced Micro Devices, Inc. Method of forming a semiconductor device having an epitaxial layer and device thereof
NL1029647C2 (nl) * 2005-07-29 2007-01-30 Otb Group Bv Werkwijze voor het passiveren van ten minste een deel van een substraatoppervlak.
US7572705B1 (en) 2005-09-21 2009-08-11 Advanced Micro Devices, Inc. Semiconductor device and method of manufacturing a semiconductor device
WO2007046290A1 (en) * 2005-10-18 2007-04-26 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US7718518B2 (en) * 2005-12-16 2010-05-18 Asm International N.V. Low temperature doped silicon layer formation
US7544584B2 (en) 2006-02-16 2009-06-09 Micron Technology, Inc. Localized compressive strained semiconductor
JP2007305698A (ja) * 2006-05-09 2007-11-22 Nec Corp 半導体装置及びその製造方法
US9105776B2 (en) * 2006-05-15 2015-08-11 Stion Corporation Method and structure for thin film photovoltaic materials using semiconductor materials
EP1863097A1 (en) * 2006-05-29 2007-12-05 Interuniversitair Microelektronica Centrum ( Imec) Method for modulating the effective work function
EP1863072A1 (en) * 2006-05-29 2007-12-05 Interuniversitair Microelektronica Centrum ( Imec) Method for modulating the effective work function
US7880267B2 (en) * 2006-08-28 2011-02-01 Micron Technology, Inc. Buried decoupling capacitors, devices and systems including same, and methods of fabrication
DE102006052586B4 (de) * 2006-11-08 2008-07-03 Schott Solar Gmbh Verfahren und Vorrichtung zur Reinigung der Abgase einer Siliziumdünnschicht-Produktionsanlage
US8454356B2 (en) * 2006-11-15 2013-06-04 Mattson Technology, Inc. Systems and methods for supporting a workpiece during heat-treating
KR101164607B1 (ko) * 2006-11-22 2012-07-10 삼성테크윈 주식회사 휴대용 전자기기용 슬라이딩 구조체
JP2008177419A (ja) * 2007-01-19 2008-07-31 Nissin Electric Co Ltd シリコン薄膜形成方法
KR101030769B1 (ko) * 2007-01-23 2011-04-27 삼성전자주식회사 스택 패키지 및 스택 패키징 방법
US7972943B2 (en) * 2007-03-02 2011-07-05 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor device
US20080230008A1 (en) * 2007-03-21 2008-09-25 Alexander Paterson Plasma species and uniformity control through pulsed vhf operation
US20080299747A1 (en) * 2007-05-30 2008-12-04 Asm Japan K.K. Method for forming amorphouse silicon film by plasma cvd
US8071179B2 (en) 2007-06-29 2011-12-06 Stion Corporation Methods for infusing one or more materials into nano-voids if nanoporous or nanostructured materials
US20090023274A1 (en) * 2007-07-07 2009-01-22 Xinmin Cao Hybrid Chemical Vapor Deposition Process Combining Hot-Wire CVD and Plasma-Enhanced CVD
US20090017637A1 (en) * 2007-07-10 2009-01-15 Yi-Chiau Huang Method and apparatus for batch processing in a vertical reactor
US8441018B2 (en) 2007-08-16 2013-05-14 The Trustees Of Columbia University In The City Of New York Direct bandgap substrates and methods of making and using
US20090065816A1 (en) * 2007-09-11 2009-03-12 Applied Materials, Inc. Modulating the stress of poly-crystaline silicon films and surrounding layers through the use of dopants and multi-layer silicon films with controlled crystal structure
US8314009B2 (en) * 2007-09-14 2012-11-20 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing SOI substrate and method for manufacturing semiconductor device
US8759671B2 (en) * 2007-09-28 2014-06-24 Stion Corporation Thin film metal oxide bearing semiconductor material for single junction solar cell devices
ITRE20070116A1 (it) * 2007-10-29 2009-04-30 Corghi Spa '' dispositivo e metodo per la verifica dell'assetto di un veicolo ''
US7998762B1 (en) 2007-11-14 2011-08-16 Stion Corporation Method and system for large scale manufacture of thin film photovoltaic devices using multi-chamber configuration
KR101270174B1 (ko) * 2007-12-03 2013-05-31 삼성전자주식회사 산화물 반도체 박막 트랜지스터의 제조방법
US8772078B1 (en) 2008-03-03 2014-07-08 Stion Corporation Method and system for laser separation for exclusion region of multi-junction photovoltaic materials
US8064224B2 (en) * 2008-03-31 2011-11-22 Intel Corporation Microelectronic package containing silicon patches for high density interconnects, and method of manufacturing same
US9070590B2 (en) 2008-05-16 2015-06-30 Mattson Technology, Inc. Workpiece breakage prevention method and apparatus
US8642138B2 (en) * 2008-06-11 2014-02-04 Stion Corporation Processing method for cleaning sulfur entities of contact regions
US9087943B2 (en) * 2008-06-25 2015-07-21 Stion Corporation High efficiency photovoltaic cell and manufacturing method free of metal disulfide barrier material
US8003432B2 (en) 2008-06-25 2011-08-23 Stion Corporation Consumable adhesive layer for thin film photovoltaic material
US7855089B2 (en) * 2008-09-10 2010-12-21 Stion Corporation Application specific solar cell and method for manufacture using thin film photovoltaic materials
US8053274B2 (en) * 2008-09-30 2011-11-08 Stion Corporation Self cleaning large scale method and furnace system for selenization of thin film photovoltaic materials
US7947524B2 (en) * 2008-09-30 2011-05-24 Stion Corporation Humidity control and method for thin film photovoltaic materials
US8383450B2 (en) * 2008-09-30 2013-02-26 Stion Corporation Large scale chemical bath system and method for cadmium sulfide processing of thin film photovoltaic materials
US8741689B2 (en) * 2008-10-01 2014-06-03 Stion Corporation Thermal pre-treatment process for soda lime glass substrate for thin film photovoltaic materials
US20110018103A1 (en) * 2008-10-02 2011-01-27 Stion Corporation System and method for transferring substrates in large scale processing of cigs and/or cis devices
US8168463B2 (en) 2008-10-17 2012-05-01 Stion Corporation Zinc oxide film method and structure for CIGS cell
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8344243B2 (en) * 2008-11-20 2013-01-01 Stion Corporation Method and structure for thin film photovoltaic cell using similar material junction
EP2377975A1 (en) * 2008-12-02 2011-10-19 Sumitomo Electric Industries, Ltd. Method of growing gallium nitride crystals and process for producing gallium nitride crystals
US8012876B2 (en) 2008-12-02 2011-09-06 Asm International N.V. Delivery of vapor precursor from solid source
US7833906B2 (en) 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US20100173448A1 (en) * 2009-01-07 2010-07-08 Applied Materials, Inc. High frequency plasma enhanced chemical vapor deposition
US7919416B2 (en) * 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US7972980B2 (en) * 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8142862B2 (en) * 2009-01-21 2012-03-27 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8507786B1 (en) 2009-06-27 2013-08-13 Stion Corporation Manufacturing method for patterning CIGS/CIS solar cells
US20120115272A1 (en) * 2009-06-30 2012-05-10 Sanyo Electric Co., Ltd. Production method and production device for solar battery
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8398772B1 (en) 2009-08-18 2013-03-19 Stion Corporation Method and structure for processing thin film PV cells with improved temperature uniformity
JP5520552B2 (ja) * 2009-09-11 2014-06-11 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US8809096B1 (en) 2009-10-22 2014-08-19 Stion Corporation Bell jar extraction tool method and apparatus for thin film photovoltaic materials
WO2011074409A1 (en) * 2009-12-18 2011-06-23 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US8859880B2 (en) 2010-01-22 2014-10-14 Stion Corporation Method and structure for tiling industrial thin-film solar devices
US9096930B2 (en) 2010-03-29 2015-08-04 Stion Corporation Apparatus for manufacturing thin film photovoltaic devices
US8907258B2 (en) * 2010-04-08 2014-12-09 Ncc Nano, Llc Apparatus for providing transient thermal profile processing on a moving substrate
WO2011126076A1 (ja) * 2010-04-09 2011-10-13 大日本印刷株式会社 薄膜トランジスタ基板
WO2011150058A2 (en) 2010-05-25 2011-12-01 Mossey Creek Solar, LLC Method of producing a semiconductor
JP5687547B2 (ja) * 2010-06-28 2015-03-18 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
JP5568390B2 (ja) * 2010-07-02 2014-08-06 株式会社半導体エネルギー研究所 成膜方法及びトランジスタの作製方法
US8461061B2 (en) 2010-07-23 2013-06-11 Stion Corporation Quartz boat method and apparatus for thin film thermal treatment
US8440548B2 (en) 2010-08-06 2013-05-14 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of microcrystalline silicon film and manufacturing method of thin film transistor
US9064691B2 (en) 2010-08-13 2015-06-23 United Microelectronics Corp. Semiconductor process
US8628997B2 (en) 2010-10-01 2014-01-14 Stion Corporation Method and device for cadmium-free solar cells
JP5636867B2 (ja) * 2010-10-19 2014-12-10 富士通株式会社 半導体装置及び半導体装置の製造方法
KR101826069B1 (ko) * 2010-10-26 2018-03-23 삼성디스플레이 주식회사 유기발광표시장치 및 그 제조방법
US8728200B1 (en) 2011-01-14 2014-05-20 Stion Corporation Method and system for recycling processing gas for selenization of thin film photovoltaic materials
US8998606B2 (en) 2011-01-14 2015-04-07 Stion Corporation Apparatus and method utilizing forced convection for uniform thermal treatment of thin film devices
JPWO2012114379A1 (ja) * 2011-02-23 2014-07-07 パナソニック株式会社 薄膜トランジスタ装置の製造方法、薄膜トランジスタ装置および表示装置
JP5659882B2 (ja) * 2011-03-09 2015-01-28 住友電気工業株式会社 半導体装置の製造方法
US20120252225A1 (en) * 2011-03-29 2012-10-04 Chunlong Li Semiconductor fabrication method
CN102723272B (zh) * 2011-03-29 2015-02-25 中国科学院微电子研究所 半导体制造方法
US10150230B2 (en) * 2011-04-08 2018-12-11 Ncc Nano, Llc Method for drying thin films in an energy efficient manner
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8436445B2 (en) 2011-08-15 2013-05-07 Stion Corporation Method of manufacture of sodium doped CIGS/CIGSS absorber layers for high efficiency photovoltaic devices
CN103828061B (zh) * 2011-10-07 2018-02-13 应用材料公司 使用氩气稀释来沉积含硅层的方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP6125846B2 (ja) * 2012-03-22 2017-05-10 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8901556B2 (en) 2012-04-06 2014-12-02 Semiconductor Energy Laboratory Co., Ltd. Insulating film, method for manufacturing semiconductor device, and semiconductor device
JP6128906B2 (ja) 2012-04-13 2017-05-17 株式会社半導体エネルギー研究所 半導体装置
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9512519B2 (en) * 2012-12-03 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Atomic layer deposition apparatus and method
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9299855B2 (en) 2013-08-09 2016-03-29 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device having dual gate insulating layers
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
WO2016002591A1 (ja) * 2014-07-03 2016-01-07 東京エレクトロン株式会社 成膜装置
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
CN105047544B (zh) * 2015-07-10 2018-06-19 苏州工业园区纳米产业技术研究院有限公司 低应力变化pecvd二氧化硅薄膜的制备方法
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN105679676A (zh) * 2016-03-01 2016-06-15 京东方科技集团股份有限公司 薄膜晶体管及其制备方法、阵列基板
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
KR102162902B1 (ko) * 2016-03-31 2020-10-07 최재성 반도체 소자 및 그 제조 방법
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
JP2019517142A (ja) 2016-05-17 2019-06-20 アメリカ合衆国 AlGaN/GaN高電子移動度トランジスタのダメージフリープラズマCVDパッシベーション
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102316293B1 (ko) * 2017-09-18 2021-10-22 삼성전자주식회사 반도체 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
CN107978538A (zh) * 2017-12-01 2018-05-01 泰州海天电子科技股份有限公司 一种判定晶体管键合弹坑的方法
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US20210025058A1 (en) * 2018-04-03 2021-01-28 Applied Materials, Inc. Flowable film curing using h2 plasma
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US20220336216A1 (en) * 2021-04-20 2022-10-20 Applied Materials, Inc. Helium-free silicon formation
US11784272B2 (en) 2021-04-29 2023-10-10 Solaero Technologies Corp. Multijunction solar cell
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5562778A (en) * 1978-11-02 1980-05-12 Fuji Photo Film Co Ltd Preparation of photoconductor film
JPS58164267A (ja) * 1982-03-25 1983-09-29 Seiko Epson Corp 薄膜シリコントランジスタの製造方法
JP2530117B2 (ja) * 1983-05-06 1996-09-04 セイコーエプソン株式会社 薄膜トランジスタの製造方法
JPH0647730B2 (ja) * 1985-12-25 1994-06-22 キヤノン株式会社 堆積膜形成法
JPS6331110A (ja) * 1986-07-25 1988-02-09 Fujitsu Ltd 半導体装置の製造方法
JPS63115328A (ja) * 1986-11-04 1988-05-19 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
JPH02137797A (ja) * 1988-11-16 1990-05-28 Toshiba Corp Siエピタキシャル成長装置
JP2708533B2 (ja) * 1989-03-14 1998-02-04 富士通株式会社 Cvd装置の残留ガス除去方法
US5192717A (en) * 1989-04-28 1993-03-09 Canon Kabushiki Kaisha Process for the formation of a polycrystalline semiconductor film by microwave plasma chemical vapor deposition method
US5114770A (en) * 1989-06-28 1992-05-19 Canon Kabushiki Kaisha Method for continuously forming functional deposited films with a large area by a microwave plasma cvd method
JP2864658B2 (ja) * 1990-04-25 1999-03-03 セイコーエプソン株式会社 薄膜トランジスタの製造方法
EP0459763B1 (en) * 1990-05-29 1997-05-02 Semiconductor Energy Laboratory Co., Ltd. Thin-film transistors
EP0486047B1 (en) * 1990-11-16 1999-09-01 Seiko Epson Corporation Process for fabricating a thin film semiconductor device
JP3575698B2 (ja) * 1991-01-30 2004-10-13 Tdk株式会社 多結晶半導体装置の製造方法
US5289030A (en) * 1991-03-06 1994-02-22 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device with oxide layer
JPH055582A (ja) 1991-06-26 1993-01-14 Mitsubishi Heavy Ind Ltd 蓄熱熱交換器及びこれを備えたヒートポンプ空気調和 機
JP3507072B2 (ja) * 1991-07-16 2004-03-15 セイコーエプソン株式会社 化学気相推積装置及び半導体膜形成方法と薄膜半導体装置の製造方法
US5242530A (en) 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
JP3483581B2 (ja) * 1991-08-26 2004-01-06 株式会社半導体エネルギー研究所 半導体装置
US5582880A (en) * 1992-03-27 1996-12-10 Canon Kabushiki Kaisha Method of manufacturing non-single crystal film and non-single crystal semiconductor device
JP3201495B2 (ja) * 1992-09-16 2001-08-20 キヤノン株式会社 非晶質シリコンの製造方法
JPH0616A (ja) 1992-06-19 1994-01-11 Iseki & Co Ltd トラクタモーアのコレクタ
KR970010652B1 (ko) * 1992-07-06 1997-06-30 가부시키가이샤 한도오따이 에네루기 겐큐쇼 박막형 반도체 장치 및 그 제작방법
JPH06163401A (ja) * 1992-09-11 1994-06-10 A G Technol Kk 多結晶シリコン層の形成方法およびそれを用いた多結晶シリコン薄膜トランジスタ
EP0592227A3 (en) * 1992-10-07 1995-01-11 Sharp Kk Manufacture of a thin film transistor and production of a liquid crystal display device.
JPH06132306A (ja) * 1992-10-21 1994-05-13 Casio Comput Co Ltd 半導体装置の製造方法
CN1088002A (zh) * 1992-11-16 1994-06-15 东京电子株式会社 制造液晶显示器基板及评价半导体晶体的方法与装置
JP3265668B2 (ja) * 1993-01-13 2002-03-11 株式会社ニコン ベストフォーカス位置の算出方法
JPH0828337B2 (ja) * 1993-01-20 1996-03-21 日本電気株式会社 半導体薄膜の製造方法
JP3497198B2 (ja) * 1993-02-03 2004-02-16 株式会社半導体エネルギー研究所 半導体装置および薄膜トランジスタの作製方法
JPH06296023A (ja) * 1993-02-10 1994-10-21 Semiconductor Energy Lab Co Ltd 薄膜状半導体装置およびその作製方法
JPH06275524A (ja) * 1993-03-24 1994-09-30 G T C:Kk 薄膜トランジスタの製造方法
JPH0799321A (ja) * 1993-05-27 1995-04-11 Sony Corp 薄膜半導体素子の製造方法および製造装置
US5488000A (en) * 1993-06-22 1996-01-30 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating a thin film transistor using a nickel silicide layer to promote crystallization of the amorphous silicon layer
US5482749A (en) * 1993-06-28 1996-01-09 Applied Materials, Inc. Pretreatment process for treating aluminum-bearing surfaces of deposition chamber prior to deposition of tungsten silicide coating on substrate therein
US5624873A (en) * 1993-11-12 1997-04-29 The Penn State Research Foundation Enhanced crystallization of amorphous films

Also Published As

Publication number Publication date
JP2009044171A (ja) 2009-02-26
CN1245972A (zh) 2000-03-01
US6335542B2 (en) 2002-01-01
CN1052116C (zh) 2000-05-03
US20010032986A1 (en) 2001-10-25
CN1274009C (zh) 2006-09-06
CN1130777C (zh) 2003-12-10
EP1722403B1 (en) 2012-07-25
US6972433B2 (en) 2005-12-06
EP1722403A3 (en) 2007-05-30
CN1129492A (zh) 1996-08-21
KR960704361A (ko) 1996-08-31
EP1722403A2 (en) 2006-11-15
DE69531654T2 (de) 2004-07-29
CN1495869A (zh) 2004-05-12
US20010013607A1 (en) 2001-08-16
US6017779A (en) 2000-01-25
EP0714140B1 (en) 2003-09-03
CN1269196C (zh) 2006-08-09
EP0714140A4 (en) 1998-04-01
CN1495857A (zh) 2004-05-12
DE69531654D1 (de) 2003-10-09
EP1335419A2 (en) 2003-08-13
KR100306527B1 (ko) 2002-06-26
WO1995034916A1 (fr) 1995-12-21
EP0714140A1 (en) 1996-05-29
EP1335419A3 (en) 2003-08-27
KR100327086B1 (ko) 2002-03-06
US5858819A (en) 1999-01-12

Similar Documents

Publication Publication Date Title
JP4466775B2 (ja) 薄膜半導体装置の製造方法
US6673126B2 (en) Multiple chamber fabrication equipment for thin film transistors in a display or electronic device
WO2004079826A1 (ja) 薄膜トランジスタの製造方法、及び表示装置と電子機器
JP4258476B2 (ja) 薄膜半導体装置の製造方法
JP2001007024A (ja) 多結晶シリコン膜の形成方法
JP3999138B2 (ja) 半導体装置の製造方法、表示装置の製造方法、および電子機器の製造方法
US6444507B1 (en) Fabrication process for thin film transistors in a display or electronic device
JP2000269133A (ja) 薄膜半導体装置の製造方法
JP2005167280A (ja) 半導体装置、アクティブマトリクス基板、及び電子機器
JPH10189449A (ja) 結晶性半導体膜の製造方法、および薄膜トランジスタの製造方法
JP2004288864A (ja) 薄膜半導体、薄膜トランジスタの製造方法、電気光学装置及び電子機器
JP4200530B2 (ja) 薄膜トランジスタの製造方法
JP2003174036A (ja) 薄膜トランジスタの製造方法及び薄膜トランジスタ
JP3680677B2 (ja) 半導体素子製造装置および半導体素子の製造方法
JP3881715B2 (ja) 結晶性半導体膜の形成方法、アクティブマトリクス装置の製造方法、及び電子装置の製造方法
JP2000286211A (ja) 薄膜半導体装置の製造方法
JP2005197576A (ja) 薄膜トランジスタの製造方法、電気光学装置及び電子機器
JP4337555B2 (ja) 半導体装置の製造方法
JP2005259818A (ja) 半導体膜の結晶化方法、薄膜トランジスタの製造方法、電気光学装置及び電子機器
JP2002289862A (ja) 半導体薄膜トランジスタの製造方法
JP2001053278A (ja) 薄膜トランジスタおよびこれを用いた表示装置の製造方法
JP2004349581A (ja) 薄膜トランジスタの製造方法、電気光学装置及び電子機器
JP2001223361A (ja) 電界効果トランジスタの製造方法
JP2004273629A (ja) 薄膜トランジスタの製造方法、電気光学装置および電子機器
JP2000260707A (ja) 多結晶シリコン膜の形成方法

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090811

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091009

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091110

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100106

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100202

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100215

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130305

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130305

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140305

Year of fee payment: 4

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term