JP3393469B2 - 薄膜半導体素子の製造方法及び薄膜半導体形成装置 - Google Patents

薄膜半導体素子の製造方法及び薄膜半導体形成装置

Info

Publication number
JP3393469B2
JP3393469B2 JP20197499A JP20197499A JP3393469B2 JP 3393469 B2 JP3393469 B2 JP 3393469B2 JP 20197499 A JP20197499 A JP 20197499A JP 20197499 A JP20197499 A JP 20197499A JP 3393469 B2 JP3393469 B2 JP 3393469B2
Authority
JP
Japan
Prior art keywords
thin film
substrate
processing chamber
gas
chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP20197499A
Other languages
English (en)
Other versions
JP2001036089A (ja
Inventor
浩 田邉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Corp
Original Assignee
NEC Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Corp filed Critical NEC Corp
Priority to JP20197499A priority Critical patent/JP3393469B2/ja
Priority to US09/615,058 priority patent/US6642091B1/en
Publication of JP2001036089A publication Critical patent/JP2001036089A/ja
Application granted granted Critical
Publication of JP3393469B2 publication Critical patent/JP3393469B2/ja
Priority to US10/636,571 priority patent/US6863733B1/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66757Lateral single gate single channel transistors with non-inverted structure, i.e. the channel layer is formed before the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02422Non-crystalline insulating materials, e.g. glass, polymers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02488Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02598Microstructure monocrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • H01L21/02686Pulsed laser beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02691Scanning of a beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/905Cleaning of reaction chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Mechanical Engineering (AREA)
  • Ceramic Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Optics & Photonics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Recrystallisation Techniques (AREA)
  • Formation Of Insulating Films (AREA)
  • Thin Film Transistor (AREA)

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は薄膜半導体素子の製
造方法及び薄膜半導体形成装置に係わり、特に結晶性シ
リコン薄膜トランジスタに用いるシリコン薄膜及び電界
効果型トランジスタに応用するための良質な半導体−絶
縁膜界面の形成方法及びその形成装置に関する。
【0002】
【従来の技術】短波長パルスレーザ光を照射し非晶質基
板上の非晶質シリコン薄膜を結晶化し、薄膜トランジス
タに応用する技術は特公平7−118443号公報に開
示されている。本手法によれば基板全体を高温にするこ
となく非晶質シリコンの結晶化が可能であるため、液晶
ディスプレイ等の大面積かつガラス等の安価な基板上へ
の半導体素子、半導体集積回路を作製できるという利点
がある。
【0003】一方、被レーザ照射材料であるa−Si薄
膜の形成工程、レーザ照射工程、プラズマ水素化工程、
ゲート絶縁膜の形成工程を順次あるいは順を変えて、大
気暴露することなく行う技術が、例えば特開平9−32
0961号公報に開示されている。すなわち同公報で
は、真空ロード室、Si形成室、レーザ照射室、絶縁膜
形成室、水素アニール室、基板アンロード室と、基板搬
送室からなる半導体薄膜形成装置が開示されている。ガ
ラス基板は真空ロード室から本装置内に導入され、基板
搬送室及び真空バルブを介しいずれの処理室への搬送も
可能になっている。それぞれの処理室及び基板搬送室は
独立した排気装置を有しており、シリコン成膜、絶縁膜
成膜、水素アニールそれぞれ独立した(もしくは共有さ
れた)ガス導入系から供給される反応性ガス、不活性ガ
ス等の個別排気が可能である。基板搬送時には基板送出
室の排気を十分行い、基板搬送室と同程度の圧力下で真
空バルブを解放しロボットにより基板を送出、真空バル
ブを閉じる。基板は予め基板搬送室と同程度の真空状態
にある基板導入室に、真空バルブの解放、レーザ照射室
への搬入、真空バルブの閉止の順で送られる。真空バル
ブが閉止された後は、必要なガスの導入、必要な圧力、
温度制御を行った後、レーザ照射がなされる。その他の
処理室間も同様の手順で、順次基板搬送が可能になる。
一度に動作可能なロボットの数に応じて複数の処理室間
を同時に、異なる基板を移動させることも可能である
が、各処理室の十分な排気が必要である。もちろん排気
後各処理室及び基板搬送室を不活性ガス雰囲気、窒素雰
囲気もしくは水素雰囲気等のほぼ等しい圧力状態にして
から、バルブの開閉、基板搬送を行っても差し支えな
い。真空ロード室、基板アンロード室における装置内と
大気雰囲気との基板の搬送は、真空バルブを閉じた状態
で窒素もしくは不活性ガスによるリークを行った後、大
気側バルブを解放し、搬出入が行われる。
【0004】このように大気暴露することなく一連の工
程を行うのは、レーザ結晶化によって形成されたシリコ
ン表面が非常に活性であるため大気中に曝すことにより
不純物が付着しやすくなり、結果として形成されるTF
Tの特性を劣化させる、あるいはその特性にばらつきを
生じさせるという一般的な考えによるものである。
【0005】
【発明が解決しようとする課題】そこで、エキシマレー
ザ結晶化技術と酸化シリコン膜形成技術を同一装置(大
気に曝さず別の装置に基板を搬送することを含む)で行
い、いったん大気に曝した場合との性能比較を行った。
その結果、大気に曝さない方法は、ゴミ、パーティク
ルの付着防止効果による製品の歩留まり率の向上には大
きな効果があったものの、この効果はクリーンルーム環
境のクリーン度を高めることである程度同等の効果が得
られることがわかった。歩留まり率の向上には、成膜装
置よりも同一装置内に基板の洗浄機構を組み込んだもの
が最も効果が大きい。
【0006】そして、シリコン膜中のトラップ準位密度
と、界面準位密度(あるいは固定酸化膜電荷密度)を単
純な数値比較をすると明らかにトラップ準位密度の値の
ほうが大きい。すなわち、同一装置内で大気に曝すこと
なくシリコン膜/ゲート絶縁膜を形成した試料におい
て、その清浄性の効果を得るためには、シリコン膜の性
能(トラップ準位密度)が不十分ではないかという問題
を有する。
【0007】この問題をさらに検討した結果、以下のよ
うな製造工程、製造装置上の課題も明らかになってき
た。
【0008】A:例えば特開平7−99321号公報に
に開示されているクラスターツール型の場合は、複数の
処理室がそれぞれ個別の目的を持って配置されているた
め、コアに位置する基板搬送室中の不純物管理が難し
い。処理室との基板受け渡しの際にわずかながらもクロ
スコンタミネーションが生じる。
【0009】B:例えば特開平5−182923に開示
号公報に開示されているインライン型の場合は、真空中
での駆動部品、摩擦面積等が大きく微小な発塵(特に金
属類)が避けられない。
【0010】C:レーザ結晶化されたシリコン表面が非
常に活性である。
【0011】D:例えばレーザ結晶化後ゲート絶縁膜形
成前に、シリコン表面の保護(パッシベーション)にエ
ネルギーを持った活性種(水素ラジカル、酸素ラジカ
ル、水素イオン、酸素イオン等のラジカル種、イオン種
等、またはオゾン)を用いると、処理室壁面等に付着し
ている不純物や、壁面を構成する金属励起し、結果的に
基板が配置された環境を汚染してしまう。
【0012】E:酸化雰囲気でのレーザ照射は、シリコ
ン中への酸素導入(酸化)過程でレーザの強度バラツキ
を大きく反映してしまい、シリコン膜中の酸素含有量が
ばらつき、結果として得られるシリコン膜特性が不均一
になる。
【0013】F:大気暴露をせずに連続して(例えばシ
リコン膜のレーザ結晶化後ゲート絶縁膜を形成するよう
な)複数のプロセスを行う場合、大気暴露防止により過
度の不純物の付着低減が図られているものの、上記列挙
した問題がなお目的とする半導体装置形成を妨げてしま
う。従来のLSI等半導体装置形成工程では、1000
℃程度の高温プロセスで、結晶シリコン膜中に界面が形
成される熱酸化法を用いており真空装置内であっても不
純物の管理が必要であることを示唆する。
【0014】G:一方、プラズマダメージを低減し良質
なゲート絶縁膜を形成する手段としてリモートプラズマ
CVD(化学的気相成長)法が提案されている。例え
ば、特開平5−21393号公報には、プラズマ発生室
と基板処理室を分離する構成が開示されている。このよ
うな構成をとることにより、上述のような低固定酸化膜
電荷密度(1011〜1012cm-2)や、低界面準位密度
(〜6×1010cm-2eV-2)が実現できると推察でき
るが、この効果は上述のように予め形成されるシリコン
膜の性能に制限されてしまうという問題があった。
【0015】H:さらに、処理基板表面への不純物の付
着を防止するために、一般に処理室内の真空度を高める
(圧力を低くする)ことが多い。特に大気圧よりも小さ
な成膜圧力条件を用いるプラズマCVDの場合、成膜時
を除き可能な範囲で到達真空度程度まで排気される。同
様の理由から枚様式プロセス装置の基板搬送室も可能な
範囲で到達真空度程度まで排気された状態を保つように
制御される。一方でエキシマレーザ照射装置も従来から
同様の思想を踏襲して真空中で行われることもあった。
ところが、前述のようにレーザ照射によりシリコン薄膜
中から脱離したシリコン成分が、真空装置内にレーザ光
を導入する窓に付着しレーザの透過率が処理時間につれ
て減少するという問題があった。
【0016】このような問題を解決する手段として、エ
キシマレーザ光をシリコンが付着した窓表面に集光照射
して、熱分解除去する技術が特開平9−139356号
公報に開示されている。ところがこのような方法をとっ
た場合、通常基板表面に集光されるビームを、光路長の
違う導入窓表面に集光するために光学素子(群)の位置
変更が必要になる。このような操作は焦点距離の小さい
光学系、特にマスク投影法を用いたような場合に精密な
位置調整が随時必要となるため、装置稼働率を低下させ
てしまうという問題があった。
【0017】したがって本発明の目的は、上記述べてき
た問題を克服すべく、トラップ準位密度の小さいシリコ
ン薄膜を光照射によって形成する技術を産業的に応用す
るために必要な、大面積基板上に再現性よくその技術を
実現可能な技術/装置を提供することである。
【0018】本発明の他の目的は、それらの良質なシリ
コン膜上に良質な界面・ゲート絶縁膜を形成する手段を
提供し、界面準位密度の小さい良好な半導体−絶縁膜界
面、すなわち優れた特性を有する電界効果型トランジス
タを製造する装置を提供することである。
【0019】本発明の別の目的は、安価なガラス等の使
用に耐えるために、プロセス温度600℃〜室温での形
成方法を提供し、また、装置稼働率を高く維持したま
ま、必要な装置保守の実施が可能なプロセス装置を提供
することである。
【0020】
【課題を解決するための手段】本発明の特徴は、基板上
に形成された半導体薄膜の少なくとも表面層を減圧下ま
たは不活性ガス雰囲気中で溶融再結晶化する工程と、上
記溶融再結晶化された前記半導体薄膜が形成された前記
基板を減圧下または不活性ガス雰囲気中に保持する工程
と、前記溶融再結晶化された上記半導体薄膜上に絶縁膜
を形成する工程とを順次連続的に行う薄膜半導体素子の
製造方法において、前記溶融再結晶化工程の後であって
絶縁膜形成工程の前に、酸素ガスを主成分とする雰囲気
中に前記基体が保持される工程を有する薄膜半導体素子
の製造方法にある。
【0021】活性なシリコン表面を大気中に放置すると
表面に自然酸化膜が形成されていることが知られてい
る。一般に空気中に浮遊する有機物や金属が一緒に自然
酸化膜中に取り込まれるため大気中で形成された自然酸
化膜は、本発明が目的とする良好・清浄な界面の形成に
は適していない。一方、従来のバイポーラトランジスタ
製造プロセスでは、シリコン結晶上のエピタキシャル成
長を行うような場合フッ酸を用いた自然酸化膜除去後、
アンモニア/過酸化水素/水や塩酸/過酸化水素/水の
加熱溶液を用いて(あるいはフッ酸での除去とを繰り返
して)、ケミカル酸化膜を表面に形成、エピタキシャル
成長炉内で水素ガス等を用い1000℃以上の加熱処理
を行い表面のケミカル酸化膜を除去し清浄なシリコン表
面を形成したのち、所望の膜の成長を行うといった方法
がとられている。
【0022】しかし例えば600℃〜室温程度の製造工
程を必要とする場合、上記のような従来技術の高温プロ
セスを用いることはできない。また、レーザ結晶化後の
シリコン薄膜表面はナノ秒オーダとはいえシリコンが溶
融する1000℃以上の熱履歴を経ており、非常に活性
な状態となっている。たとえ真空装置内においてもその
雰囲気の制御が十分でなければ容易に不純物が付着して
しまう。
【0023】これに対して本発明の薄膜半導体素子の製
造方法では、レーザ結晶化直後に高純度の酸素を真空装
置内に導入することによって表面に不純物濃度の低い自
然酸化膜を形成する。そうすることによって、レーザ照
射室、基板搬送室、成膜室等における不純物のシリコン
表面への付着を防ぐことができる。このときラジカル、
イオン等の活性な気体を用いると効率よく自然酸化膜の
形成や水素パッシベーション等が可能であるが、装置内
壁等に付着している不純物を巻き込むため好ましくな
い。
【0024】本発明の発明者は、表1に示す炭素化合物
濃度、純度の異なる3種の酸素ガスを用意して、シリコ
ンウエハー上にシリコン酸化膜を堆積しそのリーク電流
を実験測定した。
【0025】
【表1】
【0026】5MV/cmの電界をかけたときの電流密
度は、それぞれの原料ガスを用いたサンプルで、ガスA
>ガスB>ガスC、となった。
【0027】一般にシリコン酸化膜中に存在する炭素は
リーク電流の原因となるため、炭素濃度の低減が必要で
ある。またナトリウム、カリウム、リチウム等の金属
は、酸化膜中で可動イオンとして存在するため、しきい
値シフトの原因となる。したがってシリコン薄膜形成、
シリコン表面の酸化やシリコン酸化膜の堆積には高純度
ガス(酸素、N2 O、シラン、ジシラン等)が必要とさ
れている。さて、酸素ガスは深冷分離法により空気中か
ら精留分離するが、その際メタン等のハイドロカーボン
が沸点(酸素−183℃、メタン−162℃、窒素−1
96℃)の関係で全て酸素側に残留することが知られて
いる。その結果、空気中のハイドロカーボンが酸素中に
濃縮され残留する。このハイドロカーボンの除去には以
下のような精製方法が有効である。白金またはパラジウ
ムの多孔質触媒を高温にしてハイドロカーボンを酸素と
反応させ水と炭酸ガスを形成し吸着剤に吸着させる。こ
のような方法をとることによって、酸素中のハイドロカ
ーボンを0.1ppm以下、炭酸ガス、一酸化炭素それ
ぞれを0.1ppm以下にまで精製できる。したがっ
て、プロセス装置へのガス供給系の上流側に酸素精製装
置を配置することも有効である。また空気中からの精留
分離工程において、アルゴンや窒素の残留が知られてい
るが、高純度アルゴンや高純度窒素のppmオーダでの
存在は本願では重要な問題とはならない。例えば、9
9.9999%以上の純度を有する水素、窒素、または
アルゴン等の不活性ガスとの高純度酸素混合ガスを用い
ても同様である。
【0028】上記した実験を含めた種々の実験検討の結
果、保持される雰囲気は、酸素ガスを主成分とする雰囲
気が99.999%以上の純度の酸素ガスあるいは前記
酸素ガスと99.999%以上の純度を有する水素、窒
素、または不活性ガスとの混合ガスであることが好まし
いことを見出した。また、縁膜形成工程に用いられる原
料ガスの純度は99.999%以上であることが好まし
いことを見出した。さらに、これらの保持工程あるいは
絶縁膜形成工程において、使用されるガス中に含まれる
CnHm(ハイドロカーボン)種の濃度の総和が1pp
m以下であることが好ましいことを見出した。
【0029】本発明の他の特徴は、真空状態から加圧状
態までの圧力雰囲気を維持できる処理室であって、基板
上に形成された半導体薄膜の少なくとも表面層に導入窓
を通してエネルギービームを照射する手段を具備する第
1の処理室と、前記第1の処理室と同等の圧力雰囲気を
実現でき、かつ、前記半導体薄膜上に絶縁膜を形成する
ための第2の処理室と、前記基板を前記第1の処理室と
前記第2の処理室との間を搬入・搬出する手段と、前記
第1の処理室内に窒素あるいは不活性ガスを導入する手
段と、前記第1の処理室内を排気または加圧し所望の圧
力状態とする手段と、前記第1の処理室内に酸素ガスを
導入する手段とを有する薄膜半導体形成装置において、
前記第1の処理室と前記第2の処理室の圧力状態がほぼ
同等になるような調整と、前記第1の処理室への基板の
搬入、窒素あるいは不活性ガスの導入と圧力調整により
所望の圧力状態の保持と、前記半導体薄膜へのエネルギ
ービームの照射と、酸素ガスの導入と、前記第1の処理
室と前記第2の処理室の圧力状態が同等になるような調
整とをそれぞれを順次行うように制御された薄膜半導体
形成装置にある。ここで、酸素ガスの導入後、基板上の
エネルギービームの有効照射範囲を避けるようにエネル
ギービームを前記導入窓に照射するように制御されるこ
とができる。あるいはここで、前記導入窓はゲートバル
ブおよび酸化室を介して前記第1の処理室本体と離間し
ており、前記ゲートバルブを閉めることにより基板上の
エネルギービームの有効照射範囲を避けるようにエネル
ギービームを前記導入窓に照射するように制御されるこ
とができる。
【0030】大気圧付近の圧力範囲をそのプロセス条件
とするレーザ照射工程と数torr以下の真空状態をそ
のプロセス条件とするCVD工程、それらの工程間を大
気に曝すことなく搬送する搬送工程を連続的に行うため
には、少なくとも各工程間の受け渡し時に前後の処理室
における圧力差を小さくすることが望ましい。
【0031】さて、窒素(あるいは不活性ガス)雰囲気
中でのレーザ照射工程を完了後窒素を強制排気する前に
酸素をレーザ照射室に導入し活性なシリコン表面を酸化
する。このときレーザ導入窓に付着したシリコン成分も
活性な表面を有するため酸化される。この酸素が導入さ
れた状態で基板上の有効範囲への照射を避けるようにレ
ーザ光を導入する。特にレーザ光に紫外光を用いた場合
紫外光により酸素ガスが分解されると同時にレーザ導入
窓に付着酸素との反応で酸化されなかったシリコン成分
が加熱される。このとき分解された活性酸素と加熱され
たシリコン成分とが反応し酸化シリコンとなり、レーザ
光の透過率低下を防ぐことができる。なお、以上の工程
は、ガス封止状態あるいは圧力を維持したままガスが流
れる状態のいずれかでおこなう。
【0032】次にレーザ照射室から基板搬送室に基板を
搬送する。真空雰囲気で行う場合、後から説明する図1
(A)に示すように、酸素導入の停止、レーザ光導入の
停止とほぼ同じタイミング窒素(不活性ガスでもよい)
の供給を停止し、排気量を大きくする。真空状態を維持
している搬送室とほぼ同等の圧力条件であることを確認
した後、両処理室を分離するゲートバルブを開き基板を
搬送する。酸素雰囲気で行う場合、予め基板搬送室を高
純度の不活性ガスあるいは窒素、酸素、それらの混合気
体によりある圧力に調整する。また、後から説明する図
1(B)に示すように、タイミングでレーザ処理室内を
酸素が含まれる雰囲気とし、その圧力を基板搬送室のそ
れと同等になるように調整する。その後、両処理室を分
離するゲートバルブを開き基板を搬送する。
【0033】このようにすることによって、基板表面の
シリコン膜上への金属、炭素等の不純物付着を防ぐと同
時に、レーザ導入窓の透過率低下を防止できる。
【0034】
【発明の実施の形態】次ぎに図面を参照して、前述した
本発明を実施する製造方法及び形成装置の実施の形態に
ついて説明する。
【0035】A:酸素導入形態について。図1は本願発
明の実施の形態の製造方法を示すタイミングチャートで
あり、図2及び図3はそのフローチャートである。
【0036】図1(A)、図2に示すように十分真空排
気されたレーザ処理室に基板を導入する。ゲートバルブ
を閉じ基板搬送室(あるいはロードロック室、シリコン
薄膜形成室等の他の処理室)とのガスの流通を止めた
後、窒素(あるいはアルゴン等不活性ガス、水素、また
はその混合ガス)を導入する。このとき排気を停止せず
に一定の窒素圧力に制御することが望ましいが、排気の
停止やガス導入後ある圧力になった時点でガス導入バル
ブを閉じることも可能である(図示せず)。
【0037】この基板を配置したステージを所定の位置
に移動し、また必要におうじて圧力や基板加熱ヒータの
温度が所定の状態になるまで待った後(図示せず)、基
板へのレーザ照射を開始する。基板ステージあるいは照
射ビームを移動させることにより所望の領域をレーザ照
射(再結晶化)した後、酸素を導入する。さらに基板ス
テージの移動や真空装置内に配置された光シャッタ機構
などを利用して、処理基板上の有効領域へのレーザ照射
を防ぎながら、レーザ導入窓にレーザ光を照射する。導
入窓照射、酸素供給、窒素供給を同時に、あるいは順次
停止し、排気量を増加させる。
【0038】レーザ照射室の圧力が所定の範囲になるま
で排気したのち、基板搬送室につながるゲートバルブを
開き基板を排出する。
【0039】次に以下のような形態も実施した。図1
(B)、図3に示すように十分真空排気されたレーザ処
理室に基板を導入する。ゲートバルブを閉じ基板搬送室
(あるいはロードロック室、シリコン薄膜形成室等の他
の処理室)とのガスの流通を止めた後、窒素(あるいは
アルゴン等不活性ガス、水素、またはその混合ガス)を
導入する。基板を配置したステージを所定の位置に移動
し、また必要におうじて圧力や基板加熱ヒータの温度が
所定の状態になるまで待った後(図示せず)、基板への
レーザ照射を開始する。
【0040】基板ステージあるいは照射ビームを移動さ
せることにより所望の領域をレーザ照射(再結晶化)し
た後、窒素の供給を停止し、酸素を導入する。予め基板
搬送室を酸素雰囲気で所定の圧力に制御しておき、レー
ザ照射室の圧力が所定の圧力に到達した時点で、ゲート
バルブを開き基板を排出する。基板を搬送し終えたあと
でレーザ導入窓にレーザ光を照射する。導入窓照射、酸
素供給、窒素供給を同時に、あるいは順次停止し、排気
量を増加させる。
【0041】上記のような形態においては導入される酸
素や混合ガスを構成する不活性ガス、窒素、水素等の単
独での純度が99.9999%となるように、ガス純化
装置やガスシリンダで供給される高純度ガスを用いた。
【0042】B:ELA−搬送室一CVD複合につい
て。図4は、本発明の第1の実施の形態の半導体薄膜形
成装置を示す側面図である。
【0043】プラズマCVD室C2、レーザ照射室C
5、基板搬送室C7から構成され、ゲートバルブCV
2,CV5を介して基板の搬送が装置外部の雰囲気に触
れることなく真空中、不活性ガス、窒素、水素、酸素等
の雰囲気かつ高真空、減圧、加圧状態で可能である。
【0044】レーザ照射室においては400℃程度まで
加熱可能な基板ステージS5上にチャック機構を用いて
基板が設置される。プラズマCVD室では、400℃程
度まで加熱可能な基板ホルダーS2上に基板が設置され
る。この例ではSub0ガラス基板上にシリコン薄膜S
i1が形成された状態でレーザ照射室に導入され、表面
のシリコン薄膜がレーザ照射により結晶性シリコン薄膜
Si2に改質され、プラズマCVD室に搬送された状態
を示している。
【0045】レーザ照射室に導入されるレーザ光は、第
1のエキシマレーザEL1、第2のエキシマレーザEL
2から供給されるビームが第1のビームラインL1、第
2のビームラインL2を通り、レーザ合成光学装置op
t1、ミラーopt11、透過ミラーopt12、レー
ザ照射光学装置opt2、ホモジナイザopt20、光
学マスクステージopt22に固定された光学マスクo
pt21、投影光学装置opt23、レーザ導入窓W1
を介して基板表面に到達する。
【0046】ここでは2台のエキシマレーザを図示した
が、光源としては1台以上所望の台数を設置することも
できる。またエキシマレーザに限らず、炭酸ガスレー
ザ、YAGレーザ等のパルスレーザや、アルゴンレーザ
等のCW光源と高速シャッタを用いてパルス上に供給し
てもよい。
【0047】一方プラズマCVD室はRF電極D1とプ
ラズマ閉じこめ電極D3によりプラズマ形成領域D2が
基板が配置される領域とは離れた位置に形成される。
【0048】プラズマ形成領域には例えば酸素とヘリウ
ムを、原料ガス導入装置D4を用いてシランガスを供給
することにより、基板上に酸化シリコン膜を形成するこ
とができる。
【0049】同様に図5は、本発明の第2の実施の形態
の半導体薄膜形成装置を示すの側面図である。図4の形
態に加えてゲートバルブGV5’、酸化室C5’を備え
る。ゲートバルブGV5’はレーザ導入窓を基板が配置
される処理室とから隔離し、処理室には独立して酸素ガ
ス等の導入が可能となっている。したがって酸化室C
5’内でレーザ照射を行うことにより、処理基板へのレ
ーザ光の影響なくレーザ導入窓へのレーザ照射が可能と
なる。上記ゲートバルブが処理基板に対して処理室内に
配置されたシャッタとして働くため、処理室外への搬送
待ち時間などを利用して、レーザ導入窓へのレーザ照
射、及び窓表面に付着したシリコン成分を効率よく酸化
することができる。またこのとき、レーザ照射室に導入
されるレーザ光は、第1のエキシマレーザEL1、第2
のエキシマレーザEL2から供給されるビームの一方あ
るいは両方を用いることも可能である。光学マスクステ
ージopt22に固定された光学マスクopt21、投
影光学装置opt23の一部あるいは全てを光路上から
退避させ、高価な光学素子の寿命を延ばすことや、レー
ザ導入窓W1への均一な強度分布を有するビームの照射
等も可能になる。図6は本発明の実施の形態の半導体薄
膜形成装置を示す平面図である。ロード/アンロード室
C1、プラズマCVD室C2、基板加熱室C3、水素プ
ラズマ処理室C4、レーザ照射室C5、基板搬送室C7
がそれぞれゲートバルブGV1〜GV6を介して接続さ
れている。第1のビームラインL1、第2のビームライ
ンL2から供給されるレーザ光がレーザ合成光学装置o
pt1、レーザ照射光学装置opt2、レーザ導入窓W
2を介して基板表面に照射される。また、それぞれのプ
ロセス室、搬送室はガス導入装置gas1〜gas7、
排気装置vent1〜vent7が接続されており、所
望のガス種の供給、プロセス圧の設定、排気、真空が調
整される。図に点線で示すように処理基板sub2,s
ub6が平面上に配置される。
【0050】C:ELA部について。図7は本発明の実
施の形態のレーザ照射室の例を示す図である。第1のエ
キシマレーザEL1及び第2のエキシマレーザEL2か
ら供給されるパルスUV光は、ミラー類opt3、op
t3’、レンズ類opt4を介してホモジナイザopt
20’に導かれる。レーザ光源として2台のエキシマレ
ーザを図示したが一台あるいは3代以上の複数台を備え
ることも可能である。ここでビームの強度プロファイル
が光学マスクopt21で所望の均一度、例えば面内分
布±5%、になるように整形する。(エキシマレーザか
ら供給されるオリジナルなビームはその強度プロファイ
ルや総エネルギー量が、パルス間毎に変化する場合があ
るため、光学マスク上での強度が、空間的分布、パルス
間ばらつきについて、より均一化されるための機構が設
けられることが望ましい。ホモジナイザとしては、フラ
イアイレンズやシリンドリカルレンズを用いたものが一
般的に用いられる。)上記光学マスクによって形成され
た光パターンは縮小投影露光装置opt23’、レーザ
導入窓W0を介して、真空チャンバC0内に設置された
基板Sub0に照射される。
【0051】上記基板は、基板ステージS0上に載置さ
れており、基板ステージの動作によって所望の領域、例
えばパターン転写領域ex0、に光パターンを露光する
ことができる。図7では縮小投影光学系を示したが、場
合によっては等倍、拡大投影を行ってもかまわない。基
板ステージの移動(図内X−Y)によって基板上の任意
の領域に照射が行われる。また、上記光学マスクはマス
クステージ(図示せず)上に設置され、露光可能領域内
であれば、上記光学マスクを移動して基板上に照射され
るビームを操作することも可能である。
【0052】次に所望の光パターンを所望の条件で基板
上に照射するために必要な機構について例示する。光軸
の調整には微妙な調整が必要となるため、いったん調整
を終えた光軸を固定して基板の位置を調整する方法を示
す。光軸に対する基板照射面の位置は、焦点(Z)方向
位置及び光軸に対する垂直度を補正する必要がある。し
たがって、図中、傾き補正方向θxy、傾き補正方向θ
xz、傾き補正方向θxz、露光領域移動方向X、露光
領域移動方向Y、焦点合わせ方向Zで示すうち、傾き補
正方向θxy、傾き補正方向θxz、傾き補正方向θx
zの調整により光軸に対する垂直度を補正する。また、
Z焦点合わせ方向を調整することにより光学系の焦点深
度にあった位置に基板照射面を配置制御する。
【0053】図8は上記の調整や基板のアライメント機
構を例示した側面図である。露光軸L0に対し、光学マ
スクopt21、縮小投影露光装置opt23’、レー
ザ導入窓W0が図のように配置される。
【0054】真空チャンバC0内に配置された基板Su
b0は、基板吸着機構付きヒータH0、基板XYZθx
yθxzθxzステージS0’ステージ上に配置され
る。真空チャンバを用いているが実際の光照射は真空排
気後置換された不活性ガス、水素、酸素、窒素等の雰囲
気中で行われることが望ましく、雰囲気圧も大気圧前後
の圧力であってもよい。基板吸着機構付きヒータを用い
ることによって光照射時に、室温〜400℃程度の基板
加熱条件を選ぶことができる。上記のように雰囲気圧を
大気圧力程度にすることによって、真空チャック機能に
よる基板の吸着ができるため、チャンバ内での基板ステ
ージの移動等があってもずれを防止でき、投入された基
板に多少のそり、たわみがあっても基板ステージに固定
することができる。さらに加熱による基板のそり、たわ
みによる焦点深度ずれを最小限に抑えることができる。
【0055】レーザ干渉計i1,i2は、測長用窓W−
i、測長用ミラーopt−iを介して基板のアライメン
ト及び基板のZ方向位置の測定を行う。アライメントに
は、基板上のアライメントマークをオフアクシス顕微鏡
m0、顕微鏡用光源Lm、顕微鏡用素子opt−mを用
いて計測し、レーザ干渉系による基板位置情報を用いて
所望の露光位置を計測できる。図8ではオフアクシス法
を例示したが、Through The Lens方式
やThrough The Mask(Reticl
e)方式を応用することも可能である。また、複数の計
測地点から線形座標を最小2乗法を用いて決定すること
により、計測時に生じる測定誤差を平均化する手段をと
ることもできる。
【0056】図9(A)〜(C)にマスクパターンとアライメ
ントマークの関係について示した。マスクはマスク(非
露光部)mask1とマスク(露光部)mask2とか
ら構成される。例えばエキシマレーザを光源にする場
合、紫外光が透過する石英基板上にアルミニウム、クロ
ム、タングステンなどの金属や、誘電体多層膜といった
紫外光を吸収、反射する膜を形成し、フォトリソグラフ
ィとエッチング技術を用いてパターンを形成する。マス
ク上の所望のパターン(図9(A)において白色部で示
される)に応じて、シリコン膜が露光され図9(B)に
示されるように非露光Si部Si1内に露光Si部Si
2が形成される。このとき、必要に応じてマスク上マー
クmark1が基板上マークmark2に一致するよう
にアライメント調整後露光することによって、シリコン
薄膜上の予め設計された位置を露光することが可能とな
る。
【0057】また、上記シリコン薄膜を用いた薄膜トラ
ンジスタ形成工程において、露光プロセスが位置決めを
必要とする第1工程の場合(すなわちアライメントマー
クが予め形成されていない場合)、シリコン薄膜への露
光工程時に露光形成マークmark3を同時に露光する
ことによって、a−Siと結晶Siとの光学的色差を利
用したアライメントマークが形成できる。したがってこ
のマークを基準に後工程におけるフォトリソグラフィ等
を行うことによって、露光改質された所望の領域に、ト
ランジスタや所望の機構、機能を作り込むことができ
る。露光工程後シリコン薄膜上にSi酸化膜を形成し、シ
リコン層の所望の領域がエッチング除去された状態を図
9(C)に示す。
【0058】Si除去部Si3は積層されたシリコン膜
とSi酸化膜がエッチング除去された領域であり、Si1
非露光Si部Si1と露光Si部Si2上にSi酸化膜
Si4,Si5が積層された形状が示されている。この
ように酸化膜で覆われたシリコン膜からなる島状構造を
作り込むことによって素子間分離された薄膜トランジス
タのチャネル/ソース・ドレイン領域や後工程のアライ
メントに必要なマークを形成することができる。
【0059】図10(A)及び(B)に主要動作のタイ
ミングチャートの制御例(1)及び制御例(2)を示
す。
【0060】制御例(1)では、基板ステージの動作に
より所望の露光位置に基板を移動させる。次に焦点合わ
せやアライメント動作を行い精密に露光位置を調整す
る。このとき、例えば0.1μm〜100μm程度とい
った、所望の設定誤差精度にはいるように調整する。そ
の動作が完了した時点で、基板への光照射が実行され
る。これらの一連の動作を終了した時点で次の露光領域
へ基板が移動し、基板上の必要な箇所を照射終了した
後、基板が交換され第2の処理基板上で所定の一連の処
理を行う。制御例(2)では、基板ステージをの動作に
より所望の露光位置に基板を移動させる。次に焦点合わ
せやアライメント動作を行い精密に露光位置を調整す
る。このとき、例えば0.1μm〜100μm程度とい
った、所望の設定誤差精度にはいるように調整する。そ
の動作が完了した時点で、マスクステージの動作を始動
する。始動時の移動ステップ量のばらつきを避けるため
に、基板への光照射はマスクステージ動作の開始よりも
あとから開始されるチャートである。もちろんステージ
の移動によりアライメント位置から離れた地点に露光さ
れるため、その分のオフセット量は予め考慮する必要が
あることはいうまでもない。基板への光照射よりも早く
光源の運転を開始し、光源の出力強度の安定性が高まっ
た時点で、シャッタ等を開き基板への光照射を行うこと
も可能である。特にエキシマレーザを光源に用い、発振
期間と停止期間とが繰り返されるような使用法をとった
場合、初期の数10パルスが特に不安定なことが知られ
ており、これらの不安定なレーザパルスを照射したくな
い場合には、マスクステージの動作に合わせてビームを
遮断する方式をとることができる。これらの一連の動作
を終了した時点で次の露光領域へ基板が移動し、基板上
の必要な箇所を照射終了した後、基板が交換され第2の
処理基板上で所定の一連の処理を行う。
【0061】膜厚75nmのa−Si薄膜に対して1m
m×50μmのビームを短軸方向に0.5μmピッチで
走査した。一つの光源を用いてレーザ照射強度は照射面
で470mJ/cm2 としたところ、走査方向に連続す
る単結晶シリコン薄膜が得られた。さらに、第2光源を
照射面で150mJ/cm2 となるように、100ns
ec遅延させて照射した条件では1.0μmの走査ピッ
チ条件でも走査方向に連続する単結晶シリコン薄膜が得
られた。上記結晶化シリコン膜中のトラップ準位密度は
1012cm-2より低い値を示した。
【0062】C:CVD部について。図11はプラズマ
CVD室C2を示す概略図である。高周波電源RF1
(13.56MHzあるいはそれ以上の高周波が適す
る)から電力が高周波電極RF2に供給される。ガス供
給穴付き電極RF3と高周波電極の間にプラズマが形成
され、反応形成されたラジカルがガス供給穴付き電極を
通り基板が配置された領域に導かれる。平面型ガス導入
装置RF4によりプラズマに曝すことなく別のガスが導
入され、気相反応を経て基板Sub2上に薄膜が形成さ
れる。基板ホルダーS2はヒータ等により室温から50
0℃程度までの加熱行うように設計した。図のように、
排気装置ven2、ガス導入装置gas2、酸素ライン
gas21、ヘリウムラインgas22、水素ラインg
as23、シランラインgas24、ヘリウムラインg
as25、アルゴンラインgas26を用いて酸素ラジ
カルとシランガスを反応させることによって酸化シリコ
ン膜を形成できる。
【0063】基板温度300℃、圧力0.1torr、
RF電力100W、シラン流量10sccm、酸素流量
400sccm、ヘリウム流量400sccmの条件で
膜形成を行ったところ、固定酸化膜電荷密度(5×10
11cm-2)と良好な特性を有するシリコン酸化膜の形成
を確認している。また、シランに対する酸素流量比を大
きくすることでより良好な酸化膜の形成が可能である。
プラズマCVD室の形態としては上述のような平行平板
型のRFプラズマCVD装置ばかりでなく、減圧CVD
や常圧CVDといったプラズマを利用しない方法や、マ
イクロ波やECR(Electron Cycrotr
on Resonance)効果を用いたプラズマCV
D法を用いることも可能である。
【0064】表2は図11に示すプラズマCVD装置を
酸化シリコン膜以外の薄膜形成に用いる場合に必要なガ
ス種の例を示している。Si34 窒化シリコン膜の形
成にはN2 (窒素)、(あるいはアンモニア)、キャリ
アガスとしてAr(アルゴン)、SiH4 (シラン)、
キャリアガスとしてアルゴン等を用いることができる。
シリコン薄膜の形成にはH2 水素とシラン、水素(キャ
リアガスとしてアルゴン)とSiH4 4フッ化シラン
(キャリアガスとしてアルゴン)等の原料ガスを用いる
ことができる。また、成膜プロセスではないが、水素プ
ラズマを利用してシリコン薄膜や酸化シリコン膜の水素
プラズマ処理も可能である。
【0065】これらのガスはガスシリンダーや純化装置
を用いて表3に示すような純度のガスを使用した。
【0066】
【表2】
【0067】
【表3】
【0068】図12は本発明の半導体薄膜形成装置を薄
膜トランジスタの製造工程に応用した場合の工程フロー
図である。
【0069】(a):洗浄によって有機物や金属、微粒
子等を除去したSub0ガラス基板上に基板カバー膜T
1、シリコン薄膜T2を順次形成する。基板カバー膜と
してLPCVD(減圧化学的気相成長)法でシランと酸
素ガスを原料とし、450℃で酸化シリコン膜を1μm
形成する。LPCVD法を用いることにより基板保持領
域を除き基板外表面全体をカバーすることも可能である
(図示せず)。あるいはTEOS テトラエトキシシラ
ンと酸素を原料としたプラズマCVD、TEOSとオゾ
ンを原料とした常圧CVD、図11に示すようなシラン
と酸素を原料としたプラズマCVD等を利用することも
可能であり、基板材料(アルカリ金属濃度を極力低減し
たガラス、表面を研磨加工した石英・ガラス等)が含む
半導体デバイスに有害な不純物の拡散防止ができる材料
が基板カバー膜として有効である。シリコン薄膜はLP
CVDでジシランガスを原料として500℃(あるいは
モノシランを原料に550℃〜600℃、トリシラン等
の高次シランを用いれば500℃以下)で厚さ75nm
形成する。成膜温度を400℃以上とすることで、膜中
に含まれる水素原子濃度が1原子%以下となるため、レ
ーザ照射工程での水素放出による膜荒れ等を防ぐことが
できる。あるいは図11に示すようなプラズマCVD法
や広く普及しているプラズマCVD法を用いても、基板
温度や水素/シラン流量比、水素/4フッ化シラン流量
比等を調整することによって水素原子濃度が低いシリコ
ン薄膜を形成できる。
【0070】(b):上記(a)工程で準備した基板
を、有機物や金属、微粒子、表面酸化膜等を除去するた
めの洗浄工程を経た後、本発明の薄膜形成装置に導入す
る。レーザ光L0としてエキシマレーザ(波長308n
m)XeClを照射し、シリコン薄膜をT2’結晶化シ
リコン薄膜に改質する。レーザ結晶化は99.9999
%以上の高純度窒素(あるいは高純度不活性ガス)70
0torr以上の雰囲気で行われ、レーザ照射が完了
後、純度99.999%以上の酸素ガスを導入する。こ
こで利用される高純度窒素はシリコン薄膜中への不純物
混入を防ぐと共に、レーザ導入窓付近でのハイドロカー
ボン等の不純物成分の紫外光との反応、窓への焼き付き
を防ぐために用いられる。また、圧力を700torr
以上とすることで、レーザ照射によって気化したシリコ
ン成分がレーザ導入窓に到達、窓に付着することを防ぐ
ことができる。
【0071】(c):上記工程を経た基板は、ガスが排
気された後基板搬送室を介してプラズマCVD室に搬送
される。第1のゲート絶縁膜T3として、シラン、ヘリ
ウム、酸素を原料ガスとして基板温度350度で酸化シ
リコン膜を10nm堆積する。このあと必要に応じて水
素プラズマ処理や加熱アニールを行う。ここまでが本発
明の薄膜形成装置において処理される。
【0072】(d):次に、フォトリソグラフィとエッ
チング技術を用いてシリコン薄膜と酸化シリコン膜積層
膜のアイランドを形成する。このとき、シリコン薄膜に
比べ酸化シリコン膜のエッチングレートが高いエッチン
グ条件を選択することがこのましい。図に示すようにパ
ターン断面が階段状(あるいはテーパ状)に形成するこ
とによって、ゲートリークを防ぎ信頼性の高い薄膜トラ
ンジスタを提供できる。
【0073】(e):次に、有機物や金属、微粒子等を
除去するための洗浄を行った後、上記アイランドを被覆
するように第2のゲート絶縁膜T4を形成する。ここで
は、LPCVD法でシランと酸素ガスを原料とし、45
0℃で酸化シリコン膜を30nm形成した。あるいはT
EOSテトラエトキシシランと酸素を原料としたプラズ
マCVD、TEOSとオゾンを原料とした常圧CVD、
図11に示すようなプラズマCVD等を利用することも
可能である。次にゲート電極としてn+ シリコン膜を8
0nm、タングステンシリサイド膜を110nm形成す
る。n+ シリコン膜はプラズマCVDやLPCVD法で
形成された結晶性のリンドープシリコン膜が望ましい。
その後、フォトリソグラフィとエッチング工程を経て、
パターン化されたゲート電極T5を形成する。
【0074】(f1,f2):次に、ゲートをマスクと
して不純物注入領域T6,T6’を形成する。CMOS
型回路を形成する場合は、フォトリソグラフィを併用し
てn + 領域が必要なn−channel TFT及びp
+ 領域を要するp−channel TFTを作り分け
る。注入される不純物イオンの質量分離を行わないイオ
ンドーピングや、イオン注入、プラズマドーピング、レ
ーザドーピング等の方法を採ることができる。そのとき
用途や不純物導入方法によって図(f1,f2)のよう
に表面の酸化シリコン膜を残したまま、あるいは除去し
た後に不純物の導入を行う。
【0075】(g1,g2):層間分離絶縁膜T7,T
7’を堆積、コンタクトホールを開口後、金属を堆積、
フォトリソグラフィとエッチングにより金属配線T8を
形成する。層間分離絶縁膜としては、膜の平坦化が図れ
るTEOS系酸化膜やシリカ系塗布膜、有機塗布膜を用
いることができる。コンタクトホール開口はフォトリソ
グラフィとエッチングにより、金属配線は抵抗の低いア
ルミニウム、銅あるいはそれらをベースとした合金、タ
ングステンやモリブデンといった高融点金属が応用でき
る。以上のような工程を行うことによって、性能、信頼
性の高い薄膜トランジスタを形成することができる。
【0076】図13は予めアライメントマークを設け、
アライメントマークに応じたレーザ照射を行った場合の
実施例、図14はレーザ照射と同時にアライメントマー
クを形成する場合の実施例について、TFT製造工程フ
ローをもとに説明する。基本的には図12の説明と類似
しているため、特に異なる点を中心に説明する。
【0077】図13(a):洗浄によって有機物や金
属、微粒子等を除去したガラス基板Sub0上に基板カ
バー膜T1、タングステンシリサイド膜を順次形成す
る。アライメントマークの形成のために、フォトリソグ
ラフィとエッチングによりパターン化しアライメントマ
ークT9を基板上に形成する。次にアライメントマーク
を保護するためにマーク保護膜T0を形成し、シリコン
薄膜を形成する。
【0078】図13(b):レーザ光露光時にはアライ
メントマークを基準に所望の領域が露光される。その後
は、予め設けられたアライメントマークや、結晶化シリ
コン薄膜パターニングによって形成されるアライメント
マーク(図示せず)を基準に、次工程のアライメントを
行うことができる。
【0079】図14(b):シリコン薄膜への露光と同
時に露光/非露光による改質の相違を利用した結晶化ア
ライメントマークT9’をシリコン薄膜に形成する。
【0080】図14(d):結晶化アライメントマーク
T9’を利用して、フォトリソグラフィ時の目合わせを
行い、エッチング工程を経てシリコン薄膜と酸化シリコ
ン膜積層膜のアイランドを形成する。
【0081】
【発明の効果】以上説明したように本発明によれば、レ
ーザ結晶化直後に高純度の酸素ガスを真空装置内に導入
することによって表面に不純物濃度の低い自然酸化膜を
形成し、レーザ照射室、基板搬送室、成膜室等における
不純物のシリコン表面(レーザ結晶化後のシリコン薄膜
表面は非常に活性な状態となっている。たとえ真空装置
内においてもその雰囲気の制御が十分でなければ容易に
不純物が付着してしまう)への付着を防ぐことができ
た。このときラジカル、イオン等の活性な気体を用いる
場合に比べ、単プロセスでの効率の点では劣るが、装置
内壁等に付着している不純物の取り込みを低減できると
いう効果がある。その結果、装置内のクリーニングやメ
ンテナンスによる装置稼働率低下を抑制し、総合的な製
造効率を高めることに成功した。
【0082】シリコン酸化膜、シリコン酸化膜界面中に
存在する炭素を低減することができたため、本装置、本
製造方法を用いることでリーク電流の小さい薄膜トラン
ジスタの製造が可能になった。
【0083】レーザ光により酸素ガスが分解されると同
時にレーザ導入窓に付着酸素との反応で酸化されなかっ
たシリコン成分を加熱することができるため、このとき
分解された活性酸素と加熱されたシリコン成分とが反応
し酸化シリコンとなり、レーザ光の透過率低下を防しで
きた。
【0084】基板表面のシリコン膜上への金属、炭素等
の不純物付着を防ぐと同時に、レーザ導入窓の透過率低
下を防止することによって、大面積基板上に形成された
良質なシリコン膜上に再現性よく良質な界面・ゲート絶
縁膜を形成する手段を提供し、界面準位密度の小さい良
好な半導体−絶縁膜界面、すなわち優れた特性を有する
電界効果型トランジスタを製造する装置を提供すること
ができた。これらのプロセスは、安価なガラス等の使用
を可能にし、プロセス温度600℃〜室温での従来のI
Cと同等の半導体装置を実現できる。また、装置稼働率
を高く維持したまま、必要な装置保守の実施が可能なプ
ロセス装置を提供した。
【0085】そしてこのような本発明により、(1):
薬液による洗浄工程削減が可能な安定性の高い半導体薄
膜プロセス装置の提供することができる。(2):同一
装置において多工程を処理可能な多機能型装置を提供す
ることによって、トータルの工場設置面積を小さくで
き、省スペース半導体プロセス装置の提供することがで
きる。(3):シリコン清浄表面(界面)を薬液を用い
ることなく維持可能な低コスト、高性能薄膜トランジス
タの製造方法の提供等、高性能、多機能半導体形成装置
の提供、低コスト、高再現性薄膜トランジスタ製造プロ
セスの提供、及び高性能薄膜トランジスタの提供をそれ
ぞれ可能にした。
【図面の簡単な説明】
【図1】本発明の実施の形態の基板処理のタイミングチ
ャートである。
【図2】本発明の実施の形態の基板処理のフローチャー
トである。
【図3】本発明の実施の形態の基板処理のフローチャー
トである。
【図4】本発明の実施の形態の露光装置、搬送室、プラ
ズマCVD室を示すの側面断面図である。
【図5】本発明の実施の形態の露光装置、搬送室、プラ
ズマCVD室を示すの側面断面図である。
【図6】本発明の実施の形態の露光装置、搬送室、プラ
ズマCVD室等複合装置を示すの平面図である。
【図7】本発明の実施の形態の露光装置の全体を示す図
である。
【図8】本発明の実施の形態の露光装置のアライメント
機構を示す図である。
【図9】本発明の実施の形態の露光装置におけるマスク
投影法を示す図である。
【図10】本発明の実施の形態のステージ動作のタイミ
ングチャートである。
【図11】本発明の実施の形態のプラズマCVD室を示
す側面断面図である。
【図12】本発明の実施の形態のTFT製造プロセスを
工程順に示す断面図である。
【図13】本発明の実施の形態のアライメントマークを
用いたTFT製造プロセスを工程順に示す断面図であ
る。
【図14】本発明の実施の形態のアライメントマーク形
成を含むTFT製造プロセスを工程順に示す断面図であ
る。
【符号の説明】 C0 真空チャンバ C1 ロード/アンロード室 C2 プラズマCVD室 C3 基板加熱室 C4 水素プラズマ処理室 C5 レーザ照射室 C5’ 酸化室 C7 基板搬送室 GV1〜GV6,GV5’ ゲートバルブ S2 基板ホルダー S0,S5 基板ステージ Sub0 ガラス基板 Sub2 基板 Si1 シリコン薄膜 Si2 結晶性シリコン薄膜 EL1 第1のエキシマレーザ EL2 第2のエキシマレーザ L0 露光軸 L1 第1のビームライン L2 第2のビームライン W0, W1 レーザ導入窓 D1 RF電極 D2 プラズマ形成領域 D3 プラズマ閉じこめ電極 D4 原料ガス導入装置 opt1 レーザ合成光学装置 opt2 レーザ照射光学装置 opt3,opt3’ ミラー類 opt4 レンズ類 opt11 ミラー opt12 透過ミラー opt20,opt20’ ホモジナイザ opt21 光学マスク opt22 光学マスクステージ opt23,opt23’ 投影光学装置,縮小投影
露光装置 gas1〜gas7 ガス導入装置 vent1〜vent7,ven2 排気装置 sub2,sub6 処理基板 ex0 パターン転写領域 θxy,θxz,θyz 傾き補正方向 Y,X 露光領域移動方向 Z 焦点合わせ方向 H0 基板吸着機構付きヒータ S0’ 基板XYθxyθxzθyzステージ i1,i2 レーザ干渉計 W−i 測長用ミラー m0 オフアクシス顕微鏡 Lm 顕微鏡用光源 opt−m 顕微鏡用素子 mask1 マスク(非露光部) mask2 マスク(露光部) mark1 マスク上マーク mark2 基板上マーク mark3 露光形成マーク Si1 非露光Si部 Si2 露光Si部 Si3 Si除去部 Si4,Si5 Si酸化膜 RF1 高周波電源 RF2 高周波電極 RF3 ガス供給穴付き電極 RF4 平面型ガス導入装置 gas2 ガス導入装置 gas21 酸素ライン gas22 ヘリウムライン gas23 水素ライン gas24 シランライン gas25 ヘリウムライン gas26 アルゴンライン L0 レーザ光 T1 基板カバー膜 T2 シリコン薄膜 T2’ 結晶化シリコン薄膜 T3 第1のゲート絶縁膜 T4 第2のゲート絶縁膜 T5 パターン化されたゲート電極 T6,T6’ 不純物注入領域 T7,T7’ 層間分離絶縁膜 T8 金属配線 T9 アライメントマーク T9’ 結晶化アライメントマーク T10 マーク保護膜
───────────────────────────────────────────────────── フロントページの続き (58)調査した分野(Int.Cl.7,DB名) H01L 29/786 H01L 21/336 H01L 21/20 H01L 21/316

Claims (8)

    (57)【特許請求の範囲】
  1. 【請求項1】 基板上に形成された半導体薄膜の少なく
    とも表面層を減圧下または不活性ガス雰囲気中で溶融再
    結晶化する工程と、上記溶融再結晶化された前記半導体
    薄膜が形成された前記基板を減圧下または不活性ガス雰
    囲気中に保持する工程と、前記溶融再結晶化された上記
    半導体薄膜上に絶縁膜を形成する工程とを順次連続的に
    行う薄膜半導体素子の製造方法において、 前記溶融再結晶化工程の後であって絶縁膜形成工程の前
    に、酸素ガスを主成分とする雰囲気中に前記基体が保持
    される工程を有することを特徴とする薄膜半導体素子の
    製造方法。
  2. 【請求項2】 前記請求項1に記載の薄膜半導体素子の
    製造方法において、酸素ガスを主成分とする雰囲気が9
    9.999%以上の純度の酸素ガスあるいは99.99
    9%以上の純度の酸素ガスと99.999%以上の純度
    を有する水素、窒素、または不活性ガスとの混合ガスで
    あることを特徴とする薄膜半導体素子の製造方法。
  3. 【請求項3】 前記請求項1に記載の薄膜半導体素子の
    製造方法において、絶縁膜形成工程に用いられる原料ガ
    スの純度が99.999%以上であることを特徴とする
    薄膜半導体素子の製造方法。
  4. 【請求項4】 前記請求項2または前記請求項3に記載
    の薄膜半導体素子の製造方法において、使用されるガス
    中に含まれるCnHm(ハイドロカーボン)種の濃度の
    総和が1ppm以下であることを特徴とする薄膜半導体
    素子の製造方法。
  5. 【請求項5】 前記請求項1に記載の薄膜半導体素子の
    製造方法において、前記溶融再結晶化はレーザ照射によ
    って行われることを特徴とする薄膜半導体素子の製造方
    法。
  6. 【請求項6】 真空状態から加圧状態までの圧力雰囲気
    を維持できる処理室であって、基板上に形成された半導
    体薄膜の少なくとも表面層に導入窓を通してエネルギー
    ビームを照射する手段を具備する第1の処理室と、前記
    第1の処理室と同等の圧力雰囲気を実現でき、かつ、前
    記半導体薄膜上に絶縁膜を形成するための第2の処理室
    と、前記基板を前記第1の処理室と前記第2の処理室と
    の間を搬入・搬出する手段と、前記第1の処理室内に窒
    素あるいは不活性ガスを導入する手段と、前記第1の処
    理室内を排気または加圧し所望の圧力状態とする手段
    と、前記第1の処理室内に酸素ガスを導入する手段とを
    有する薄膜半導体形成装置において、 前記第1の処理室と前記第2の処理室の圧力状態がほぼ
    同等になるような調整と、前記第1の処理室への基板の
    搬入、窒素あるいは不活性ガスの導入と圧力調整により
    所望の圧力状態の保持と、前記半導体薄膜へのエネルギ
    ービームの照射と、酸素ガスの導入と、前記第1の処理
    室と前記第2の処理室の圧力状態が同等になるような調
    整とをそれぞれを順次行うように制御されることを特徴
    とする薄膜半導体形成装置。
  7. 【請求項7】 前記請求項6に記載の薄膜半導体形成装
    置において、酸素ガスの導入後、基板上のエネルギービ
    ームの有効照射範囲を避けるようにエネルギービームを
    前記導入窓に照射するように制御されること特徴とする
    薄膜半導体形成装置。
  8. 【請求項8】 前記請求項6に記載の薄膜半導体形成装
    置において、前記導入窓はゲートバルブおよび酸化室を
    介して前記第1の処理室本体と離間しており、前記ゲー
    トバルブを閉めることにより基板上のエネルギービーム
    の有効照射範囲を避けるようにエネルギービームを前記
    導入窓に照射するように制御されることを特徴とする薄
    膜半導体形成装置。
JP20197499A 1999-07-15 1999-07-15 薄膜半導体素子の製造方法及び薄膜半導体形成装置 Expired - Fee Related JP3393469B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP20197499A JP3393469B2 (ja) 1999-07-15 1999-07-15 薄膜半導体素子の製造方法及び薄膜半導体形成装置
US09/615,058 US6642091B1 (en) 1999-07-15 2000-07-12 Thin-film semiconductor device and apparatus for fabricating thin-film semiconductor device
US10/636,571 US6863733B1 (en) 1999-07-15 2003-08-08 Apparatus for fabricating thin-film semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP20197499A JP3393469B2 (ja) 1999-07-15 1999-07-15 薄膜半導体素子の製造方法及び薄膜半導体形成装置

Publications (2)

Publication Number Publication Date
JP2001036089A JP2001036089A (ja) 2001-02-09
JP3393469B2 true JP3393469B2 (ja) 2003-04-07

Family

ID=16449857

Family Applications (1)

Application Number Title Priority Date Filing Date
JP20197499A Expired - Fee Related JP3393469B2 (ja) 1999-07-15 1999-07-15 薄膜半導体素子の製造方法及び薄膜半導体形成装置

Country Status (2)

Country Link
US (1) US6642091B1 (ja)
JP (1) JP3393469B2 (ja)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4332263B2 (ja) * 1998-10-07 2009-09-16 エルジー ディスプレイ カンパニー リミテッド 薄膜トランジスタの製造方法
JP2002280303A (ja) * 2001-03-19 2002-09-27 Toshiba Corp 多結晶半導体膜の製造方法およびその装置
JP4776813B2 (ja) * 2001-06-12 2011-09-21 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
SG120880A1 (en) * 2001-08-31 2006-04-26 Semiconductor Energy Lab Laser irradiation method, laser irradiation apparatus, and method of manufacturing a semiconductor device
TWI291729B (en) * 2001-11-22 2007-12-21 Semiconductor Energy Lab A semiconductor fabricating apparatus
US7133737B2 (en) 2001-11-30 2006-11-07 Semiconductor Energy Laboratory Co., Ltd. Program for controlling laser apparatus and recording medium for recording program for controlling laser apparatus and capable of being read out by computer
US7214573B2 (en) * 2001-12-11 2007-05-08 Semiconductor Energy Laboratory Co., Ltd. Method of manufacturing a semiconductor device that includes patterning sub-islands
EP1329946A3 (en) 2001-12-11 2005-04-06 Sel Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of semiconductor device including a laser crystallization step
US6727125B2 (en) * 2002-04-17 2004-04-27 Sharp Laboratories Of America, Inc. Multi-pattern shadow mask system and method for laser annealing
JP2003327497A (ja) * 2002-05-13 2003-11-19 Sumitomo Electric Ind Ltd GaN単結晶基板、窒化物系半導体エピタキシャル基板、窒化物系半導体素子及びその製造方法
KR20040021758A (ko) * 2002-09-04 2004-03-11 엘지.필립스 엘시디 주식회사 다결정 실리콘 박막트랜지스터 제조방법
US7232715B2 (en) * 2002-11-15 2007-06-19 Semiconductor Energy Laboratory Co., Ltd. Method for fabricating semiconductor film and semiconductor device and laser processing apparatus
US7387922B2 (en) * 2003-01-21 2008-06-17 Semiconductor Energy Laboratory Co., Ltd. Laser irradiation method, method for manufacturing semiconductor device, and laser irradiation system
KR101006439B1 (ko) * 2003-11-12 2011-01-06 삼성전자주식회사 박막 트랜지스터 표시판의 제조 방법
EP1728271B1 (en) 2004-03-26 2016-06-08 Semiconductor Energy Laboratory Co, Ltd. Laser irradiation method and laser irradiation apparatus
US8525075B2 (en) 2004-05-06 2013-09-03 Semiconductor Energy Laboratory Co., Ltd. Laser irradiation apparatus
US20060000814A1 (en) * 2004-06-30 2006-01-05 Bo Gu Laser-based method and system for processing targeted surface material and article produced thereby
TWI247930B (en) * 2004-08-10 2006-01-21 Ind Tech Res Inst Mask reduction of LTPS-TFT array by use of photo-sensitive low-k dielectrics
WO2006022196A1 (en) * 2004-08-23 2006-03-02 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and its manufacturing method
US20060234474A1 (en) * 2005-04-15 2006-10-19 The Regents Of The University Of California Method of transferring a thin crystalline semiconductor layer
JP4900332B2 (ja) * 2005-09-13 2012-03-21 ソニー株式会社 液晶表示装置の製造方法
KR100856326B1 (ko) * 2006-07-19 2008-09-03 삼성전기주식회사 레이저 리프트 오프를 이용한 유전체 박막을 갖는 박막 커패시터 내장된 인쇄회로기판 제조방법, 및 이로부터 제조된 박막 커패시터 내장된 인쇄회로기판
JP6013313B2 (ja) * 2013-03-21 2016-10-25 東京エレクトロン株式会社 積層型半導体素子の製造方法、積層型半導体素子、及び、その製造装置
US20150167160A1 (en) * 2013-12-16 2015-06-18 Applied Materials, Inc. Enabling radical-based deposition of dielectric films
US10121683B2 (en) * 2015-08-26 2018-11-06 SCREEN Holdings Co., Ltd. Light-irradiation heat treatment method and heat treatment apparatus

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5753542A (en) * 1985-08-02 1998-05-19 Semiconductor Energy Laboratory Co., Ltd. Method for crystallizing semiconductor material without exposing it to air
US5248630A (en) * 1987-07-27 1993-09-28 Nippon Telegraph And Telephone Corporation Thin film silicon semiconductor device and process for producing thereof
JPH03292719A (ja) 1990-04-10 1991-12-24 Seiko Epson Corp シリコン半導体層の形成方法
JPH0824104B2 (ja) * 1991-03-18 1996-03-06 株式会社半導体エネルギー研究所 半導体材料およびその作製方法
JPH05182923A (ja) 1991-05-28 1993-07-23 Semiconductor Energy Lab Co Ltd レーザーアニール方法
JPH0521393A (ja) 1991-07-11 1993-01-29 Sony Corp プラズマ処理装置
JPH05182919A (ja) 1992-01-07 1993-07-23 Fujitsu Ltd 多結晶シリコン薄膜の製造方法
JPH05326397A (ja) 1992-05-14 1993-12-10 Fujitsu Ltd 半導体装置の製造方法
JPH0799321A (ja) 1993-05-27 1995-04-11 Sony Corp 薄膜半導体素子の製造方法および製造装置
KR100291971B1 (ko) * 1993-10-26 2001-10-24 야마자끼 순페이 기판처리장치및방법과박막반도체디바이스제조방법
JP3398434B2 (ja) 1993-10-27 2003-04-21 東洋ゴム工業株式会社 トラック・バス用ラジアルタイヤ
WO1995034916A1 (fr) * 1994-06-15 1995-12-21 Seiko Epson Corporation Fabrication d'un equipement a semi-conducteurs a couches minces, equipement a semi-conducteurs a couches minces, afficheur a cristaux liquides et equipement electronique
JP3735394B2 (ja) 1995-06-16 2006-01-18 ソニー株式会社 薄膜半導体装置の製造方法
JPH097911A (ja) 1995-06-16 1997-01-10 Sony Corp 半導体製造装置
JPH0917729A (ja) 1995-06-29 1997-01-17 Sharp Corp 半導体装置の製造方法
JPH0936376A (ja) 1995-07-19 1997-02-07 Sony Corp 薄膜半導体装置の製造方法
JP3596188B2 (ja) 1995-09-22 2004-12-02 セイコーエプソン株式会社 薄膜トランジスタの製造方法
JPH09139356A (ja) 1995-11-16 1997-05-27 Japan Steel Works Ltd:The レーザーアニール処理装置
JPH09320961A (ja) 1996-05-31 1997-12-12 Nec Corp 半導体製造装置及び薄膜トランジスタの製造方法
JPH10149984A (ja) 1996-11-20 1998-06-02 Ulvac Japan Ltd 多結晶シリコンの形成方法及び形成装置
JP4200530B2 (ja) 1997-06-18 2008-12-24 セイコーエプソン株式会社 薄膜トランジスタの製造方法
JPH1117185A (ja) 1997-06-20 1999-01-22 Hitachi Ltd 液晶表示装置及びその製造方法
KR100269315B1 (ko) * 1997-11-24 2000-11-01 윤종용 램프가열방식의매엽식장비를이용한반도체장치의제조방법
US6194669B1 (en) 1999-02-05 2001-02-27 Trw Inc. Solder ball grid array for connecting multiple millimeter wave assemblies

Also Published As

Publication number Publication date
JP2001036089A (ja) 2001-02-09
US6642091B1 (en) 2003-11-04

Similar Documents

Publication Publication Date Title
JP3393469B2 (ja) 薄膜半導体素子の製造方法及び薄膜半導体形成装置
KR100382868B1 (ko) 반도체박막 가열장치
KR100383419B1 (ko) 기판상의 소망하는 위치에 반도체막을 형성하는 방법
KR100386202B1 (ko) 반도체 장치 제조 방법
US6657154B1 (en) Semiconductor manufacturing apparatus and manufacturing method for thin film semiconductor device
KR100534501B1 (ko) 박막 처리 방법 및 박막 처리 장치
US6863733B1 (en) Apparatus for fabricating thin-film semiconductor device
JP3586558B2 (ja) 薄膜の改質方法及びその実施に使用する装置
JPH06260436A (ja) 半導体装置の作製方法及び半導体処理装置
JP2001319891A (ja) 薄膜処理方法及び薄膜処理装置
US20060124971A1 (en) Semiconductor structure, semiconductor device, and method and apparatus for manufacturing the same
JP3927634B2 (ja) レーザーアニール方法及び薄膜トランジスタの作製方法
JP4322373B2 (ja) 膜体部改質装置及び膜体部改質方法
JP3596188B2 (ja) 薄膜トランジスタの製造方法
JP4900128B2 (ja) 半導体薄膜改質方法
JP4222232B2 (ja) 薄膜トランジスタの製造方法
JP3612018B2 (ja) 半導体装置の作製方法
JP3612009B2 (ja) 半導体装置の作製方法
JP3496678B1 (ja) 半導体薄膜
JP2004356637A (ja) 薄膜トランジスタ及びその製造方法
JPH1041513A (ja) 半導体素子の製造方法およびその装置
JP4128552B2 (ja) 半導体装置の作製方法
JP2004119645A (ja) 薄膜トランジスタおよびその製造方法
JPH09139346A (ja) レーザーアニール方法およびレーザーアニール装置

Legal Events

Date Code Title Description
TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20021224

R150 Certificate of patent or registration of utility model

Ref document number: 3393469

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080131

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090131

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100131

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110131

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110131

Year of fee payment: 8

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120131

Year of fee payment: 9

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130131

Year of fee payment: 10

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130131

Year of fee payment: 10

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140131

Year of fee payment: 11

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140131

Year of fee payment: 11

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees