JP2021520630A - H2プラズマを用いた流動性膜の硬化 - Google Patents

H2プラズマを用いた流動性膜の硬化 Download PDF

Info

Publication number
JP2021520630A
JP2021520630A JP2020553607A JP2020553607A JP2021520630A JP 2021520630 A JP2021520630 A JP 2021520630A JP 2020553607 A JP2020553607 A JP 2020553607A JP 2020553607 A JP2020553607 A JP 2020553607A JP 2021520630 A JP2021520630 A JP 2021520630A
Authority
JP
Japan
Prior art keywords
plasma
substrate
silicon layer
amorphous silicon
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2020553607A
Other languages
English (en)
Other versions
JPWO2019195188A5 (ja
Inventor
シーシー チアン,
シーシー チアン,
プラミット マンナ,
プラミット マンナ,
アブヒジット バス マリック,
アブヒジット バス マリック,
スレッシュ チャンド セス,
スレッシュ チャンド セス,
シュリニヴァス ディ. ネマニ,
シュリニヴァス ディ. ネマニ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2021520630A publication Critical patent/JP2021520630A/ja
Publication of JPWO2019195188A5 publication Critical patent/JPWO2019195188A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge

Abstract

本明細書の実施形態は、流動性化学蒸着(FCVD)プロセスを用いて堆積したアモルファスシリコン層をプラズマ処理する方法を提供する。一実施形態では、基板を処理する方法が、処理チャンバの処理容積の中に実質的にシリコンを含まない水素処理ガスを流入させることによって、アモルファスシリコン層をプラズマ処理することを含み、処理容積は、内部に基板支持体上に配置された基板を有し、該方法は更に、実質的にシリコンを含まない水素処理ガスの処理プラズマを生成すること、及びアモルファスシリコン層が表面上に堆積した基板を処理プラズマに曝露することを含む。ここで、アモルファスシリコン層は、FCVDプロセスを用いて堆積される。FCVDプロセスは、基板を基板支持体上に配置すること、プロセスガスを処理容積の中に流入させること、プロセスガスの堆積プラズマを生成すること、基板の表面を堆積プラズマに曝露すること、及びアモルファスシリコン層を基板の表面上に堆積させることを含む。【選択図】図2

Description

[0001] 本明細書で説明される実施形態は、広くは、半導体デバイス製造の分野に関し、特に、流動性化学蒸着(FCVD)プロセスを用いて堆積したアモルファスシリコン層をインシトゥ・プラズマ処理する方法に関する。
[0002] 本明細書で説明される実施形態は、広くは、半導体デバイス製造の分野に関し、特に、流動性化学蒸着(FCVD)プロセスを用いて堆積したアモルファスシリコン層をインシトゥ・プラズマ処理する方法に関する。
[0003] アモルファスシリコン(a-Si)は、犠牲材料として、例えばダミーゲート材料として、又はトレンチ充填材料として、例えばトレンチキャパシタ材料としての使用を含めて、半導体デバイス製造において広く使用されている。従来、堆積したa−Siは、概して共形(conformal)であり、これは、堆積したa−Siが、概して、基板表面上、又は基板表面内に形成された開口の表面上の特徴の上に均一な堆積厚さを有することを意味する。従来通りに堆積したa-Siの共形な性質は、その形成された特徴における継目(seam)又はボイドの望ましくない形成をもたらし得る。例えば、継目は、ダミーゲートの形成中などに、開口部の2つ以上の垂直面上に堆積したa-Siの層が、開口部の中心で出会うところに形成され得る。これらの望ましくない継目は、その後の基板処理中に開き、a-Si特徴の構造的破壊を引き起こし得る。別の一例では、a-Si材料がトレンチを完全に充填する前に、従来通りに堆積した共形なa-Siが、その開口部をトレンチの中にピンチオフすると、高アスペクト比のトレンチ内にボイドが形成され得る。継目と同様に、ボイドは、後続の基板処理中に露出される可能性があり、及び/又はデバイス性能若しくはデバイス機能に悪影響を及ぼす可能性がある。
[0004] FCVD a-Si堆積プロセスは、継目及びボイドのない特徴が望ましい用途において、従来のa-Si堆積プロセスよりも有利である。残念ながら、(本明細書では以後、流動性a−Siである)FCVDプロセスを用いて堆積したa−Siは、望ましくないことに、従来通りに堆積したa−Siより密度が低く、物理的に軟らかく、したがって、膜の高密度化及び硬度の増加のために更なる処理(例えば、硬化)を必要とする。UV(紫外線)硬化などの従来の硬化方法は、異なる処理温度要件、並びに堆積と硬化との間のウエハ転移及び温度安定化要件のために、FCVD a−Si層を堆積するために使用されるFCVD処理チャンバに加えて、1以上の処理チャンバを必要とし、したがって、時間がかかり、設備集約的である。更に、UV硬化は、流動性a−Si膜の実質的な収縮、例えば堆積した体積の70%までの収縮などをもたらす可能性があり、これは、a−Si充填材料の収縮が、その形成された特徴内に望ましくないボイドをもたらすこととなる間隙充填用途において特に望ましくない。
[0005] したがって、FCVDプロセスを用いて堆積したa−Si層を硬化させる改善された方法が、当該技術分野で必要とされている。
[0006] 本明細書で説明される実施形態は、広くは、半導体デバイス製造の分野に関し、特に、流動性化学蒸着(FCVD)プロセスを用いて堆積したアモルファスシリコン(a−Si)層、(すなわち、本明細書で以後)流動性a−Si層をプラズマ処理する方法に関する。
[0007] 一実施形態では、基板を処理する方法が、アモルファスシリコン層をプラズマ処理することを含む。アモルファスシリコン層をプラズマ処理することは、処理チャンバの、内部に基板支持体上に配置された基板を有する処理容積の中に、実質的にシリコンを含まない水素処理ガスを流入させること、実質的にシリコンを含まない水素処理ガスの処理プラズマを生成すること、及びアモルファスシリコン層が表面上に堆積した基板を処理プラズマに曝露することを含む。FCVDプロセスは、基板を基板支持体上に配置すること、プロセスガスを処理容積の中に流入させること、プロセスガスの堆積プラズマを生成すること、基板の表面を堆積プラズマに曝露すること、及びアモルファスシリコン層を基板の表面上に堆積させることを含む。
[0008] 別の一実施形態では、基板を処理する方法が、基板を摂氏約−100度と摂氏約100度の間の温度に維持すること、アモルファスシリコン層を堆積させること、及びアモルファスシリコン層をプラズマ処理することを含む。アモルファスシリコン層を堆積させることは、基板を処理容積内に配置された基板支持体上に配置すること、処理容積の中にプロセスガスを流入させることと、約300W未満のRF(高周波)又は他の交流周波数電力で、プロセスガスを電極と容量結合させることによって、プロセスガスの堆積プラズマを生成すること、基板の表面を堆積プラズマに曝露すること、及び基板の表面上にアモルファスシリコン層を堆積させることを含む。ここで、プロセスガスは、実質的に酸素を含まず、実質的に窒素を含まない。アモルファスシリコン層をプラズマ処理することは、処理ガスを処理容積の中に流入させること、約100Wと約500Wとの間のRF又は他の交流周波数電力で、処理ガスを電極と容量結合させることによって、プロセスガスの処理プラズマを生成すること、及びアモルファスシリコン層を約10秒を超える持続時間にわたって処理プラズマに曝露することを含む。ここで、処理ガスは、約1:10と約5:1との間の比のH2と不活性ガスとを含み、処理ガスは、実質的にシリコンを含まず、実質的に酸素を含まない。
別の一実施形態では、基板を処理する方法が、基板を摂氏約−100度と摂氏約100度の間の温度に維持すること、アモルファスシリコン層を堆積させること、処理容積からプロセスガスをパージすること、及びアモルファスシリコン層をプラズマ処理することを含む。アモルファスシリコン層を堆積させることは、基板を処理容積内に配置された基板支持体上に配置すること、実質的に酸素を含まず、かつ実質的に窒素を含まないプロセスガスを、処理容積の中に流入させること、約300W未満のRF又は他の交流周波数電力で、プロセスガスを電極と容量結合させることによって、プロセスガスの堆積プラズマを生成すること、基板の表面を堆積プラズマに曝露すること、及び基板の表面上にアモルファスシリコン層を堆積させることを含む。処理容積をパージすることは、プロセスガスの流れを停止すること、堆積プラズマを消失させること、パージガスを処理容積の中に流入させること、及び、アモルファスシリコン層をプラズマ処理することの前に、パージガスを処理容積から排気することを含む。アモルファスシリコン層をプラズマ処理することは、約1:10と約5:1との間の比のH2と不活性ガスとを含み、実質的にシリコンを含まず、かつ実質的に酸素を含まない処理ガスを、処理容積の中に流入させること、約100Wと約500Wとの間のRF又は他の交流周波数電力で、電極と容量結合させることによって、処理ガスの処理プラズマを生成すること、及びアモルファスシリコン層を約10秒を超える持続時間にわたって処理プラズマに曝露することを含む。
[0009] 本開示の上記の特徴を詳細に理解することができるように、上記で簡単に要約した本開示のより具体的な説明を、実施形態を参照することによって行うことができ、その幾つかを添付の図面に示す。しかし、添付の図面は、本開示の典型的な実施形態のみを示し、したがって、本開示は、他の等しく有効な実施形態を認めることができるので、本開示の範囲を限定すると見なされるべきではないことに留意されたい。
[0010] 一実施形態による、本明細書で説明される方法を実施するために使用される例示的な処理チャンバの概略断面図である。 [0011] 一実施形態による、アモルファスシリコン層をプラズマ処理する方法のフロー図である。
[0012] 本明細書で説明される実施形態は、広くは、半導体デバイス製造の分野に関し、特に、(本明細書で以後、流動性a−Si層である)化学蒸着(FCVD)プロセスを用いて堆積したアモルファスシリコン(a−Si)層をプラズマ処理する方法に関する。
[0013] FCVDプロセスを用いてa-Siを堆積させることは、有利なことに、特徴の継目がない形成及びボイドのない高アスペクト比開口部の充填を提供する。しかし、FCVDプロセスを用いて堆積したa-Siは、典型的には、約30原子%を超えるような高い水素含有量を含み、これは、望ましくないことに、より低い水素含有量を有する従来通りに堆積したa−Si膜と比較したときに、より低い膜密度及び膜品質をもたらす。したがって、本明細書の方法は、流動性a−Si層を堆積させるために使用されるのと同じ処理チャンバ内で、インシトゥ・プラズマに曝露することによって、流動性a−Si層をプラズマ処理することを提供する。本明細書でのプラズマ処理は、流動性a−Si膜の水素含有量を、そのSi−H結合を破壊することによって減少させ、ダングリングボンドを有するSiが、Si−Si結合を形成することを可能にし、その結果、望ましくより密度が高い膜が得られる。本明細書で、流動性a-Si層をプラズマ処理することは、流動性a-Si層を堆積させるために使用されるのと同じ処理チャンバ内で実行され、その結果、別個の処理チャンバ及び別個の基板処理動作を必要とするUV硬化などの他の硬化方法と比較して、総基板処理時間及び個々の基板処理動作が低減される。
[0014] 図1は、一実施形態による、本明細書で説明される方法を実施するために使用される例示的な処理チャンバの概略断面図である。本明細書で説明される方法を実施するために使用され得る、他の例示的な堆積チャンバには、Producer(登録商標)ETERNA CVD(登録商標)システム又はUltima HDP CVD(登録商標)システム(両方ともカリフォルニア州サンタクララのApplied Materials, Inc.から入手可能である)、並びに他の製造業者の適切な堆積チャンバが含まれる。
[0015] 処理チャンバ100は、チャンバ蓋アセンブリ101、1以上の側壁102、及びチャンバベース104を含む。チャンバ蓋アセンブリ101は、チャンバ蓋106、チャンバ蓋106内に配置されたシャワーヘッド107、及びチャンバ蓋106と1以上の側壁102との間に配置された電気絶縁リング108を含む。シャワーヘッド107、1以上の側壁102、及びチャンバベース104は、共に、処理容積105を画定する。チャンバ蓋106を通して配置されたガス入口109は、ガス源110に流体結合されている。シャワーヘッド107は、それを貫通して配置された複数の開口部111を有し、ガス源110から処理容積105の中にプロセスガスを均一に分配するために使用される。シャワーヘッド107は、RF電源のような第1の電源112に電気接続され、第1の電源112は、プロセスガスとの容量結合を介してプロセスガスのプラズマ113を点火し、維持するために電力を供給する。ここで、RF電力は、約400kHzと約40MHzの間、例えば、約400kHz又は約13.56MHzの周波数を有する。他の実施形態では、処理チャンバ100が、誘導性プラズマ生成器を備え、RF電力をプロセスガスに誘導結合することを介して、プラズマが生成される。
[0016] 処理容積105は、減圧(絶対真空の意味ではないとき)出口114を介して、1以上の専用減圧(絶対真空の意味ではないとき)ポンプなどの真空源に流体結合され、これは、処理容積105を大気圧未満の状態に維持し、プロセスガス及び他のガスをそこから排気する。処理容積105内に配置される基板支持体115は、チャンバベース104の下方の領域内でベローズ(図示せず)によって囲まれるような、チャンバベース104を貫通して密封的に延在する可動支持体シャフト116上に配置される。ここで、処理チャンバ100は、基板処理中にドア又はバルブ(図示せず)で従来通りに密封されている1以上の側壁102のうちの1つの開口部118を介して、基板支持体115との間で基板116の移送を容易にするように従来通りに構成されている。
[0017] ここで、基板支持体115上に配置された基板117は、抵抗加熱要素119のようなヒータと、基板支持体115内に配置された1以上の冷却チャネル120と、のうちの一方又は両方を用いて、所望の処理温度に維持される。典型的には、1以上の冷却チャネル120が、比較的高い電気抵抗を有する改質された水源や冷媒源などの、冷却剤源(図示せず)に流体結合される。幾つかの実施形態では、基板支持体115又はその1以上の電極(図示せず)は、それらにバイアス電圧を供給する、連続波(CW)RF電源やパルスRF電源などの第2の電源121に電気接続される。
[0018] 図2は、一実施形態による、流動性a−Si層をプラズマ処理する方法のフロー図である。工程201において、方法200は、実質的にシリコンを含まない水素処理ガスを処理チャンバの処理容積の中に流入させることを含む。ここで、流動性CVDプロセスによって上にa−Si層が形成された基板は、処理容積内に配置された基板支持体上に配置される。典型的には、水素処理ガスが、H2、NH3、又はそれらの組み合わせなどの水素源ガス、及び、He、Ar、Kr、N2、又はそれらの組み合わせなどの1以上の不活性希釈ガス、例えばArを含む。典型的には、水素源ガスの不活性希釈ガスに対する比が、約1:10と約5:1との間であり、例えば、一実施形態では、H2のArに対する比が、約1:10と約2:1との間である。幾つかの実施形態では、水素処理ガスが、実質的にシリコンを含まない、実質的に酸素を含まない、及び実質的に窒素を含まないガスのうちの1つ又はそれらの組合せであり、これは、水素処理ガスを生成するガスが、それぞれシリコン、酸素、及び窒素部分を有さないことを意味する。幾つかの実施形態では、基板が、望ましくは、摂氏約−100度と摂氏約100度の間、摂氏約−100度と摂氏約75度の間、摂氏約−100度と摂氏約75度の間、例えば摂氏約−100度と摂氏約50度の間、又は、摂氏約100度未満、例えば摂氏約50度未満に維持される。
[0019] 工程202において、方法200は、水素処理ガスの処理プラズマを生成することを含む。ここで、処理プラズマを生成することは、RF又は他の交流周波数電源によって電力供給されるシャワーヘッドとの容量結合を介して、水素処理ガスのプラズマを点火し、維持することを含む。他の実施形態では、処理プラズマが、RF又は他の交流周波数電源に接続された処理容積の少なくとも一部分を囲む又はカバーするコイルのような誘導結合プラズマ(ICP)生成器との誘導結合を介して生成される。ここで、処理プラズマは、インシトゥ・プラズマ、すなわち、基板支持体上に配置された基板とシャワーヘッドとの間で生成されるものである。幾つかの実施形態では、RF又は他の交流周波数電力が、約100Wと約500Wの間、又は約500W未満である。幾つかの実施形態では、処理容積の圧力が、望ましくは、a−Si層のプラズマ処理中に、約1mTorrと約2Torrの間に維持される。
[0020] 工程203において、方法200は、a−Si層が表面上に堆積した基板を処理プラズマに曝露することを含む。典型的には、基板支持体が、基板のプラズマ処理中に、上昇した基板処理位置、本明細書では第1の基板処理位置にある。第1の基板処理位置では、基板の表面が、シャワーヘッドの基板に対向する表面から、10mmを超える、例えば約20mmを超える、又は約10mmと約300mmの間、例えば、約20mmと約300mmの間、約50mmと約300mmの間、又は、例えば、約20mmと約100mmの間、約100mmと約200mmの間、若しくは約200mmと約300mmの間の距離だけ、間隔を空けられている。
[0021] ここで、a−Si層をプラズマ処理することは、約10秒を超える持続時間、例えば約10秒と約60秒の間だけ、基板を処理プラズマに曝露することを含む。ここで、a-Si層は、基板を処理容積内に配置された基板支持体上に配置すること、プロセスガスを処理容積の中に流入させること、プロセスガスの堆積プラズマを生成すること、基板の表面を堆積プラズマに曝露すること、及び基板の表面上にa-Si層を堆積させることを含む、FCVDプロセスを用いて堆積した。典型的には、基板支持体が、a-Si層の堆積中に、第2の基板処理位置にあった。第2の基板処理位置では、基板が、シャワーヘッドの基板に対向する表面から、約20mm未満、例えば約5mmと約20mmの間、例えば約7mmと約18mmの間の距離だけ、間隔を空けられた。幾つかの実施形態では、方法200が、FCVDプロセスを用いてa−Si層を堆積させることを含む。幾つかの実施形態では、方法200が、プラズマ処理とa-Si FCVDプロセスとの間に基板支持体を下げることによって、基板を第2の処理位置から第1の処理位置に移動させることを含む。
[0022] 本明細書では、プロセスガスが、シラン(SiH4)、ジシラン(Si2H6)、トリシラン(Si3H8)、及びテトラシラン(Si4H10)、ネオペンタシラン(NPS)、シクロヘキサシラン、又はそれらの組合せなどの1以上のシリコン前駆体を含む。幾つかの実施形態では、シリコン前駆体が、実質的に炭素を含まず、ここで、実質的に炭素を含まないとは、シリコン前駆体が、内部に炭素部分を有さないことを意味する。幾つかの実施形態では、プロセスガスが、実質的に炭素を含まない、実質的に酸素を含まない、及び実質的に窒素を含まないうちの1以上である。
[0023] 幾つかの実施形態では、基板が、複数の特徴が表面上に形成されたパターン化された基板である。幾つかの実施形態では、パターン化された基板が、その表面内に形成された複数の開口部を有し、基板の表面上にa-Si層を堆積させることは、a-Si層を複数の開口部内に堆積させることを含む。幾つかの実施形態では、複数の開口部が、2:1を超える、例えば、5:1を超える、10:1を超える、20:1を超える、例えば、25:1を超えるアスペクト比(深さ対幅の比率)を有する。幾つかの実施形態では、開口部の幅が、約90nm未満、例えば、約65nm未満、約45nm未満、約32nm未満、約22nm未満、例えば、約16nm未満、又は約16nmと約90nmの間である。
[0024] 典型的には、基板が、シリコン、酸化ケイ素、ストレインドシリコン(IBMが開発したもの)、シリコン・オン・インシュレータ(SOI)、炭素がドープされた酸化ケイ素、a-Si、ドープされたシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、サファイア、金属、金属窒化物、金属合金、及びこれらの組み合わせなどの、電子デバイス製造プロセスで使用するのに適した任意の材料及び材料層から形成される。
[0025] 本明細書では、堆積プラズマを生成することが、(処理される基板表面の)約1W/cm2未満、例えば、約0.7W/cm2未満、約0.5W/cm2未満、約0.3W/cm2未満、約0.15W/cm2未満、約0.1W/cm2未満、例えば約0.05W/cm2未満などの、RF又は他の交流周波数電力が印加されるシャワーヘッドと容量結合することを含む。例えば、約300mmの直径を有する基板の場合、シャワーヘッドに印加されるRFは、約300W未満、例えば、約250W未満、約200W未満、約150W未満、約100W未満、約50W未満、例えば、約25W未満である。幾つか実施形態では、FCVDプロセスが、基板支持体にバイアス電力を供給することを含む。ここで、バイアス電力は、300mmの直径の基板に対して約20Wと約500Wの間の連続的なRF電力である。他の実施形態では、バイアス電力が、約20Wと約500Wの間のパルスRF電力であり、約1Hzと約1000Hzの間のパルス周波数、及び約10〜95%のオンタイムデューティを有する。他の実施形態では、バイアス電力が、パルス直流電力である。
[0026] 幾つかの実施形態では、プロセスガスが、He、Ar、H2、Kr、N2、NH3、又はそれらの組み合わせなどの、キャリアガス又は希釈ガスを更に含む。典型的には、FCVDプロセスの処理中に、処理容積の圧力が、望ましくは、約10mTorrと約10Torrとの間、例えば、約6Torr未満、例えば約5Torr未満、又は約0.1Torrと約4Torrの間、例えば約0.5Torrと約3Torrの間に維持される。典型的には、基板が、FCVD及びプラズマ処理プロセス中に、同じ温度又は同じ温度範囲内に維持される。
[0027] 幾つかの実施形態では、該方法が、a−Si層をプラズマ処理する前に、処理容積からプロセスガスをパージすることを含む。典型的には、プロセスガス及びプロセスガス副生成物を処理容積からパージすることが、プロセスガスの流れを停止すること、堆積プラズマを消失させること、パージガスを処理容積の中に流入させること、及び、アモルファスシリコン層をプラズマ処理することの前に、処理容積からパージガスを排気することを含む。典型的には、パージガスが、He、Ar、Kr、N2、又はそれらの組み合わせ、例えばArなどの不活性ガスを含む。幾つかの実施形態では、処理容積をパージすることが、パージガスを流し、約5秒と約60秒の間の持続時間だけ、パージガスを排気することを含む。
[0028] 上述のFCVD及び後処理プロセスは、望ましくは、基板表面上に特徴を継目なしで形成し、底部アップ、ボイドなしで、基板表面上に形成された高アスペクト比の開口部であって、例えば、90nm未満の幅及び約10:1を超えるアスペクト比を有する開口部を充填することを可能にする流動性a−Si膜を提供する。流動性a-Si層のプラズマ処理は、流動性a-Si層の水素含有量を減少させ、内部のSi-Si結合の個数を増加させるので、望ましくより密度が高い膜をもたらす。幾つかの実施形態では、本明細書で説明されるFCVD法を用いて堆積したa−Si層のプラズマ処理前水素含有量が、約30原子%を超え、プラズマ処理後水素含有量が、約20原子%未満である。更に、本明細書のプラズマ処理方法は、UV硬化のような他の硬化方法と比較したときに、より少ない膜収縮をもたらす。幾つかの実施形態では、本明細書で提供されるプラズマ処理方法を用いた流動性a−Si膜の収縮は、約50%未満、例えば約40%未満、例えば約30%未満である。幾つかの実施形態では、本明細書で形成されるプラズマ処理されたa−Si層は、約4.1以上、例えば約4以上、又は約3.9以上、例えば約3.8以上の屈折率を有し、より高い屈折率は、膜の質が向上したことを示す。
[0029] 上記は、本開示の実施形態に向けられているが、本開示の他の及び更なる実施形態が、本開示の基本的な範囲から逸脱することなく考案されてよく、本開示の範囲は、以下の特許請求の範囲によって規定される。

Claims (15)

  1. 基板を処理する方法であって、
    アモルファスシリコン層をプラズマ処理することであって、
    処理チャンバの、内部に基板支持体上に配置された基板を有する処理容積の中に、実質的にシリコンを含まない水素処理ガスを流入させること、
    前記実質的にシリコンを含まない水素処理ガスの処理プラズマを生成すること、並びに
    FCVDプロセスを用いて前記アモルファスシリコン層が表面上に堆積させられた基板を、前記処理プラズマに曝露することを含み、前記FCVDプロセスが、
    前記基板を前記基板支持体上に配置すること、
    プロセスガスを前記処理容積の中に流入させること、
    前記プロセスガスの堆積プラズマを生成すること、
    前記基板の前記表面を前記堆積プラズマに曝露すること、及び
    前記アモルファスシリコン層を前記基板の前記表面上に堆積させることを含む、アモルファスシリコン層をプラズマ処理することを含む、方法。
  2. 前記処理プラズマが、基板表面積の約0.10W/cm2と約1W/cm2との間のRF電力で、前記実質的にシリコンを含まない処理ガスを電極と容量結合させることによって生成される、請求項1に記載の方法。
  3. 前記アモルファスシリコン層をプラズマ処理することが、前記処理容積を約1mTorrと約2Torrとの間の圧力に維持することを含む、請求項1に記載の方法。
  4. 前記水素処理ガスが、実質的にシリコンを含まず、かつ実質的に酸素を含まない、請求項1に記載の方法。
  5. 前記基板を処理することが、前記基板を摂氏約−100度と摂氏約100度との間の温度に維持することを更に含む、請求項1に記載の方法。
  6. 前記実質的にシリコンを含まない水素処理ガスが、H2及び不活性ガスを含む、請求項1に記載の方法。
  7. 前記アモルファスシリコン層をプラズマ処理することが、約10秒を超える時間、前記基板を前記処理プラズマに曝露することを含む、請求項1に記載の方法。
  8. 前記プロセスガスが、シラン(SiH4)、ジシラン(Si2H6)、トリシラン(Si3H8)、及びテトラシラン(Si4H10)、ネオペンタシラン(NPS)、並びにシクロヘキサシランから成る群から選択された、1以上のシリコン前駆体を含む、請求項1に記載の方法。
  9. H2の不活性ガスに対する比が、約1:10と約5:1との間である、請求項6に記載の方法。
  10. 前記不活性ガスがArである、請求項6に記載の方法。
  11. 前記プロセスガスが、実質的に窒素を含まないか、実質的に酸素を含まないかの一方又は両方である、請求項8に記載の方法。
  12. 基板を処理する方法であって、
    前記基板を摂氏約−100度と摂氏約100度との間の温度に維持すること、
    アモルファスシリコン層を堆積させることであって、
    前記基板を処理容積内に配置された基板支持体上に配置すること、
    実質的に酸素を含まず、かつ実質的に窒素を含まないプロセスガスを、前記処理容積の中に流入させること、
    約300W未満のRF又は他の交流周波数電力で、前記プロセスガスを電極と容量結合させることによって、前記プロセスガスの堆積プラズマを生成すること、
    前記基板の表面を前記堆積プラズマに曝露すること、及び
    前記基板の表面上に前記アモルファスシリコン層を堆積させることを含む、アモルファスシリコン層を堆積させること、並びに
    前記アモルファスシリコン層をプラズマ処理することであって、
    約1:10と約5:1との間の比のH2と不活性ガスとを含み、実質的にシリコンを含まず、かつ実質的に酸素を含まない処理ガスを、前記処理容積の中に流入させること、
    約100Wと約500Wとの間のRF電力で、前記処理ガスを電極と容量結合させることによって、前記処理ガスの処理プラズマを生成すること、及び
    前記アモルファスシリコン層を約10秒を超える持続時間にわたって前記処理プラズマに曝露することを含む、前記アモルファスシリコン層をプラズマ処理することを含む、方法。
  13. 前記アモルファスシリコン層をプラズマ処理することの前に、前記処理容積をパージすることであって、
    前記プロセスガスの流れを停止すること、
    前記堆積プラズマを消失させること、
    パージガスを前記処理容積の中に流入させること、及び
    前記アモルファスシリコン層をプラズマ処理することの前に、前記パージガスを前記処理容積から排気することを含む、前記処理容積をパージすることを更に含む、請求項12に記載の方法。
  14. 前記アモルファスシリコン層を堆積させること、及び前記アモルファスシリコン層をプラズマ処理することの、複数の連続したサイクルを更に含む、請求項12に記載の方法。
  15. 基板を処理する方法であって、
    前記基板を摂氏約−100度と摂氏約100度との間の温度に維持すること、
    アモルファスシリコン層を堆積させることであって、
    基板を処理容積内に配置された基板支持体上に配置すること、
    実質的に酸素を含まず、かつ実質的に窒素を含まないプロセスガスを、前記処理容積の中に流入させること、
    約300W未満のRF又は他の交流周波数電力で、前記プロセスガスを電極と容量結合させることによって、前記プロセスガスの堆積プラズマを生成すること、
    前記基板の表面を前記堆積プラズマに曝露すること、及び
    前記基板の表面上に前記アモルファスシリコン層を堆積させることを含む、アモルファスシリコン層を堆積させること、
    前記処理容積をパージすることであって、
    前記プロセスガスの流れを停止すること、
    前記堆積プラズマを消失させること、
    パージガスを前記処理容積の中に流入させること、及び
    前記アモルファスシリコン層をプラズマ処理することの前に、前記パージガスを前記処理容積から排気することを含む、前記処理容積をパージすること、並びに
    前記アモルファスシリコン層をプラズマ処理することであって、
    約1:10と約5:1との間の比のH2と不活性ガスとを含み、実質的にシリコンを含まず、かつ実質的に酸素を含まないプロセスガスを、前記処理容積の中に流入させること、
    約100Wと約500Wとの間のRF又は他の交流周波数電力で、電極と容量結合させることによって、前記処理ガスの処理プラズマを生成すること、及び
    前記アモルファスシリコン層を約10秒を超える持続時間にわたって前記処理プラズマに曝露することを含む、前記アモルファスシリコン層をプラズマ処理することを含む、方法。
JP2020553607A 2018-04-03 2019-04-01 H2プラズマを用いた流動性膜の硬化 Pending JP2021520630A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
IN201841012612 2018-04-03
IN201841012612 2018-04-03
PCT/US2019/025227 WO2019195188A1 (en) 2018-04-03 2019-04-01 Flowable film curing using h2 plasma

Publications (2)

Publication Number Publication Date
JP2021520630A true JP2021520630A (ja) 2021-08-19
JPWO2019195188A5 JPWO2019195188A5 (ja) 2022-04-08

Family

ID=68100158

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020553607A Pending JP2021520630A (ja) 2018-04-03 2019-04-01 H2プラズマを用いた流動性膜の硬化

Country Status (6)

Country Link
US (1) US20210025058A1 (ja)
JP (1) JP2021520630A (ja)
KR (1) KR20200128445A (ja)
CN (1) CN112219261A (ja)
SG (1) SG11202009405VA (ja)
WO (1) WO2019195188A1 (ja)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SG11202112688QA (en) 2019-06-08 2021-12-30 Applied Materials Inc Low deposition rates for flowable pecvd
CN111725297B (zh) * 2020-06-08 2023-06-02 武汉华星光电半导体显示技术有限公司 有源层结构及其制作方法
US11562902B2 (en) * 2020-07-19 2023-01-24 Applied Materials, Inc. Hydrogen management in plasma deposited films
US11887811B2 (en) 2020-09-08 2024-01-30 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
US20220076922A1 (en) * 2020-09-08 2022-03-10 Applied Materials, Inc. Single chamber flowable film formation and treatments
US11699571B2 (en) 2020-09-08 2023-07-11 Applied Materials, Inc. Semiconductor processing chambers for deposition and etch
US20220157602A1 (en) * 2020-11-18 2022-05-19 Applied Materials, Inc. Silicon oxide gap fill using capacitively coupled plasmas
KR20220102569A (ko) * 2021-01-13 2022-07-20 에이에스엠 아이피 홀딩 비.브이. 갭 충진 유체를 증착하기 위한 방법 그리고 이와 관련된 시스템 및 장치

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0562913A (ja) * 1991-09-04 1993-03-12 Canon Inc 堆積膜の成膜方法
JPH0613329A (ja) * 1992-06-25 1994-01-21 Canon Inc 半導体装置及び半導体製造装置及び製造方法
JPH06267861A (ja) * 1993-03-15 1994-09-22 Sanyo Electric Co Ltd 半導体薄膜の形成方法
JP2009044171A (ja) * 1994-06-15 2009-02-26 Seiko Epson Corp 薄膜半導体装置の製造方法
US20120202315A1 (en) * 2011-02-03 2012-08-09 Applied Materials, Inc. In-situ hydrogen plasma treatment of amorphous silicon intrinsic layers
WO2013021426A1 (ja) * 2011-08-10 2013-02-14 パナソニック株式会社 薄膜トランジスタ装置及び薄膜トランジスタ装置の製造方法
JP2015521375A (ja) * 2012-04-30 2015-07-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 流動性膜のための改善された緻密化
US20170372919A1 (en) * 2016-06-25 2017-12-28 Applied Materials, Inc. Flowable Amorphous Silicon Films For Gapfill Applications
JP2018512727A (ja) * 2015-02-23 2018-05-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高品質薄膜を形成するための周期的連続処理

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070299239A1 (en) * 2006-06-27 2007-12-27 Air Products And Chemicals, Inc. Curing Dielectric Films Under A Reducing Atmosphere
US7741144B2 (en) * 2007-11-02 2010-06-22 Applied Materials, Inc. Plasma treatment between deposition processes
US20120083133A1 (en) * 2010-10-05 2012-04-05 Applied Materials, Inc. Amine curing silicon-nitride-hydride films
US9048099B2 (en) * 2013-05-09 2015-06-02 Applied Materials, Inc. Multi-layer amorphous silicon structure with improved poly-silicon quality after excimer laser anneal
US9818606B2 (en) * 2013-05-31 2017-11-14 Applied Materials, Inc. Amorphous silicon thickness uniformity improved by process diluted with hydrogen and argon gas mixture
US9029272B1 (en) * 2013-10-31 2015-05-12 Asm Ip Holding B.V. Method for treating SiOCH film with hydrogen plasma
US9130014B2 (en) * 2013-11-21 2015-09-08 United Microelectronics Corp. Method for fabricating shallow trench isolation structure
US9777378B2 (en) * 2015-01-07 2017-10-03 Applied Materials, Inc. Advanced process flow for high quality FCVD films
CN106373908B (zh) * 2015-07-20 2019-07-02 成均馆大学校产学协力团 多晶硅沉积方法及用于其的沉积装置

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0562913A (ja) * 1991-09-04 1993-03-12 Canon Inc 堆積膜の成膜方法
JPH0613329A (ja) * 1992-06-25 1994-01-21 Canon Inc 半導体装置及び半導体製造装置及び製造方法
JPH06267861A (ja) * 1993-03-15 1994-09-22 Sanyo Electric Co Ltd 半導体薄膜の形成方法
JP2009044171A (ja) * 1994-06-15 2009-02-26 Seiko Epson Corp 薄膜半導体装置の製造方法
US20120202315A1 (en) * 2011-02-03 2012-08-09 Applied Materials, Inc. In-situ hydrogen plasma treatment of amorphous silicon intrinsic layers
WO2013021426A1 (ja) * 2011-08-10 2013-02-14 パナソニック株式会社 薄膜トランジスタ装置及び薄膜トランジスタ装置の製造方法
JP2015521375A (ja) * 2012-04-30 2015-07-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 流動性膜のための改善された緻密化
JP2018512727A (ja) * 2015-02-23 2018-05-17 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高品質薄膜を形成するための周期的連続処理
US20170372919A1 (en) * 2016-06-25 2017-12-28 Applied Materials, Inc. Flowable Amorphous Silicon Films For Gapfill Applications

Also Published As

Publication number Publication date
KR20200128445A (ko) 2020-11-12
SG11202009405VA (en) 2020-10-29
CN112219261A (zh) 2021-01-12
US20210025058A1 (en) 2021-01-28
WO2019195188A1 (en) 2019-10-10

Similar Documents

Publication Publication Date Title
JP2021520630A (ja) H2プラズマを用いた流動性膜の硬化
KR102233755B1 (ko) SiC막의 성막 방법
JP7171604B2 (ja) 高アスペクト比トレンチをアモルファスシリコン膜で間隙充填するための2段階プロセス
US11289326B2 (en) Method for reforming amorphous carbon polymer film
JP7126381B2 (ja) 成膜装置および成膜方法
JP2021511672A (ja) 窒化ケイ素の薄膜のための処理方法
KR20200040331A (ko) 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR20200030162A (ko) 박막 증착 방법
JP2016503966A (ja) 高密度プラズマを実施する窒化ケイ素間隙充填
JP2016510507A (ja) 低収縮性誘電膜
JP2008306182A (ja) 無機シラザンベース絶縁膜を形成する方法
JP2005089823A (ja) 成膜装置および成膜方法
KR20150075362A (ko) 콘택트층의 형성 방법
KR20170102808A (ko) 기판 처리 장치
JP7101191B2 (ja) アモルファスシリコン間隙充填を改善するための表面改質
KR20180111548A (ko) 오목부의 매립 방법 및 처리 장치
KR102426960B1 (ko) 플라즈마를 이용하여 실리콘 산화막을 형성하는 방법
US20230386829A1 (en) Low temperature silicon oxide gap fill
US20230360903A1 (en) Large area gapfill using volumetric expansion
TW202412066A (zh) 低溫氧化矽間隙填充
JP2004235457A (ja) ヒューズ、半導体装置、ヒューズの製造方法および半導体装置の製造方法
KR20240055067A (ko) 방향성 선택적 증착

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220331

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220331

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230327

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230411

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230711

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20230912