KR102233755B1 - SiC막의 성막 방법 - Google Patents

SiC막의 성막 방법 Download PDF

Info

Publication number
KR102233755B1
KR102233755B1 KR1020197018956A KR20197018956A KR102233755B1 KR 102233755 B1 KR102233755 B1 KR 102233755B1 KR 1020197018956 A KR1020197018956 A KR 1020197018956A KR 20197018956 A KR20197018956 A KR 20197018956A KR 102233755 B1 KR102233755 B1 KR 102233755B1
Authority
KR
South Korea
Prior art keywords
film
sic
substrate
gas
precursor
Prior art date
Application number
KR1020197018956A
Other languages
English (en)
Other versions
KR20190087605A (ko
Inventor
다이키 가토우
슈지 아즈모
유사쿠 가시와기
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20190087605A publication Critical patent/KR20190087605A/ko
Application granted granted Critical
Publication of KR102233755B1 publication Critical patent/KR102233755B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02488Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02491Conductive materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Abstract

피처리체에 SiC막을 ALD법에 의해 형성하는 성막 방법은, 활성화 가스를 플라스마화한 활성화 가스 플라스마에 의해, 피처리체의 표면을 활성화시키는 활성화 공정과, 당해 활성화 공정에 의해 표면이 활성화된 피처리체에, 화학식 RSiX1 3 또는 RSiHClX2로 표현되는 전구체를 포함하는 원료 가스를 공급해서 SiC막을 형성하는 막 형성 공정을 포함하고, R은 불포화 결합을 갖는 유기기이며, X1은 H, F, Cl, Br 및 I에서 선택되어 것이고, X2는 Cl, Br 및 I에서 선택되는 것이다.

Description

SiC막의 성막 방법
(관련 출원의 상호 참조)
본원은, 2016년 12월 9일에 일본에 출원된 일본 특허 출원 제2016-239716호에 기초하여, 우선권을 주장하고, 그 내용을 여기에 원용한다.
본 발명은 피처리체에 SiC막을 형성하는 성막 방법에 관한 것이다.
반도체 디바이스의 제조 공정에서는, 반도체 기판의 표면에 형성된 트렌치나 홀 등의 개구부에, 다양한 목적으로 막이 매립된다. 일례를 들면, 예를 들어 특허문헌 1에는, 소자간을 분리하는 데 있어서, 트렌치에 실리콘 산화막이나 실리콘 질화막을 매립하는 것이 개시되어 있다. 또한, 예를 들어 특허문헌 2에는, 홀 패턴의 반전을 행하기 위해서, 홀에 폴리실록산 조성물 막을 매립하는 것이 개시되어 있다.
한편, 최근의 반도체 디바이스의 미세화에 수반하여, 상술한 개구부에 대한 매립 막으로서, 실리콘 카바이트(SiC)막이 요망되고 있다.
SiC막의 성막 방법으로서는, 종래, 다양한 방법이 사용되고 있다. 예를 들어 CVD(Chemical Vapor Deposition)법에서는, 성막 대상의 기판을 가열하면서, 반응실 내에 카본 함유 가스 및 실리콘 함유 가스 등의 원료 가스를 공급하여, 당해 카본 함유 가스 및 실리콘 함유 가스를 열분해시켜 기판 상에서 반응시킴으로써, SiC막을 기판 상에 형성한다.
또한, 예를 들어 ALD(Atomic Layer Deposition)법에서는, 성막 대상의 기판을 가열하면서, 반응실 내에의 실리콘 함유 전구체의 공급, 반응실 내의 퍼지, 반응실 내에의 카본 함유 전구체의 공급, 반응실 내의 퍼지라는 사이클을 반복함으로써, 원자층을 1층씩 퇴적하여, SiC막을 기판 상에 형성한다.
일본 특허 공개2000-306992호 공보 국제 공개 WO2016/031563호 공보
그런데, 성막 대상의 기판에 디바이스가 형성되어 있는 경우, 그 디바이스를 보호하기 위해서, 저온, 예를 들어 400℃ 이하에서의 성막 처리가 요구되고 있다. 그러나, 상술한 종래의 CVD법이나 ALD법에서는, 700℃ 내지 1000℃ 또는 그 이상의 고온에서 성막 처리가 행해지기 때문에, 기판 상의 디바이스를 손상시킬 우려가 있다.
이와 같이, SiC막을 적절하게 형성하는 방법은 아직 확립되어 있지 않은 것이 현 상황이다.
본 발명은 이러한 점을 감안하여 이루어진 것이며, 피처리체에 SiC막을 적절하게 형성하는 것을 목적으로 한다.
상기 목적을 달성하기 위해서, 본 발명자가 예의 검토한 결과, 활성화 가스 플라스마에 의해 피처리체의 표면을 활성화하고, 그 후, 특정 구조를 갖는 전구체를 포함하는 원료 가스를 공급함으로써 저온이어도 SiC막이 형성되는 것을 알았다.
본 발명은, 이러한 지견에 기초해서 이루어진 것이며, 본 발명의 일 형태는, 피처리체에 SiC막을 ALD법에 의해 형성하는 성막 방법이며, 활성화 가스를 플라스마화한 활성화 가스 플라스마에 의해, 상기 피처리체의 표면을 활성화시키는 활성화 공정과, 표면이 활성화된 상기 피처리체에, 화학식 RSiX1 3 또는 RSiHClX2로 표현되는 전구체를 포함하는 원료 가스를 공급해서 SiC막을 형성하는 막 형성 공정을 포함한다. 여기서 상기 식 중, R은 불포화 결합을 갖는 유기기이며, X1은 H, F, Cl, Br 및 I에서 선택되는 것이고, X2는 Cl, Br 및 I에서 선택되는 것이다.
다른 관점에 의한 본 발명의 일 형태는, 피처리체에 SiC막을 열 CVD에 의해 형성하는 성막 방법이며, 상기 피처리체에 C 원자와 Si 원자에 의해 형성된 3원환을 갖는 전구체를 포함하는 원료 가스를 공급해서 SiC막을 형성하는 막 형성 공정을 포함한다.
본 발명에 따르면, 피처리체에 SiC막을 적절하게 형성할 수 있다.
도 1은 본 발명의 제1 실시 형태에 따른 성막 장치를 개략적으로 나타낸 종단면도이다.
도 2는 도 1의 성막 장치가 성막 대상으로 하는 기판의 일례를 도시하는 도면이다.
도 3은 도 1의 성막 장치에서의 성막 처리를 설명하는 흐름도이다.
도 4는 도 1의 성막 장치에서의 성막 처리를 설명하는 타이밍 차트이다.
도 5는 본 발명자들이 행한 시뮬레이션의 설명도이다.
도 6은 SiC 기판의 표면을 활성화시키지 않을 경우에, 전구체를 해당 표면에 결합시키는 데 필요한 에너지를 도시하는 도면이다.
도 7은 SiC 표면을 활성화시키는 데 필요한 에너지를 도시하는 도면이다.
도 8은 전구체로서의 통상의 직쇄상 분자를, 활성화된 SiC 기판 표면에 결합시키는 데 필요한 에너지를 도시하는 도면이다.
도 9는 SiC 기판과의 표면 반응에 의해 디메틸실란을 활성화해서 디메틸실란 라디칼을 얻는 데 필요한 에너지를 도시하는 도면이다.
도 10은 메틸실란 라디칼을, 활성화된 SiC 기판 표면에 결합시키는 데 필요한 에너지를 도시하는 도면이다.
도 11은 전구체로서의 다원환 구조를 포함하는 SiC계 분자를, 활성화된 SiC 기판 표면에 결합시키는 데 필요한 에너지를 도시하는 도면이다.
도 12는 전구체로서의 직쇄상의 불포화 결합을 갖는 SiC계 분자를, 활성화된 SiC 기판 표면에 결합시키는 데 필요한 에너지를 설명하는 도면이다.
도 13은 비닐트리클로로실란을 사용해서 성막된 SiC막의 표면에 비닐트리클로로실란을 결합시키는 데 필요한 에너지를 설명하는 도면이다.
도 14는 비닐트리클로로실란을 사용해서 성막된 SiC막의 표면을 활성화시키는 데 필요한 에너지를 도시하는 도면이다.
도 15는 본 발명의 제2 실시 형태에 따른 성막 장치를 개략적으로 나타낸 종단면도이다.
도 16은 본 발명의 제2 실시 형태에서 전구체로서 사용하는 것을 검토한, 다원환 구조를 포함하는 SiC계 분자의 구조를 도시하는 도면이다.
이하, 본 발명의 실시 형태에 대해서 도면을 참조하여 설명한다. 또한, 본 명세서 및 도면에서, 실질적으로 동일한 기능 구성을 갖는 구성 요소에 대해서는, 동일한 번호를 부여함으로써 중복 설명을 생략한다.
(제1 실시 형태)
도 1은, 본 발명의 제1 실시 형태에 따른 성막 장치를 개략적으로 나타낸 종단면도이다. 도 2는, 도 1의 성막 장치가 성막 대상으로 하는 기판의 일례를 도시하는 도면이다.
도 1의 성막 장치(1)는, 피처리체로서의 기판(W)에 SiC막을 ALD법, 보다 구체적으로는, 플라스마 인핸스드 ALD(PEALD)에 의해 SiC막을 기판(W)에 형성한다. 기판(W)은, 도 2의 (A)에 도시하는 바와 같이, 반도체 기판(F1) 상에 텅스텐막이나 실리콘 산화막(SiO2막) 등의 하층 막(F2)이 형성되고, 또한 그 위에 도 2의 (B)에 도시하는 바와 같이, SiC의 단층막(F3)이 형성된 기판이다. 성막 장치(1)는, 상기 SiC의 단층막(F3) 상에 성막을 행하여, 이에 의해 도 2의 (C)에 도시하는 바와 같이, 소정의 두께의 SiC막(F4)을 하층 막(F2) 상에 형성하는 것이다.
이 성막 장치(1)는, 바닥이 있고 상방이 개구된 대략 원통 형상의 처리 용기(10)와, 처리 용기(10) 내에 마련된, 기판(W)을 적재하는 적재대(11)를 갖고 있다. 처리 용기(10)는, 접지선(12)에 의해 전기적으로 접속되어 접지되어 있다. 또한, 처리 용기(10)의 내벽은, 예를 들어 표면에 내플라스마성의 재료로 이루어지는 용사 피막이 형성된 라이너(도시하지 않음)에 의해 덮여 있다.
적재대(11)는, 예를 들어 질화알루미늄(AlN) 등의 세라믹스에 의해 형성되어 있고, 그 표면에는 도전성 재료에 의한 피막(도시하지 않음)이 형성되어 있다. 적재대(11)의 하면은, 도전성 재료에 의해 형성된 지지 부재(13)에 의해 지지되고, 적재대(11)와 지지 부재(13)는 전기적으로 접속되어 있다. 지지 부재(13)의 하단은, 처리 용기(10)의 저면에 의해 지지되고, 처리 용기(10)와 전기적으로 접속되어 있다. 그 때문에, 적재대(11)는 처리 용기(10)를 통해서 접지되어 있고, 후술하는 상부 전극(30)과 쌍을 이루는 하부 전극으로서 기능한다. 또한, 하부 전극의 구성으로서는, 본 실시 형태의 내용에 한정되는 것은 아니며, 예를 들어 적재대(11) 내에 금속 메쉬 등의 도전성 부재를 매립해서 구성해도 된다.
적재대(11)에는, 전기 히터(20)가 내장되어 있어, 적재대(11)에 적재되는 기판(W)을 소정의 온도로 가열할 수 있다. 또한, 적재대(11)에는, 기판(W)의 외주부를 압박해서 적재대(11) 상에 고정하는 클램프 링(도시하지 않음)이나, 처리 용기(10)의 외부에 마련된 도시하지 않은 반송 기구와의 사이에서 기판(W)를 전달하기 위한 승강 핀(도시하지 않음)이 마련되어 있다.
하부 전극인 적재대(11)의 상방이며 처리 용기(10)의 내측면에는, 대략 원반 형상으로 형성된 상부 전극(30)이 당해 적재대(11)에 대향해서 평행하게 마련되어 있다. 바꾸어 말하면, 상부 전극(30)은, 적재대(11) 상에 적재된 기판(W)에 대향해서 배치되어 있다. 상부 전극(30)은, 예를 들어 니켈(Ni) 등의 도전성의 금속에 의해 형성되어 있다.
상부 전극(30)에는, 당해 상부 전극(30)을 두께 방향으로 관통하는 복수의 가스 공급 구멍(30a)이 형성되어 있다. 또한, 상부 전극(30)의 외주연부 전체 둘레에는, 상방으로 돌출되는 돌출부(30b)가 형성되어 있다. 즉, 상부 전극(30)은, 바닥이 있고 상부가 개구된 생략 원통 형상을 갖고 있다. 상부 전극(30)은, 이 돌출부(30b)의 외측면이 처리 용기(10)의 내측면과 소정의 거리만큼 이격하도록, 처리 용기(10)의 내경보다도 작으면서, 또한 상부 전극(30)에서의 적재대(11)와 대향하는 면이, 예를 들어 평면으로 보아 적재대(11) 상의 기판(W)의 전체면을 덮도록, 기판(W)보다도 큰 직경을 갖고 있다. 돌출부(30b)의 상단면에는, 대략 원반 형상의 덮개(31)가 접속되고, 당해 덮개(31)와 상부 전극(30)으로 둘러싸인 공간에 의해 가스 확산실(32)이 형성되어 있다. 덮개(31)도, 상부 전극(30)과 마찬가지로, 니켈 등의 도전성의 금속에 의해 형성되어 있다. 또한, 덮개(31)와 상부 전극(30)은, 일체로 구성되어 있어도 된다.
덮개(31) 상면의 외주부에는, 당해 덮개(31)의 외측을 향해서 돌출되는 걸림 지지부(31a)가 형성되어 있다. 걸림 지지부(31a)의 하면은, 처리 용기(10)의 상단부에 지지된, 원환 형상의 지지 부재(33)에 의해 보유 지지되어 있다. 지지 부재(33)는, 예를 들어 석영 등의 절연 재료에 의해 형성되어 있다. 그 때문에, 상부 전극(30)과 처리 용기(10)는 전기적으로 절연되어 있다. 또한, 덮개(31)의 상면에는, 전기 히터(34)가 마련되어 있다. 이 전기 히터(34)에 의해, 덮개(31) 및 당해 덮개(31)에 접속된 상부 전극(30)을 소정의 온도로 가열할 수 있다.
가스 확산실(32)에는, 덮개(31)를 관통해서 가스 공급관(50)이 접속되어 있다. 가스 공급관(50)에는, 도 1에 도시한 바와 같이 처리 가스 공급원(51)이 접속되어 있다. 처리 가스 공급원(51)으로부터 공급된 처리 가스는, 가스 공급관(50)을 통해서 가스 확산실(32)에 공급된다. 가스 확산실(32)에 공급된 처리 가스는, 가스 공급 구멍(30a)을 통해서 처리 용기(10) 내에 도입된다. 이 경우, 상부 전극(30)은, 처리 용기(10) 내에 처리 가스를 도입하는 샤워 플레이트로서 기능한다.
본 실시 형태에서의 처리 가스 공급원(51)은, SiC막의 성막용 원료 가스로서, 비닐트리클로로실란을 전구체로 하는 가스를 공급하는 원료 가스 공급부(52)와, 기판(W)의 표면을 활성화시키기 위한 활성화 가스로서 예를 들어 H2(수소) 가스를 공급하는 활성화 가스 공급부(53)와, 플라스마 생성용 희가스를 공급하는 희가스 공급부(54)를 갖고 있다. 희가스 공급부(54)로부터 공급되는 희가스로서는, 예를 들어 Ar(아르곤) 가스가 사용된다. 또한, 처리 가스 공급원(51)은, 퍼지용 N2(질소) 가스를 공급하는 퍼지 가스 공급부(55)를 갖고 있다. 또한, 처리 가스 공급원(51)은, 각 가스 공급부(52, 53, 54, 55)와 가스 확산실(32)의 사이에 각각 마련된 밸브(56)와, 유량 조정 기구(57)를 갖고 있다. 가스 확산실(32)에 공급되는 각 가스의 유량은, 유량 조정 기구(57)에 의해 제어된다.
덮개(31)에는, 당해 덮개(31)를 통해서 상부 전극(30)에 고주파 전력을 공급해서 플라스마를 생성하기 위한 고주파 전원(60)이 정합기(61)를 거쳐서 전기적으로 접속되어 있다. 고주파 전원(60)은, 예를 들어 100kHz 내지 100MHz의 주파수의 고주파 전력이 출력 가능하게 구성되어 있다. 정합기(61)는, 고주파 전원(60)의 내부 임피던스와 부하 임피던스를 매칭시키는 것으로, 처리 용기(10) 내에 플라스마가 생성되어 있을 때, 고주파 전원(60)의 내부 임피던스와 부하 임피던스가 외관상 일치하도록 작용한다.
처리 용기(10)의 저면에는, 처리 용기(10) 내를 배기하는 배기 기구(70)가 배기관(71)을 통해서 접속되어 있다. 배기관(71)에는, 배기 기구(70)에 의한 배기량을 조절하는 조절 밸브(72)가 마련되어 있다. 따라서, 배기 기구(70)를 구동함으로써, 배기관(71)을 통해서 처리 용기(10) 내의 분위기를 배기하여, 처리 용기(10) 내를 소정의 진공도까지 감압할 수 있다.
이상의 성막 장치(1)에는, 제어부(100)가 마련되어 있다. 제어부(100)는, 예를 들어 컴퓨터이며, 프로그램 저장부(도시하지 않음)를 갖고 있다. 프로그램 저장부에는, 전기 히터(20, 34)나 유량 조정 기구(57), 고주파 전원(60), 정합기(61), 배기 기구(70) 및 조절 밸브(72) 등의 각 기기를 제어하여, 성막 장치(1)를 동작시키기 위한 프로그램도 저장되어 있다.
또한, 상기 프로그램은, 예를 들어 컴퓨터 판독 가능한 하드 디스크(HD), 플렉시블 디스크(FD), 콤팩트 디스크(CD), 마그넷 옵티컬 디스크(MO), 메모리 카드 등의 컴퓨터에 판독 가능한 기억 매체에 기록되어 있던 것으로서, 그 기억 매체로부터 제어부(100)에 인스톨된 것이어도 된다.
본 실시 형태에 따른 성막 장치(1)는 이상과 같이 구성되어 있다. 이어서, 본 실시 형태에 따른 성막 장치(1)에서의, 기판(W) 상에 대한 SiC막의 성막 처리에 대해서 설명한다. 도 3 및 도 4는, 성막 장치(1)에서의 성막 처리를 설명하는 흐름도 및 타이밍 차트이다.
성막 처리에 있어서는, 우선, 도 3에 도시한 바와 같이, 처리 용기(10) 내에 기판(W)이 반입되고, 적재대(11) 상에 적재되어 보유 지지된다(스텝 S1).
기판(W)이 적재대(11)에 보유 지지되면, 배기 기구(70)에 의해 처리 용기(10) 내가 배기되어 기밀하게 보유 지지되고, 그와 함께, 가스의 공급 및 기판(W)의 가열이 개시된다(스텝 S2).
구체적으로는, 처리 가스 공급원(51)으로부터, H2 가스, Ar 가스, N2 가스가 각각 소정의 유량으로 처리 용기(10) 내에 공급된다. 이때, H2 가스의 유량은 대략 1 내지 10000sccm, Ar 가스의 유량은 대략 1 내지 1000sccm, N2 가스의 유량은 대략 1 내지 1000sccm이 되도록 각 유량 조정 기구(57)가 제어된다. 또한, 처리 용기(10) 내의 압력이, 예를 들어 13 내지 1330Pa이 되도록, 조절 밸브(72)의 개방도가 제어된다.
또한, 각 전기 히터(20, 34) 등에 의해, 상부 전극(30), 적재대(11) 상의 기판(W)이, 예를 들어 400℃로 가열 및 유지된다.
이어서, 기판(W)의 표면을 활성화한다(스텝 S3). 구체적으로는, 고주파 전원(60)에 의해 상부 전극(30)에 고주파 전력을 인가한다. 이에 의해, 처리 용기(10) 내에 공급된 H2 가스는, 상부 전극(30)과 하부 전극으로서 기능하는 적재대(11)의 사이에서 플라스마화되어, H, Ar의 라디칼에 의한 플라스마가 생성된다. 그리고, H 라디칼의 플라스마, 즉 활성화 플라스마에 의해, 기판(W)의 표면이 활성화된다.
이 활성화의 완료 후에는 고주파 전원(60)에 의한 상부 전극(30)에의 인가를 정지함과 함께, 도 4에 도시한 바와 같이, N2 가스의 공급을 유지한 채, H2 가스, Ar 가스의 공급을 정지하고, N2 가스에 의해 처리 용기(10) 내를 퍼지한다(스텝 S4).
퍼지 후, 새로운 SiC의 단층막이 형성된다(스텝 S5). 구체적으로는, 퍼지 후, 도 4에 도시한 바와 같이, N2 가스의 공급을 유지한 채, 비닐트리클로로실란을 전구체로서 포함하는 원료 가스를 처리 용기(10) 내에 공급한다. 이때, 원료 가스의 유량은 대략 1 내지 100sccm, N2 가스의 유량은 대략 1 내지 10000sccm이 되도록 각 유량 조정 기구(57)가 제어된다. 또한, 처리 용기(10) 내의 압력이, 예를 들어 13 내지 1330Pa이 되도록, 조절 밸브(72)의 개방도가 제어된다.
새로운 SiC의 단층막의 형성 후, 도 4에 도시한 바와 같이, N2 가스의 공급을 유지한 채, 원료 가스의 공급을 정지하고, N2 가스에 의해 처리 용기(10) 내를 퍼지한다(스텝 S6).
상기 스텝 S3 내지 S6의 조작을 반복함으로써 소정 막 두께의 SiC막을 기판(W)의 하층 막 상에 형성할 수 있다.
기판(W)에 대한 성막 처리가 종료되면, 처리 용기(10)로부터 기판(W)이 반출된다. 그리고, 처리 용기(10) 내에 새로운 기판(W)이 반입되어, 이 일련의 기판(W)에 대한 성막 처리가 반복해서 행하여진다.
이상과 같이, 본 실시 형태에서는, ALD법을 사용해서 저온에서 SiC막을 성막할 때, (1) H 라디칼의 플라스마에 의해 기판(W)의 표면을 활성화하고, (2) 원료 가스로서 비닐트리클로로실란의 가스를, 표면이 활성화된 기판(W)에 공급하여, 상기 표면에 SiC막을 형성한다. 이 방법은, 본 발명자들이 행한 시뮬레이션 해석에 의한 지견에 기초하는 것이다.
본 발명자들은, ALD법을 사용해서 저온에서 SiC막을 성막하는 데 있어서, 먼저, 전구체로서, 직쇄상의 불포화 결합을 포함하는 SiC계 분자, 다원환 구조를 포함하는 SiC계 분자, 불포화 결합도 다원환 구조도 포함하지 않는 통상의 직쇄상 SiC계 분자 중 어느 것을 사용하여, 저온의 기판(W)의 표면을 활성화시키지 않는 상태에서 성막이 가능한지, 시뮬레이션을 행하여 검토하였다.
도 5는, 본 발명자들이 행한 시뮬레이션의 설명도이다.
본 발명자들은, SiC막의 성막이 가능한지 검토하기 위해서, SiC 기판의 표면과 상술한 전구체가 결합하는 데 필요한 에너지를 시뮬레이션에 의해 계산하였다. 이 시뮬레이션을 포함하는 이하의 SiC 기판의 표면 반응에 관한 시뮬레이션에서는, SiC 기판의 표면을 도 5에 도시하는 바와 같이 C(탄소) 원자에 결합된 Si(실리콘) 원자가 H로 종단된 구조(CSi-H 구조)로 대표시켰다.
도 6은, SiC 기판의 표면을 활성화시키지 않을 경우에, 전구체를 해당 표면에 결합시키는 데 필요한 에너지를 설명하는 도면이다.
SiC 기판을 표면 처리하지 않을 경우, 즉 활성화시키지 않을 경우에 있어서, 도시한 바와 같이, 전구체로서 상기 통상의 직쇄상 SiC계 분자인 디메틸실란((CH3)2SiH2)을 사용하여, 해당 전구체를 SiC 기판 표면에 결합/흡착시킬 때, 천이 상태를 거칠 필요가 있다. 이 원계로부터 해당 천이 상태에 이르는 활성화 에너지, 즉 이 전구체를 SiC 기판 표면에 결합시키기 위한 에너지로서 3.35eV가 필요하다. 그러나, 이 에너지는, 400℃의 기판 온도에서 표면 반응을 얻기 위한 역치, 즉 400℃의 기판 온도에서 ALD법에 의해 SiC막을 성막하기 위한 역치인 0.75eV보다 매우 높다.
전구체로서, 직쇄상의 불포화 결합을 포함하는 SiC계 분자 또는 다원환 구조를 포함하는 SiC계 분자를 사용하는 경우도 마찬가지이다.
따라서, 상술한 전구체를 사용해서 SiC막을 성막하기 위해서는 SiC 기판 표면을 활성화시킬 필요가 있다고 생각된다.
이어서, 본 발명자들은, 활성화 가스 플라스마로서 H 라디칼의 플라스마를 사용해서 SiC 기판 표면을 활성화할 수 있는지 검토하였다.
도 7은, SiC 표면을 활성화시키는 데 필요한 에너지를 도시하는 도면이다.
도시한 바와 같이, H 라디칼의 플라스마를 사용해서 SiC 기판 표면을 활성화시키기 위해서는, 구체적으로는, SiC 기판 표면에 Si의 댕글링 본드를 형성하기 위해서는, 천이 상태를 거칠 필요가 있다. 이 천이 상태에 이르는 활성화 에너지, 즉 H 라디칼 플라스마에 의해 SiC 기판 표면을 활성화시키는 데 필요한 에너지는 0.03eV이다. 이 에너지는, 400℃의 기판 온도에서 표면 반응을 얻기 위한 역치인 0.75eV보다 매우 낮다.
따라서, H 라디칼의 플라스마를 사용해서 400℃의 SiC 기판 표면을 활성화시킬 수 있다.
이어서, 본 발명자들은, 전구체로서, 직쇄상의 불포화 결합을 포함하는 SiC계 분자, 다원환 구조를 포함하는 SiC계 분자, 불포화 결합도 다원환 구조도 포함하지 않는 통상의 직쇄상 SiC계 분자 중 어느 것을 사용하여, SiC 기판의 활성화된 표면에 SiC막을 성막 가능한지 검토하였다.
도 8은, 전구체로서의 상기 통상의 SiC계 분자를, 활성화된 SiC 기판 표면에 결합시키는 데 필요한 에너지를 설명하는 도면이다.
전구체로서 상기 통상의 직쇄상 SiC계 분자인 디메틸실란을 사용하여, 활성화된 SiC 기판 표면에 상기 전구체를 결합/흡착시킬 때, 천이 상태를 거칠 필요가 있다. 이 원계로부터 해당 천이 상태에 이르는 활성화 에너지, 즉 이 전구체를 SiC 기판 표면에 결합시키기 위한 에너지로서 1.13eV가 필요하다. 그러나, 이 에너지는, 400℃의 기판 온도에서 ALD법에 의해 SiC막을 성막하기 위한 역치인 0.75eV보다 높다.
따라서, 디메틸실란을 사용해서 SiC막을 성막하는 것은 어려운 것이라고 생각된다.
단, SiC 기판과의 표면 반응에 의해 디메틸실란을 활성화하고, 활성화해서 얻어지는 디메틸실란 라디칼을, 활성화된 SiC 표면에 결합시켜 성막할 수 있을 가능성이 있기 때문에, 이 점을 다음으로 검토하였다.
도 9는, SiC 기판과의 표면 반응에 의해 디메틸실란을 활성화해서 디메틸실란 라디칼을 얻는 데 필요한 에너지를 설명하는 도면이다.
상술한 바와 같이 디메틸 라디칼을 얻기 위해서는 도면의 천이 상태를 거칠 필요가 있다. 이 천이 상태에 이르는 활성화 에너지, 즉 SiC 기판과의 표면 반응에 의해 디메틸실란 라디칼을 얻는 데 필요한 에너지는 0.32eV이다. 이 에너지는, 400℃의 기판 온도에서 표면 반응을 얻기 위한 역치인 0.75eV보다 낮다.
따라서, 400℃의 SiC 기판과의 표면 반응에 의해 디메틸실란을 활성화해서 디메틸실란 라디칼을 얻을 수 있다.
도 10은, 디메틸실란 라디칼을, 활성화된 SiC 기판 표면에 결합시키는 데 필요한 에너지를 설명하는 도면이다.
시뮬레이션 결과에 의하면, 도시한 바와 같이, 400℃의 기판 온도가 있으면, 활성화된 SiC 기판 표면에 디메틸실란 라디칼을 결합시킬 수 있다.
따라서, 도 9 및 도 10을 사용해서 설명한 바와 같이, 400℃의 기판 온도라면, SiC 기판과의 표면 반응에 의해 디메틸실란을 활성화하고, 활성화해서 얻어지는 디메틸실란 라디칼을, 활성화된 SiC 기판 표면에 결합시켜 성막할 수 있다.
그러나, 이 방법은, 활성 사이트를 2개 소비하기 때문에, 효율적인 성막 방법이라고는 할 수 없다.
도 11은, 전구체로서의 다원환 구조를 포함하는 SiC계 분자를, 활성화된 SiC 기판 표면에 결합시키는 데 필요한 에너지를 설명하는 도면이다.
전구체로서, Si 원자와 C 원자를 포함하는 4원환 구조를 갖는 SiC계 분자인 C3H6SiCl2를 사용하여, 활성화된 SiC 기판 표면에 상기 전구체를 결합/흡착시킬 때, 천이 상태를 거칠 필요가 있다. 이 원계로부터 해당 천이 상태에 이르는 활성화 에너지, 즉 이 전구체를 SiC 기판 표면에 결합시키기 위한 에너지로서 1.05eV가 필요하다. 그러나, 이 에너지는, 400℃의 기판 온도에서 ALD법에 의해 SiC막을 성막하기 위한 역치인 0.75eV보다 높다.
따라서, C3H6SiCl2를 사용해서 SiC막을 성막하는 것은 어려운 것이라고 생각된다.
또한, C3H6SiCl2를 활성화하고, 활성화해서 얻어지는 라디칼을, 활성화된 SiC 기판 표면에 결합시켜 성막할 수 있다고 생각할 수 있지만, 디메틸실란 라디칼을 사용하는 경우와 마찬가지로, 이 방법은, 활성 사이트를 2개 소비하기 때문에, 효율적인 성막 방법이라고는 할 수 없다.
3원환 이상의 다원환 구조를 갖는 SiC계 분자라면, 상술한 점은, 4원환 구조를 갖는 SiC계 분자와 마찬가지이다.
도 12는, 전구체로서의 직쇄상의 불포화 결합을 갖는 SiC계 분자를, 활성화된 SiC 기판 표면에 결합시키는 데 필요한 에너지를 설명하는 도면이다.
시뮬레이션 결과에 의하면, 도시한 바와 같이, 400℃의 기판 온도가 있으면, 천이 상태를 거치지 않고, 활성화된 SiC 기판 표면에 전구체로서의 비닐트리클로로실란(C2H3SiCl3)을 결합시킬 수 있다.
따라서, 비닐트리클로로실란을 사용하면, 400℃라는 저온의 SiC 기판의 활성화된 표면 상에 SiC막을 성막할 수 있다.
또한, 도시한 바와 같이, 비닐트리클로로실란이 활성화된 SiC 기판 표면에 결합하면, 결합한 표면에 탄소의 활성 사이트(라디칼 사이트)가 형성된다.
그렇게 하면, 낮은 장벽 에너지 0.33eV로 표면 재구성이 일어나서, 상기 라디칼 사이트가 SiC 기판 표면의 Si 원자의 댕글링 본드로 치환된다. 바꾸어 말하면, 비닐트리클로로실란이 결합한 Si 원자와 인접하는, 수소 종단된 Si 원자이며, 비닐트리클로로실란이 결합하고 있지 않고 활성화되어 있지 않은 원자가 있으면, 이 Si 원자는 비닐트리클로로실란의 결합에 의해 형성된 라디칼 사이트에 의해 활성화된다. 이 라디칼 사이트에 의해 활성화된 Si 원자에 비닐트리클로로실란이 결합해 나가므로, 비닐트리클로로실란의 결합이 연쇄적으로 일어난다. 이 연쇄 반응은, 라디칼끼리 만나 재결합함으로써 정지된다. 이에 의해, SiC 기판 표면 전체에 비닐트리클로로실란의 단층막이 형성된다.
즉, 비닐트리클로로실란을 전구체로서 사용함으로써 활성화된 SiC 기판 표면과 전구체가 연쇄 반응으로 결합하기 때문에, SiC막을 빠르게 성막할 수 있다.
또한, 상기 표면 재구성은 단시간에 일어나기 때문에, 흡착된 비닐트리클로로실란에, 추가로 비닐트리클로로실란이 흡착될 가능성, 즉 다층 흡착이 일어날 가능성은 매우 낮다.
이어서, 본 발명자들은, 전구체로서 비닐트리클로로실란을 사용해서 SiC 기판의 활성화된 표면에 SiC막을 1층 성막한 후에, 2층째의 성막이 제한되는지, 즉, 전구체로서 비닐트리클로로실란을 사용한 경우에 자기 제어성(self-limiting)이 유지되는지 검토하였다.
도 13은, 비닐트리클로로실란을 사용해서 성막된 SiC막의 표면에 비닐트리클로로실란을 결합시키는 데 필요한 에너지를 설명하는 도면이다.
해당 필요한 에너지를 계산하는 시뮬레이션에서는, 비닐트리클로로실란을 사용해서 성막된 SiC막의 표면을, 도시한 바와 같이, C 원자에 결합된 Si 원자가 Cl(염소) 원자로 종단된 구조(CSi-Cl 구조)로 대표시켰다.
비닐트리클로로실란을 사용해서 성막된 SiC막의 표면(이하, CSi-Cl 구조 표면)에 전구체로서의 비닐트리클로로실란을 결합/흡착시킬 때, 천이 상태를 거칠 필요가 있다. 원계로부터 해당 천이 상태에 이르는 활성화 에너지, 즉 이 전구체를 CSi-Cl 구조 표면에 결합시키기 위한 에너지로서 2.75eV가 필요하다. 그러나, 이 에너지는, 400℃의 기판 온도에서 표면 반응을 얻기 위한 역치인 0.75eV보다 매우 높다.
따라서, 전구체로서 비닐트리클로로실란을 사용한 경우, 자기 제어성을 유지할 수 있다.
또한, 본 발명자들은, 전구체로서 비닐트리클로로실란을 사용해서 SiC 기판의 활성화된 표면에 성막된 SiC막의 표면을 활성화 가능한지 검토하였다.
도 14는, 비닐트리클로로실란을 사용해서 성막된 SiC막의 표면을 활성화시키는 데 필요한 에너지를 도시하는 도면이다.
도시한 바와 같이, H 라디칼의 플라스마를 사용해서 CSi-Cl 구조 표면을 활성화시키기 위해서는, 구체적으로는, Si 원자와 Si 원자를 표면 종단하는 Cl 원자와의 결합을 절단하기 위해서는, 천이 상태를 거칠 필요가 있다. 이 천이 상태에 이르는 활성화 에너지, 즉 H 라디칼 플라스마에 의해 CSi-Cl 구조 표면을 활성화시키는 데 필요한 에너지는 0.59eV이다. 이 에너지는, 400℃의 기판 온도에서 표면 반응을 얻기 위한 역치인 0.75eV보다 낮다.
따라서, 400℃의 기판 온도에서 H 라디칼의 플라스마를 사용해서 CSi-Cl 구조 표면을 활성화시킬 수 있다. 따라서, 기판 표면을 H 라디칼 플라스마로 활성화시켜 전구체로서 비닐트리클로로실란을 사용해서 성막함으로써, 필요에 따라 SiC막을 다층화해서 원하는 막 두께로 할 수 있다.
이상의 지견으로부터, 본 실시 형태에서는, (1) H 라디칼의 플라스마에 의해 기판(W)의 표면을 활성화하고, (2) 원료 가스로서 비닐트리클로로실란의 가스를, 표면이 활성화된 기판(W)에 공급하고 있다. 이에 의해, 낮은 기판 온도이어도, 기판(W)의 표면에 SiC막을 형성할 수 있다.
또한, 기판(W)의 표면의 활성화는, H 라디칼의 플라스마가 아니라, Ar, He(헬륨), N2의 플라스마에 의해 행해도 된다.
또한, 전구체는, 비닐트리클로로실란 대신에 비닐실란이어도 된다. 또한, 전구체는, 이들에 한정되지 않고, 화학식 RSiX1 3 또는 RSiHClX2로 표현되는 것이면 된다. 식 중, R은 직쇄상의 불포화 결합을 갖는 유기기, X1은 H, F, Cl, Br 및 I에서 선택되는 것, X2는 Cl, Br 및 I에서 선택되는 것이다.
이상의 설명은, SiC막에 대한 것인데, 본 실시 형태의 성막 방법은, GeC막이나 GeSiC막과 같은 다른 탄화 막의 성막에도 적용할 수 있다.
또한, GeC막이나 GeSiC막의 ALD 전구체에는, SiC막의 것과는 상이한, 불포화 결합을 갖는 쇄상의 유기 화합물로 이루어지는 것이 사용된다.
예를 들어, GeC막의 ALD 전구체에는, 화학식 RGeX1 3 또는 RGeHClX2로 표현되는 것이 사용된다. 식 중, R은 직쇄상의 불포화 결합을 갖는 유기기, X1은 H, F, Cl, Br 및 I에서 선택되는 것, X2는 Cl, Br 및 I에서 선택되는 것이다.
GeSiC막의 ALD 전구체에는, 예를 들어 화학식 RSiX1 2GeX2 3, RGeX1 2SiX2 3으로 표현되는 것이 사용된다. 식 중, R은 직쇄상의 불포화 결합을 갖는 유기기, X1 및 X2는 H, F, Cl, Br 및 I에서 선택되는 것이다.
(제2 실시 형태)
도 15는, 본 발명의 제2 실시 형태에 따른 성막 장치를 개략적으로 나타낸 종단면도이다.
도면의 성막 장치(2)는, 기판(W)에 SiC막을 열 CVD법에 의해 형성한다.
성막 장치(2)의 적재대(11)는, 도 1의 적재대(11)와 대략 동일하지만, 플라스마를 발생시키지 않으므로 하부 전극을 구성할 필요가 없기 때문에, 접지되어 있지 않아도 된다.
성막 장치(2)의 처리 용기(10)는, 도 1의 처리 용기(10)와 대략 동일하지만, 플라스마를 발생시키지 않으므로, 부호 30으로 나타나는 부재는 상부 전극으로서는 기능하지 않고 샤워 플레이트로서만 기능한다. 또한, 덮개(31)와 접지부를 절연할 필요가 없기 때문에 지지 부재(33)는 절연 재료로 형성하지 않아도 된다. 또한, 원료 가스를 가열할 필요가 없으면 전기 히터(34)는 마련하지 않아도 된다. 덮개(31)에는, 도 1의 것과는 달리, 고주파 전원(60) 등은 접속되어 있지 않다.
성막 장치(2)의 처리 가스 공급원(51)은, SiC막의 성막용 원료 가스로서, C 원자와 Si 원자에 의해 형성된 3원환을 갖는 C2H4SiH2를 전구체로 하는 가스를 공급하는 원료 가스 공급부(52)와, 퍼지용 N2 가스를 공급하는 퍼지 가스 공급부(55)를 갖고 있다. 또한, 처리 가스 공급원(51)은, 각 가스 공급부(52, 55)와 가스 확산실(32)의 사이에 각각 마련된 밸브(56)와, 유량 조정 기구(57)를 갖고 있다. 가스 확산실(32)에 공급되는 각 가스의 유량은, 유량 조정 기구(57)에 의해 제어된다.
성막 장치(2)에서의 성막 처리에 있어서는, 우선, 처리 용기(10) 내에 기판(W)이 반입되어, 적재대(11) 상에 적재되어서 보유 지지된다.
기판(W)이 적재대(11)에 보유 지지되면, 배기 기구(70)에 의해 처리 용기(10) 내가 배기되어 기밀하게 보유 지지된다. 그와 함께 처리 가스 공급원(51)으로부터, C2H4SiH2 가스, N2 가스가 각각 소정의 유량으로 처리 용기(10) 내에 공급된다. 이때, C2H4SiH2 가스의 유량은 대략 1 내지 100sccm, N2 가스의 유량은 대략 1 내지 10000sccm이 되도록 각 유량 조정 기구(57)가 제어된다. 또한, 처리 용기(10) 내의 압력이, 예를 들어 13 내지 1330Pa이 되도록, 조절 밸브(72)의 개방도가 제어된다.
그와 함께, 전기 히터(20) 등에 의해, 적재대(11) 상의 기판(W)을, 예를 들어 400℃로 가열 및 유지한다. 이어서 고주파 전원(60)에 의해 상부 전극(30)에 고주파 전력을 인가한다. 이에 의해, 기판(W)의 표면 상에 SiC막이 형성된다.
기판(W)에 대한 성막 처리가 종료되면, 처리 용기(10)로부터 기판(W)이 반출된다. 그리고, 처리 용기(10) 내에 새로운 기판(W)이 반입되어, 이 일련의 기판(W)에 대한 성막 처리가 반복해서 행하여진다.
이상과 같이, 본 실시 형태에서는, 원료 가스로서 3원환을 갖는 C2H4SiH2의 가스를 기판(W)에 공급하여, 400℃로 가열된 기판(W) 상에 SiC막을 열 CVD법에 의해 형성한다. 이 방법은, 본 발명자들이 행한 시뮬레이션 해석에 의한 지견에 기초하는 것이다.
본 실시 형태에서 사용하는 전구체로서, 직쇄상의 불포화 결합을 포함하는 SiC계 분자, 다원환 구조를 포함하는 SiC계 분자, 불포화 결합도 다원환 구조도 포함하지 않는 통상의 직쇄상 SiC계 분자를 검토하였다. 도 16은, 본 실시 형태에서 전구체로서 사용하는 것을 검토한, 다원환 구조를 포함하는 SiC계 분자의 구조를 도시하는 도면이다.
직쇄상의 불포화 결합도 다원환 구조도 포함하지 않는 통상의 직쇄상 SiC계 분자인 디에틸실란, 디메틸실란을 전구체로서 사용하여, CVD법에 의해 기판 상에 이들 분자를 퇴적시키기 위해서는, Si 원자에 결합하고 있는 2개의 H2 원자를 수소 분자(H2)로서 탈리시킬 필요가 있다. 이 탈리에는, 디에틸실란, 디메틸실란 각각에 있어서, 2.78eV, 2.81eV가 필요하다. 그러나, 이들 에너지는, 400℃의 기판 온도에서 CVD법에 의해 SiC막을 성막하기 위한 역치인 1.74eV보다 높다.
따라서, 디에틸실란 또는 디메틸실란을 전구체로서 사용해서 CVD법에 의해 SiC막을 성막하는 것은 어렵다.
직쇄상의 불포화 결합을 갖는 SiC계 분자인 비닐트리클로로실란, CH2=CH-CH=CH-SiCl3 또는 에티닐트리클로로실란을 전구체로서 사용하여, CVD법에 의해 기판 상에 이들 분자를 퇴적시키기 위해서는, 불포화 결합을 절단시킬 필요가 있다. 이 절단에는, 비닐트리클로로실란, CH2=CH-CH=CH-SiCl3, 에티닐트리클로로실란 각각에 있어서, 2.47eV, 2.12eV가 필요하다. 그러나, 이들 에너지는, 400℃의 기판 온도에서 CVD법에 의해 SiC막을 성막하기 위한 역치인 1.74eV보다 높다.
따라서, 비닐트리클로로실란, CH2=CH-CH=CH-SiCl3 또는 에티닐트리클로로실란을 전구체로서 사용해서 CVD법에 의해 SiC막을 성막하는 것은 어렵다.
또한, C 원자와 Si 원자에 의해 형성된 다원환을 갖는 SiC계 분자이며 도 16의 (A) 내지 (C)의 구조를 갖는 분자를 전구체로서 사용하여, CVD법에 의해 기판 상에 이들 분자를 퇴적시키기 위해서는, 각 분자의 C 원자간의 결합 또는 Si 원자와 C 원자의 사이의 결합을 절단할 필요가 있다.
도 16의 (A)의 6원환 구조의 분자(C5H10SiCl2)에 있어서, C 원자간의 결합 또는 Si 원자와 C 원자의 사이의 결합을 절단하기 위해서는, 3.40 내지 3.49eV의 에너지가 필요하다.
도 16의 (B)의 4원환 구조의 분자(C3H6SiCl2)에 있어서, C 원자간의 결합 또는 Si 원자와 C 원자의 사이의 결합을 절단하기 위해서는, 각각 2.41eV와 2.56eV의 에너지가 필요하다.
도 16의 (C)의 3원환 구조의 분자(C2H4SiCl2)에 있어서, C 원자간의 결합 또는 Si 원자와 C 원자의 사이의 결합을 절단하기 위해서는, 각각 1.46eV와 1.49eV의 에너지가 필요하다.
또한, 400℃의 기판 온도에서 CVD법에 의해 SiC막을 성막하기 위한 역치가 1.74eV이다.
따라서, 도 16의 (A) 및 도 16의 (B)의 구조를 갖는 분자를 전구체로서 사용하여, CVD법에 의해 SiC막을 제막하는 것은 어렵지만, 도 16의 (C)의 3원환 구조를 갖는 분자(C2H4SiCl2)를 전구체로서 사용함으로써, 400℃라는 저온이어도 SiC 기판 상에 SiC막을 열 CVD법에 의해 성막할 수 있다.
이상의 지견으로부터, 본 실시 형태에서는, C 원자와 Si 원자에 의해 형성된 3원환을 갖는 C2H4SiH2의 가스를 기판(W)에 공급하여, 400℃로 가열된 기판(W) 상에 SiC막을 열 CVD법에 의해 형성한다.
또한, 전구체는, C2H4SiH2에 한정되지 않고, 화학식 C2H4SiX2 또는 CH2Si2X4로 표현되는 것이면 된다. 식 중, X는 H, F, Cl, Br 및 I에서 선택되는 것이다.
이상의 설명은, SiC막에 관한 것이지만, 본 실시 형태의 성막 방법은, GeC막이나 GeSiC막과 같은 다른 탄화막의 성막에도 적용할 수 있다.
또한, GeC막이나 GeSiC막의 CVD 전구체에는, SiC막의 것과는 상이한, 3원환을 갖는 유기 화합물로 이루어지는 것이 사용된다.
예를 들어, GeSiC막의 CVD 전구체에는, C 원자와 Ge 원자에 의해 형성된 3원환을 갖는 유기 화합물, 예를 들어 화학식 C2H4SiX2 또는 CH2Si2X4로 표현되는 것이 사용된다. 식 중, X는 H, F, Cl, Br 및 I에서 선택되는 것이다.
GeSiC막의 CVD 전구체에는, C 원자와 Si 원자 또는 Ge 원자에 의해 형성된 3원환을 갖는 유기 화합물이며, 화학식 C2H4SiX1 2GeX2 2로 표현되는 것이 사용된다. 식 중, X1 및 X2는 각각 H, F, Cl, Br 및 I에서 선택되는 것이다.
또한, 이상의 실시 형태는, 평탄한 하층 막 상에 형성된 SiC의 단층막 상에 성막을 행하여 SiC막의 평탄막을 형성하는 경우뿐만 아니라, 트렌치나 홀을 갖는 하층 막에 대하여 형성된 SiC의 단층막 상에 SiC막을 매립할 경우 등에도 적용할 수 있다. 또한, 평탄막의 SiC막은, 예를 들어 에칭의 스톱재나 반사 방지막 등, 다양한 용도에 사용할 수 있다.
산업상 이용 가능성
본 발명은, 기판 표면에 성막 처리를 행하는 기판 처리 장치에 적용할 수 있다.
1, 2 : 성막 장치 10 : 처리 용기
11 : 적재대 20 : 전기 히터
30 : 상부 전극 30a : 가스 공급 구멍
50 : 가스 공급관 51 : 처리 가스 공급원
52 : 원료 가스 공급부 53 : 활성화 가스 공급부
60 : 고주파 전원

Claims (6)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 피처리체에 SiC막을 열 CVD에 의해 형성하는 성막 방법이며,
    상기 피처리체에, 적어도 하나의 C 원자와 적어도 하나의 Si 원자에 의해 형성된 3원환을 갖는 전구체를 포함하는 원료 가스를 공급해서 SiC막을 형성하는 막 형성 공정을 포함하는, 성막 방법.
  6. 제5항에 있어서,
    상기 전구체는, 화학식 C2H4SiX2 또는 CH2Si2X4로 표현되는 것인 성막 방법.
    상기 식 중, X는 H, F, Cl, Br 및 I에서 선택되는 것이다.
KR1020197018956A 2016-12-09 2017-11-16 SiC막의 성막 방법 KR102233755B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2016239716A JP6824717B2 (ja) 2016-12-09 2016-12-09 SiC膜の成膜方法
JPJP-P-2016-239716 2016-12-09
PCT/JP2017/041237 WO2018105349A1 (ja) 2016-12-09 2017-11-16 SiC膜の成膜方法

Publications (2)

Publication Number Publication Date
KR20190087605A KR20190087605A (ko) 2019-07-24
KR102233755B1 true KR102233755B1 (ko) 2021-03-29

Family

ID=62492014

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197018956A KR102233755B1 (ko) 2016-12-09 2017-11-16 SiC막의 성막 방법

Country Status (4)

Country Link
US (1) US11041239B2 (ko)
JP (1) JP6824717B2 (ko)
KR (1) KR102233755B1 (ko)
WO (1) WO2018105349A1 (ko)

Families Citing this family (236)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) * 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
KR20230021993A (ko) * 2021-08-06 2023-02-14 주성엔지니어링(주) SiC 기판의 제조 방법
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2024081221A1 (en) * 2022-10-14 2024-04-18 Applied Materials, Inc. Particle reduction in physical vapor deposition of amorphous silicon

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000306992A (ja) 1999-04-21 2000-11-02 Nec Corp 半導体装置の製造方法
WO2016031563A1 (ja) 2014-08-25 2016-03-03 日産化学工業株式会社 Socパターン上でのパターン反転のための被覆用組成物

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0755809B2 (ja) * 1988-03-14 1995-06-14 富士通株式会社 高炭素含有アモルファスシリコン膜の形成方法
JP2723548B2 (ja) * 1988-09-02 1998-03-09 三井東圧化学株式会社 炭素含有シリコン微結晶薄膜の形成法
JPH0282615A (ja) * 1988-09-20 1990-03-23 Nippon Telegr & Teleph Corp <Ntt> 半導体膜形成方法
JPH03101123A (ja) * 1989-09-13 1991-04-25 Agency Of Ind Science & Technol 非晶質系半導体膜の製造法
JPH05267190A (ja) * 1992-03-23 1993-10-15 Sharp Corp 半導体薄膜の製造方法
US20090130414A1 (en) * 2007-11-08 2009-05-21 Air Products And Chemicals, Inc. Preparation of A Metal-containing Film Via ALD or CVD Processes
WO2009119583A1 (ja) * 2008-03-26 2009-10-01 Jsr株式会社 化学気相成長法用材料ならびにケイ素含有絶縁膜およびその製造方法
US8993460B2 (en) * 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
JP6584326B2 (ja) * 2015-03-16 2019-10-02 東京エレクトロン株式会社 Cu配線の製造方法
SG11201807211XA (en) * 2016-02-26 2018-09-27 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing film
US11453943B2 (en) * 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000306992A (ja) 1999-04-21 2000-11-02 Nec Corp 半導体装置の製造方法
WO2016031563A1 (ja) 2014-08-25 2016-03-03 日産化学工業株式会社 Socパターン上でのパターン反転のための被覆用組成物

Also Published As

Publication number Publication date
JP2018098304A (ja) 2018-06-21
US11041239B2 (en) 2021-06-22
WO2018105349A1 (ja) 2018-06-14
US20200063262A1 (en) 2020-02-27
KR20190087605A (ko) 2019-07-24
JP6824717B2 (ja) 2021-02-03

Similar Documents

Publication Publication Date Title
KR102233755B1 (ko) SiC막의 성막 방법
US11127589B2 (en) Method of topology-selective film formation of silicon oxide
KR102510157B1 (ko) 반도체 패터닝 애플리케이션들을 위한 도핑된 ald 막들
US10847365B2 (en) Method of forming conformal silicon carbide film by cyclic CVD
US9812319B1 (en) Method for forming film filled in trench without seam or void
KR102606653B1 (ko) 고종횡비 트렌치들을 비정질 실리콘 막으로 갭충전하기 위한 2-단계 프로세스
KR101751599B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR20200033978A (ko) 유전체 막의 기하학적으로 선택적인 증착
KR101853802B1 (ko) 라디칼­성분 cvd에 의한 컨포멀 층들
KR101661104B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
TWI721271B (zh) 矽氮化膜之成膜方法及成膜裝置
KR20150053967A (ko) 저비용의 유동 가능한 유전체 필름들
US9613908B2 (en) Ultra-thin dielectric diffusion barrier and etch stop layer for advanced interconnect applications
KR20130115261A (ko) 컨포멀 막들의 플라즈마 활성화된 성막
JP2021520630A (ja) H2プラズマを用いた流動性膜の硬化
CN110265298B (zh) 半导体器件的制造方法、衬底处理装置
KR101998463B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치, 기록 매체 및 프로그램
US9269568B2 (en) Method of manufacturing semiconductor device using the same
KR20150075362A (ko) 콘택트층의 형성 방법
KR101759769B1 (ko) Ti막의 성막 방법
KR20160028963A (ko) 전기적 성질 및 uv 적합성이 향상된 배리어 막
JP2007273535A (ja) プラズマ原子層成長方法及び装置
KR20130070481A (ko) 탄화규소 에피 웨이퍼 제조 방법
TW201606116A (zh) 具低蝕刻率之氧化薄膜之沉積方法及半導體裝置
KR20230036979A (ko) 토폴로지-선택적 질화물 증착 방법 및 이를 사용하여 형성된 구조체

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant