JP2018098304A - SiC膜の成膜方法 - Google Patents

SiC膜の成膜方法 Download PDF

Info

Publication number
JP2018098304A
JP2018098304A JP2016239716A JP2016239716A JP2018098304A JP 2018098304 A JP2018098304 A JP 2018098304A JP 2016239716 A JP2016239716 A JP 2016239716A JP 2016239716 A JP2016239716 A JP 2016239716A JP 2018098304 A JP2018098304 A JP 2018098304A
Authority
JP
Japan
Prior art keywords
film
sic
substrate
gas
precursor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016239716A
Other languages
English (en)
Other versions
JP6824717B2 (ja
Inventor
加藤 大輝
Daiki Kato
大輝 加藤
秀司 東雲
Shuji Shinonome
秀司 東雲
勇作 柏木
Yusaku Kashiwagi
勇作 柏木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2016239716A priority Critical patent/JP6824717B2/ja
Priority to KR1020197018956A priority patent/KR102233755B1/ko
Priority to US16/467,746 priority patent/US11041239B2/en
Priority to PCT/JP2017/041237 priority patent/WO2018105349A1/ja
Publication of JP2018098304A publication Critical patent/JP2018098304A/ja
Application granted granted Critical
Publication of JP6824717B2 publication Critical patent/JP6824717B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02488Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02491Conductive materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

【課題】被処理体にSiC膜を適切に形成する。【解決手段】本発明にかかる成膜方法は、基板WにSiC膜をALD法により形成する方法である。本方法では、活性化ガス供給部53からのH4ガスをプラズマ化した活性化ガスプラズマにより、基板Wの表面を活性化させる活性化工程と、表面が活性化された基板Wに、前駆体としてのビニルトリクロロシランを含む原料ガスを原料ガス供給部52から供給しSiC膜を形成する膜形成工程と、を含む。【選択図】図1

Description

本発明は、被処理体にSiC膜を形成する成膜方法に関する。
半導体デバイスの製造工程においては、半導体基板の表面に形成されたトレンチやホールなどの開口部に、種々の目的で膜が埋め込まれる。一例を挙げると、例えば特許文献1には、素子間を分離するにあたり、トレンチにシリコン酸化膜やシリコン窒化膜を埋め込むことが開示されている。また、例えば特許文献2には、ホールパターンの反転を行うため、ホールにポリシロキサン組成物膜を埋め込むことが開示されている。
一方、近年の半導体デバイスの微細化に伴い、上述した開口部への埋め込み膜として、シリコンカーバイト(SiC)膜が所望されている。
SiC膜の成膜方法としては、従来、種々の方法が用いられている。例えばCVD(Chemical Vapor Deposition)法では、成膜対象の基板を加熱しつつ、反応室内にカーボン含有ガス及びシリコン含有ガスなどの原料ガスを供給し、当該カーボン含有ガス及びシリコン含有ガスを熱分解させ基板上で反応させることで、SiC膜を基板上に形成する。
また、例えばALD(Atomic Layer Deposition)法では、成膜対象の基板を加熱しつつ、反応室内へのシリコン含有前駆体の供給、反応室内のパージ、反応室内へのカーボン含有前駆体の供給、反応室内のパージというサイクルを繰り返すことで、原子層を一層ずつ堆積し、SiC膜を基板上に形成する。
特開2000−306992号公報 国際公開WO2016/031563号公報
ところで、成膜対象の基板にデバイスが形成されている場合、そのデバイスを保護するため、低温、例えば400℃以下での成膜処理が求められている。しかしながら、上述した従来のCVD法やALD法では、700℃〜1000℃若しくはそれ以上の高温で成膜処理が行われるため、基板上のデバイスを損傷させるおそれがある。
このように、SiC膜を適切に形成する方法はいまだ確立されていないのが現状である。
本発明は、かかる点に鑑みてなされたものであり、被処理体にSiC膜を適切に形成することを目的とする。
前記の目的を達成するため、本発明者が鋭意検討した結果、活性化ガスプラズマにより被処理体の表面を活性化し、その後、特定の構造を有する前駆体を含む原料ガスを供給することにより低温であってもSiC膜が形成されることが分かった。
本発明は、かかる知見に基づいてなされたものであり、本発明は、被処理体にSiC膜をALD法により形成する成膜方法であって、活性化ガスをプラズマ化した活性化ガスプラズマにより、前記被処理体の表面を活性化させる活性化工程と、表面が活性化された前記被処理体に、一般式RSiX またはRSiHClX(式中、Rは不飽和結合を有する有機基、XはH、F、Cl、Br及びIから選択され、XはCl、Br及びIから選択される)で表される前駆体を含む原料ガスを供給しSiC膜を形成する膜形成工程と、を含むことを特徴としている。
前記前駆体は、ビニルトリクロロシランまたはビニルシランであることが好ましい。
前記活性化ガスは、水素ガスであることが好ましい。
別な観点による本発明は、被処理体にSiC膜を熱CVDにより形成する成膜方法であって、前記被処理体にC原子とSi原子により形成された3員環を有する前駆体を含む原料ガスを供給しSiC膜を形成する膜形成工程、を含むことを特徴としている。
前記前駆体は、一般式CSiXまたはCHSi(式中、XはH、F、Cl、Br及びIから選択される)で表されることが好ましい。
本発明によれば、被処理体にSiC膜を適切に形成することができる。
本発明の第1の実施形態にかかる成膜装置を概略的に示した縦断面図である。 図1の成膜装置が成膜対象とする基板の一例を示す図である。 図1の成膜装置での成膜処理を説明するフローチャートである。 図1の成膜装置での成膜処理を説明するタイミングチャートである。 本発明者らが行ったシミュレーションの説明図である。 SiC基板の表面を活性化させない場合に、前駆体を該表面に結合させるのに必要なエネルギーを示す図である。 SiC表面を活性化させるのに必要なエネルギーを示す図である。 前駆体としての通常の直鎖状の分子を、活性化されたSiC基板表面に結合させるのに必要なエネルギーを示す図である。 SiC基板との表面反応によりジメチルシランを活性化しジメチルシランラジカルを得るのに必要なエネルギーを示す図である。 メチルシランラジカルを、活性化されたSiC基板表面に結合させるのに必要なエネルギーを示す図である。 前駆体としての多員環構造を含むSiC系分子を、活性化されたSiC基板表面に結合させるのに必要なエネルギーを示す図である。 前駆体としての直鎖状の不飽和結合を有するSiC系分子を、活性化されたSiC基板表面に結合させるのに必要なエネルギーを説明する図である。 ビニルトリクロロシランを用いて成膜されたSiC膜の表面にビニルトリクロロシランを結合させるのに必要なエネルギーを説明する図である。 ビニルトリクロロシランを用いて成膜されたSiC膜の表面を活性化させるのに必要なエネルギーを示す図である。 本発明の第2の実施形態にかかる成膜装置を概略的に示した縦断面図である。 本発明の第2の実施形態で前駆体として用いることを検討した、多員環構造を含むSiC系分子の構造を示す図である。
以下、本発明の実施の形態について図面を参照して説明する。なお、本明細書及び図面において、実質的に同一の機能構成を有する構成要素については、同一の符号を付することにより重複説明を省略する。
(第1の実施形態)
図1は、本発明の第1の実施形態にかかる成膜装置を概略的に示した縦断面図である。図2は、図1の成膜装置が成膜対象とする基板の一例を示す図である。
図1の成膜装置1は、被処理体としての基板WにSiC膜をALD法により形成し、より具体的には、プラズマエンハンスドALD(PEALD)によりSiC膜を基板Wに形成する。基板Wは、図2(A)に示すように、半導体基板F1の上にタングステン膜やシリコン酸化膜(SiO膜)等の下層膜F2が形成され、さらにその上に図2(B)に示すように、SiCの単層膜F3が形成された基板である。成膜装置1は、上記SiCの単層膜F3上に成膜を行い、これにより図2(C)に示すように、所定の厚さのSiC膜F4を下層膜F2上に形成するものである。
この成膜装置1は、有底で上方が開口した略円筒状の処理容器10と、処理容器10内に設けられた、基板Wを載置する載置台11と、を有している。処理容器10は、接地線12により電気的に接続されて接地されている。また、処理容器10の内壁は、例えば表面に耐プラズマ性の材料からなる溶射被膜が形成されたライナ(図示せず)により覆われている。
載置台11は、例えば窒化アルミ(AlN)等のセラミックスにより形成されており、その表面には導電性材料による被膜(図示せず)が形成されている。載置台11の下面は、導電性材料により形成された支持部材13により支持され、且つ電気的に接続されている。支持部材13の下端は、処理容器10の底面により支持され、且つ電気的に接続されている。そのため、載置台11は処理容器10を介して接地されており、後述する上部電極30と対をなす下部電極として機能する。なお、下部電極の構成としては、本実施の形態の内容に限定されるものではなく、例えば載置台11内に金属メッシュなどの導電性部材を埋め込んで構成してもよい。
載置台11には、電気ヒータ20が内蔵されており、載置台11に載置される基板Wを所定の温度に加熱することができる。また、載置台11には、基板Wの外周部を押圧して載置台11上に固定するクランプリング(図示せず)や、処理容器10の外部に設けられた図示しない搬送機構との間で基板Wを受け渡すための昇降ピン(図示せず)が設けられている。
下部電極である載置台11の上方であって処理容器10の内側面には、略円盤状に形成された上部電極30が当該載置台11に対向して平行に設けられている。換言すれば、上部電極30は、載置台11上に載置された基板Wに対向して配置されている。上部電極30は、例えばニッケル(Ni)などの導電性の金属により形成されている。
上部電極30には、当該上部電極30を厚み方向に貫通する複数のガス供給孔30aが形成されている。また、上部電極30の外周縁部全周には、上方に突出する突出部30bが形成されている。即ち、上部電極30は、有底で上部が開口した略円筒形状を有している。上部電極30は、この突出部30bの外側面が処理容器10の内側面と所定の距離だけ離間するように、処理容器10の内径よりも小さく、且つ上部電極30における載置台11と対向する面が、例えば平面視において載置台11上の基板Wの全面を覆うように、基板Wよりも大きな径を有している。突出部30bの上端面には、略円盤状の蓋体31が接続され、当該蓋体31と上部電極30とで囲まれた空間によりガス拡散室32が形成されている。蓋体31も、上部電極30と同様に、ニッケルなどの導電性の金属により形成されている。なお、蓋体31と上部電極30とは、一体に構成されていてもよい。
蓋体31上面の外周部には、当該蓋体31の外方に向けて突出する係止部31aが形成されている。係止部31aの下面は、処理容器10の上端部に支持された、円環状の支持部材33により保持されている。支持部材33は、例えば石英などの絶縁材料により形成されている。そのため、上部電極30と処理容器10とは電気的に絶縁されている。また、蓋体31の上面には、電気ヒータ34が設けられている。この電気ヒータ34により、蓋体31及び当該蓋体31に接続された上部電極30を所定の温度に加熱することができる。
ガス拡散室32には、蓋体31を貫通してガス供給管50が接続されている。ガス供給管50には、図1に示すように処理ガス供給源51が接続されている。処理ガス供給源51から供給された処理ガスは、ガス供給管50を介してガス拡散室32に供給される。ガス拡散室32に供給された処理ガスは、ガス供給孔30aを通じて処理容器10内に導入される。この場合、上部電極30は、処理容器10内に処理ガスを導入するシャワープレートとして機能する。
本実施の形態における処理ガス供給源51は、SiC膜の成膜用の原料ガスとして、ビニルトリクロロシランを前駆体とするガスを供給する原料ガス供給部52と、基板Wの表面を活性化させるための活性化ガスとして例えばH(水素)ガスを供給する活性化ガス供給部53と、プラズマ生成用の希ガスを供給する希ガス供給部54を有している。希ガス供給部54から供給される希ガスとしては、例えばAr(アルゴン)ガスが用いられる。また、処理ガス供給源51は、パージ用のN(窒素)ガスを供給するパージガス供給部55を有している。さらに、処理ガス供給源51は、各ガス供給部52、53、54、55とガス拡散室32との間にそれぞれ設けられたバルブ56と、流量調整機構57を有している。ガス拡散室32に供給される各ガスの流量は、流量調整機構57によって制御される。
蓋体31には、当該蓋体31を介して上部電極30に高周波電力を供給してプラズマを生成するための高周波電源60が整合器61を介して電気的に接続されている。高周波電源は、例えば100kHz〜100MHzの周波数の高周波電力が出力可能であるように構成されている。整合器61は、高周波電源60の内部インピーダンスと負荷インピーダンスをマッチングさせるものであり、処理容器10内にプラズマが生成されているときに、高周波電源60の内部インピーダンスと負荷インピーダンスとが見かけ上一致するように作用する。
処理容器10の底面には、処理容器10内を排気する排気機構70が排気管71を介して接続されている。排気管71には、排気機構70による排気量を調節する調節弁72が設けられている。したがって、排気機構70を駆動することにより、排気管71を介して処理容器10内の雰囲気を排気し、処理容器10内を所定の真空度まで減圧することができる。
以上の成膜装置1には、制御部100が設けられている。制御部100は、例えばコンピュータであり、プログラム格納部(図示せず)を有している。プログラム格納部には、電気ヒータ20、34や流量調整機構57、高周波電源60、整合器61、排気機構70及び調節弁72などの各機器を制御して、成膜装置1を動作させるためのプログラムも格納されている。
なお、上記のプログラムは、例えばコンピュータ読み取り可能なハードディスク(HD)、フレキシブルディスク(FD)、コンパクトディスク(CD)、マグネットオプティカルデスク(MO)、メモリーカードなどのコンピュータに読み取り可能な記憶媒体に記録されていたものであって、その記憶媒体から制御部100にインストールされたものであってもよい。
本実施の形態にかかる成膜装置1は以上のように構成されている。次に、本実施の形態にかかる成膜装置1における、基板W上へのSiC膜の成膜処理について説明する。図3及び図4は、成膜装置1での成膜処理を説明するフローチャート及びタイミングチャートである。
成膜処理にあたっては、先ず、図3に示すように、処理容器10内に基板Wが搬入され、載置台11上に載置されて保持される(ステップS1)。
基板Wが載置台11に保持されると、排気機構70により処理容器10内が排気され気密に保持され、それと共に、ガスの供給及び基板Wの加熱が開始される(ステップS2)。
具体的には、処理ガス供給源51から、Hガス、Arガス、Nガスがそれぞれ所定の流量で処理容器10内に供給される。この際、Hガスの流量は概ね1〜10000sccm、Arガスの流量は概ね1〜1000sccm、Nガスの流量は概ね1〜1000sccmとなるように各流量調整機構57が制御される。また、処理容器10内の圧力が、例えば13〜1330Paとなるように、調節弁72の開度が制御される。
また、各電気ヒータ20、34等により、上部電極30、載置台11上の基板Wが、例えば400℃に加熱及び維持される。
次いで、基板Wの表面を活性化する(ステップS3)。具体的には、高周波電源60により上部電極30に高周波電力を印加する。これにより、処理容器10内に供給されたHガスは、上部電極30と下部電極として機能する載置台11との間でプラズマ化され、H、Arのラジカルによるプラズマが生成される。そして、Hラジカルのプラズマすなわち活性化プラズマにより、基板Wの表面が活性化される。
この活性化の完了後は、高周波電源60による上部電極30への印加を停止すると共に、図4に示すように、Nガスの供給を維持したまま、Hガス、Arガスの供給を停止し、Nガスにより処理容器10内をパージする(ステップS4)。
パージ後、新しいSiCの単層膜が形成される(ステップS5)。具体的には、パージ後、図4に示すように、Nガスの供給を維持したまま、ビニルトリクロロシランを前駆体として含む原料ガスを処理容器10内へ供給する。この際、原料ガスの流量は概ね1〜100sccm、Nガスの流量は概ね1〜10000sccmとなるように各流量調整機構57が制御される。また、処理容器10内の圧力が、例えば13〜1330Paとなるように、調節弁72の開度が制御される。
新しいSiCの単層膜の形成後、図4に示すように、Nガスの供給を維持したまま、原料ガスの供給を停止し、Nガスにより処理容器10内をパージする(ステップS6)。
上記ステップS3〜S6の操作を繰り返すことにより所定膜厚のSiC膜を基板Wの下層膜上に形成することができる。
基板Wへの成膜処理が終了すると、処理容器10から基板Wが搬出される。そして、処理容器10内に新たな基板Wが搬入され、この一連の基板Wへの成膜処理が繰り返し行われる。
以上のように、本実施形態では、ALD法を用いて低温でSiC膜を成膜する際に、(1)Hラジカルのプラズマにより基板Wの表面を活性化し、(2)原料ガスとしてビニルトリクロロシランのガスを、表面が活性化された基板Wに供給し、上記表面にSiC膜を形成する。この手法は、本発明者らが行ったシミュレーション解析による知見に基づくものである。
本発明者らは、ALD法を用い低温でSiC膜を成膜するにあたり、まず、前駆体として、直鎖状の不飽和結合を含むSiC系分子、多員環構造を含むSiC系分子、不飽和結合も多員環構造も含まない通常の直鎖状のSiC系分子のいずれかを用いて、低温の基板Wの表面を活性化させない状態で成膜が可能であるか、シミュレーションを行い検討した。
図5は、本発明者らが行ったシミュレーションの説明図である。
本発明者らは、SiC膜の成膜が可能であるか検討するため、SiC基板の表面と上述の前駆体が結合するのに必要なエネルギーをシミュレーションにより計算した。このシミュレーションを含む以下のSiC基板の表面反応に係るシミュレーションでは、SiC基板の表面を図5に示すようにC(炭素)原子に結合されたSi(シリコン)原子がHで終端された構造(CSi−H構造)で代表させた。
図6は、SiC基板の表面を活性化させない場合に、前駆体を該表面に結合させるのに必要なエネルギーを説明する図である。
SiC基板を表面処理しない場合すなわち活性化させない場合において、図示するように、前駆体として上記通常の直鎖状のSiC系分子であるジメチルシラン((CHSiH)を用い、該前駆体をSiC基板表面に結合/吸着させるとき、遷移状態を経る必要がある。この原系から該遷移状態に至る活性化エネルギーすなわちこの前駆体をSiC基板表面に結合させるためのエネルギーとして3.35eVが必要である。しかし、このエネルギーは、400℃の基板温度で表面反応を得るための閾値、すなわち400℃の基板温度でALD法によりSiC膜を成膜するための閾値である0.75eVより非常に高い。
前駆体として、直鎖状の不飽和結合を含むSiC系分子または多員環構造を含むSiC系分子を用いる場合も同様である。
したがって、上述の前駆体を用いてSiC膜を成膜するにはSiC基板表面を活性化させる必要があると考えられる。
次に、本発明者らは、活性化ガスプラズマとしてHラジカルのプラズマを用いてSiC基板表面を活性化できるか検討した。
図7は、SiC表面を活性化させるのに必要なエネルギーを示す図である。
図示するように、Hラジカルのプラズマを用いてSiC基板表面を活性化させるには、具体的には、SiC基板表面にSiのダングリングボンドを形成するには、遷移状態を経る必要がある。この遷移状態に至る活性化エネルギーすなわちHラジカルプラズマによりSiC基板表面を活性化させるのに必要なエネルギーは0.03eVである。このエネルギーは、400℃の基板温度で表面反応を得るための閾値である0.75eVより極めて低い。
したがって、Hラジカルのプラズマを用いて400℃のSiC基板表面を活性化させることができる。
次いで、本発明者らは、前駆体として、直鎖状の不飽和結合を含むSiC系分子、多員環構造を含むSiC系分子、不飽和結合も多員環構造も含まない通常の直鎖状のSiC系分子のいずれかを用いて、SiC基板の活性化された表面にSiC膜を成膜が可能であるか検討した。
図8は、前駆体としての上記通常のSiC系分子を、活性化されたSiC基板表面に結合させるのに必要なエネルギーを説明する図である。
前駆体として上記通常の直鎖状のSiC系分子であるジメチルシランを用い、活性化されたSiC基板表面に上記前駆体を結合/吸着させるとき、遷移状態を経る必要がある。この原系から該遷移状態に至る活性化エネルギーすなわちこの前駆体をSiC基板表面に結合させるためのエネルギーとして1.13eVが必要である。しかし、このエネルギーは、400℃の基板温度でALD法によりSiC膜を成膜するための閾値である0.75eVより高い。
したがって、ジメチルシランを用いてSiC膜を成膜するのは難しいものと考えられる。
ただし、SiC基板との表面反応によりジメチルシランを活性化し、活性化して得られるジメチルシランラジカルを、活性化されたSiC表面に結合させ成膜できる可能性があるため、この点を次に検討した。
図9は、SiC基板との表面反応によりジメチルシランを活性化しジメチルシランラジカルを得るのに必要なエネルギーを説明する図である。
上述のようにジメチルラジカルを得るには図の遷移状態を経る必要がある。この遷移状態に至る活性化エネルギーすなわちSiC基板との表面反応によりジメチルシランラジカルを得るのに必要なエネルギーは0.32eVである。このエネルギーは、400℃の基板温度で表面反応を得るための閾値である0.75eVより低い。
したがって、400℃のSiC基板との表面反応によりジメチルシランを活性化しジメチルシランラジカルを得ることができる。
図10は、ジメチルシランラジカルを、活性化されたSiC基板表面に結合させるのに必要なエネルギーを説明する図である。
シミュレーション結果によれば、図示するように、400℃の基板温度があれば、活性化されたSiC基板表面にジメチルシランラジカルを結合させることができる。
したがって、図9及び図10を用いて説明したように、400℃の基板温度であれば、SiC基板との表面反応によりジメチルシランを活性化し、活性化して得られるジメチルシランラジカルを、活性化されたSiC基板表面に結合させ成膜することができる。
しかし、この方法は、活性サイトを2つ消費するため、効率的な成膜方法とは言えない。
図11は、前駆体としての多員環構造を含むSiC系分子を、活性化されたSiC基板表面に結合させるのに必要なエネルギーを説明する図である。
前駆体として、Si原子とC原子を含む4員環構造を有するSiC系分子であるCSiClを用い、活性化されたSiC基板表面に上記前駆体を結合/吸着させるとき、遷移状態を経る必要がある。この原系から該遷移状態に至る活性化エネルギーすなわちこの前駆体をSiC基板表面に結合させるためのエネルギーとして1.05eVが必要である。しかし、このエネルギーは、400℃の基板温度でALD法によりSiC膜を成膜するための閾値である0.75eVより高い。
したがって、CSiClを用いてSiC膜を成膜するのは難しいものと考えられる。
また、CSiClを活性化し、活性化して得られるラジカルを、活性化されたSiC基板表面に結合させ成膜することができると考えられるが、ジメチルシランラジカルを用いる場合と同様、この方法は、活性サイトを2つ消費するため、効率的な成膜方法とは言えない。
3員環以上の多員環構造を有するSiC系分子であれば、上述の点は、4員環構造を有するSiC系分子と同様である。
図12は、前駆体としての直鎖状の不飽和結合を有するSiC系分子を、活性化されたSiC基板表面に結合させるのに必要なエネルギーを説明する図である。
シミュレーション結果によれば、図示するように、400℃の基板温度があれば、遷移状態を経ずに、活性化されたSiC基板表面に前駆体としてのビニルトリクロロシラン(CSiCl)を結合させることができる。
したがって、ビニルトリクロロシランを用いれば、400℃という低温のSiC基板の活性化された表面上にSiC膜を成膜することができる。
また、図示するように、ビニルトリクロロシランが活性化されたSiC基板表面に結合すると、結合した表面に炭素の活性サイト(ラジカルサイト)が形成される。
そうすると、低い障壁エネルギー0.33eVで表面再構成が起こり、上記ラジカルサイトがSiC基板表面のSi原子のダングリングボンドに置き換えられる。言い換えると、ビニルトリクロロシランが結合したSi原子と隣接する、水素終端されたSi原子であって、ビニルトリクロロシランが結合しておらず活性化されていない原子があれば、このSi原子はビニルトリクロロシランの結合により形成されたラジカルサイトにより活性化される。このラジカルサイトにより活性化されたSi原子にビニルトリクロロシランが結合していくので、ビニルトリクロロシランの結合が連鎖的に起こる。この連鎖反応は、ラジカル同士が出合い再結合することで停止する。これにより、SiC基板表面全体にビニルトリクロロシランの単層膜が形成される。
つまり、ビニルトリクロロシランを前駆体として用いることで、活性化されたSiC基板表面と前駆体とが連鎖反応で結合するため、SiC膜を速く成膜することができる。
なお、上記表面再構成は短時間で起こるため、吸着されたビニルトリクロロシランに、更にビニルトリクロロシランが吸着する可能性、すなわち多層吸着が起きる可能性は極めて低い。
次に、本発明者らは、前駆体としてビニルトリクロロシランを用いSiC基板の活性化された表面にSiC膜を1層成膜した後に、2層目の成膜が制限されるか、つまり、前駆体としてビニルトリクロロシランを用いた場合に自己制御性(self-limiting)が保たれるか検討した。
図13は、ビニルトリクロロシランを用いて成膜されたSiC膜の表面にビニルトリクロロシランを結合させるのに必要なエネルギーを説明する図である。
該必要なエネルギーを計算するシミュレーションでは、ビニルトリクロロシランを用いて成膜されたSiC膜の表面を、図示するように、C原子に結合されたSi原子がCl(塩素)原子で終端された構造(CSi−Cl構造)で代表させた。
ビニルトリクロロシランを用いて成膜されたSiC膜の表面(以下、CSi−Cl構造表面)に前駆体としてのビニルトリクロロシランを結合/吸着させるとき、遷移状態を経る必要がある。原系から該遷移状態に至る活性化エネルギーすなわちこの前駆体をCSi−Cl構造表面に結合させるためのエネルギーとして2.75eVが必要である。しかし、このエネルギーは、400℃の基板温度で表面反応を得るための閾値である0.75eVより極めて高い。
したがって、前駆体としてビニルトリクロロシランを用いた場合、自己制御性を保つことができる。
また、本発明者らは、前駆体としてビニルトリクロロシランを用いSiC基板の活性化された表面に成膜されたSiC膜の表面を活性化可能であるか検討した。
図14は、ビニルトリクロロシランを用いて成膜されたSiC膜の表面を活性化させるのに必要なエネルギーを示す図である。
図示するように、Hラジカルのプラズマを用いてCSi−Cl構造表面を活性化させるには、具体的には、Si原子とSi原子を表面終端するCl原子との結合を切断するには、遷移状態を経る必要がある。この遷移状態に至る活性化エネルギーすなわちHラジカルプラズマによりCSi−Cl構造表面を活性化させるのに必要なエネルギーは0.59eVである。このエネルギーは、400℃の基板温度で表面反応を得るための閾値である0.75eVより低い。
したがって、400℃の基板温度においてHラジカルのプラズマを用いてCSi−Cl構造表面を活性化させることができる。よって、基板表面をHラジカルプラズマで活性化させ前駆体としてビニルトリクロロシランを用いて成膜することによって、必要に応じてSiC膜を多層化し所望の膜厚にすることができる。
以上の知見から、本実施形態では、(1)Hラジカルのプラズマにより基板Wの表面を活性化し、(2)原料ガスとしてビニルトリクロロシランのガスを、表面が活性化された基板Wに供給している。これにより、低い基板温度であっても、基板Wの表面にSiC膜を形成することができる。
なお、基板Wの表面の活性化は、Hラジカルのプラズマではなく、Ar、He(ヘリウム)、Nのプラズマによって行ってもよい。
また、前駆体は、ビニルトリクロロシランに代えてビニルシランであってもよい。また、前駆体は、これらに限られず、一般式RSiX またはRSiHClX(式中、Rは直鎖状の不飽和結合を有する有機基、XはH、F、Cl、Br及びIから選択され、XはCl、Br及びIから選択される)で表されるものであればよい。
以上の説明は、SiC膜についてのものであるが、本実施形態の成膜方法は、GeC膜やGeSiC膜といった他の炭化膜の成膜にも適用することができる。
なお、GeC膜やGeSiC膜のALD前駆体には、SiC膜のものとは異なる、不飽結合を有する鎖状の有機化合物から成るものが用いられる。
例えば、GeC膜のALD前駆体には、一般式RGeX またはRGeHClX(式中、Rは直鎖状の不飽和結合を有する有機基、XはH、F、Cl、Br及びIから選択され、XはCl、Br及びIから選択される)で表されるものが用いられる。
GeSiC膜のALD前駆体には、例えば、一般式RSiX GeX 、RGeX SiX (式中、Rは直鎖状の不飽和結合を有する有機基、X及びXはH、F、Cl、Br及びIから選択される)で表されるものが用いられる。
(第2の実施形態)
図15は、本発明の第2の実施形態にかかる成膜装置を概略的に示した縦断面図である。
図の成膜装置2は、基板WにSiC膜を熱CVD法により形成する。
成膜装置2の載置台11は、図1の載置台11と略同一であるが、プラズマを発生させないので下部電極を構成する必要がないため、接地されていなくてもよい。
成膜装置2の処理容器10は、図1の処理容器10と略同一であるが、プラズマを発生させないので、符号30で示される部材は上部電極としては機能せずシャワープレートとしてのみ機能する。また、蓋体31と接地部とを絶縁する必要がないため支持部材33は絶縁材料で形成しなくてもよい。さらに、原料ガスを加熱する必要がなければ電気ヒータ34は設けなくてもよい。蓋体31には、図1のものとは異なり、高周波電源60等は接続されていない。
成膜装置2の処理ガス供給源51は、SiC膜の成膜用の原料ガスとして、C原子とSi原子により形成された3員環を有するCSiHを前駆体とするガスを供給する原料ガス供給部52と、パージ用のNガスを供給するパージガス供給部55を有している。さらに、処理ガス供給源51は、各ガス供給部52、55とガス拡散室32との間にそれぞれ設けられたバルブ56と、流量調整機構57を有している。ガス拡散室32に供給される各ガスの流量は、流量調整機構57によって制御される。
成膜装置2での成膜処理にあたっては、先ず、処理容器10内に基板Wが搬入され、載置台11上に載置されて保持される。
基板Wが載置台11に保持されると、排気機構70により処理容器10内が排気され気密に保持される。それと共に処理ガス供給源51から、CSiHガス、Nガスがそれぞれ所定の流量で処理容器10内に供給される。この際、CSiHガスの流量は概ね1〜100sccm、Nガスの流量は概ね1〜10000sccmとなるように各流量調整機構57が制御される。また、処理容器10内の圧力が、例えば13〜1330Paとなるように、調節弁72の開度が制御される。
それと共に、電気ヒータ20等により、載置台11上の基板Wを、例えば400℃に加熱及び維持する。次いで高周波電源60により上部電極30に高周波電力を印加する。これにより、基板Wの表面上にSiC膜が形成される。
基板Wへの成膜処理が終了すると、処理容器10から基板Wが搬出される。そして、処理容器10内に新たな基板Wが搬入され、この一連の基板Wへの成膜処理が繰り返し行われる。
以上のように、本実施形態では、原料ガスとして3員環を有するCSiHのガスを基板Wに供給し、400℃に加熱された基板W上にSiC膜を熱CVD法により形成する。この手法は、本発明者らが行ったシミュレーション解析による知見に基づくものである。
本実施形態で用いる前駆体として、直鎖状の不飽和結合を含むSiC系分子、多員環構造を含むSiC系分子、不飽和結合も多員環構造も含まない通常の直鎖状のSiC系分子を検討した。図16は、本実施形態で前駆体として用いることを検討した、多員環構造を含むSiC系分子の構造を示す図である。
直鎖状の不飽和結合も多員環構造も含まない通常の直鎖状のSiC系分子であるジエチルシラン、ジメチルシランを前駆体として用い、CVD法により基板上にこれらの分子を堆積させるには、Si原子に結合している2つのH原子を水素分子(H)として脱離させる必要がある。この脱離には、ジエチルシラン、ジメチルシランそれぞれにおいて、2.78eV、2.81eVが必要である。しかし、こられのエネルギーは、400℃の基板温度でCVD法によりSiC膜を成膜するための閾値である1.74eVより高い。
したがって、ジエチルシランまたはジメチルシランを前駆体として用いてCVD法によりSiC膜を成膜することは難しい。
直鎖状の不飽和結合を有するSiC系分子であるビニルトリクロロシラン、CH=CH−CH=CH−SiClまたはエチニルトリクロロシランを前駆体として用い、CVD法により基板上にこれらの分子を堆積させるには、不飽和結合を切断させる必要がある。この切断には、ビニルトリクロロシラン、CH=CH−CH=CH−SiCl、エチニルトリクロロシランそれぞれにおいて、2.47eV、2.12eVが必要である。しかし、これらのエネルギーは、400℃の基板温度でCVD法によりSiC膜を成膜するための閾値である1.74eVより高い。
したがって、ビニルトリクロロシラン、CH=CH−CH=CH−SiClまたはエチニルトリクロロシランを前駆体として用いてCVD法によりSiC膜を成膜することは難しい。
また、C原子とSi原子により形成された多員環を有するSiC系分子であって図16(A)〜(C)の構造を有する分子を前駆体として用い、CVD法により基板上にこれらの分子を堆積させるには、各分子のC原子間の結合またはSi原子とC原子との間の結合を切断する必要がある。
図16(A)の6員環構造の分子(C10SiCl)において、C原子間の結合またはSi原子とC原子との間の結合を切断するには、3.40〜3.49eVのエネルギーが必要である。
図16(B)の4員環構造の分子(CSiCl)において、C原子間の結合またはSi原子とC原子との間の結合を切断するには、それぞれ2.41eVと2.56eVのエネルギーが必要である。
図16(C)の3員環構造の分子(CSiCl)において、C原子間の結合またはSi原子とC原子との間の結合を切断するには、それぞれ1.46eVと1.49eVのエネルギーが必要である。
また、400℃の基板温度でCVD法によりSiC膜を成膜するための閾値が1.74eVである。
したがって、図16(A)及び図16(B)の構造を有する分子を前駆体として用い、CVD法によりSiC膜を製膜することは難しいが、図16(C)の3員環構造を有する分子(CSiCl)を前駆体として用いることによって、400℃という低温であってもSiC基板上にSiC膜を熱CVD法により成膜することができる。
以上の知見から、本実施形態では、C原子とSi原子により形成された3員環を有するCSiHのガスを基板Wに供給し、400℃に加熱された基板W上にSiC膜を熱CVD法により形成する。
なお、前駆体は、CSiHに限られず、一般式CSiXまたはCHSi(式中、XはH、F、Cl、Br及びIから選択される)で表されるものであればよい。
以上の説明は、SiC膜についてのものであるが、本実施形態の成膜方法は、GeC膜やGeSiC膜といった他の炭化膜の成膜にも適用することができる。
なお、GeC膜やGeSiC膜のCVD前駆体には、SiC膜のものとは異なる、3員環を有する有機化合物から成るものが用いられる。
例えば、GeSiC膜のCVD前駆体には、C原子とGe原子により形成された3員環を有する有機化合物、例えば、一般式CSiXまたはCHSi(式中、XはH、F、Cl、Br及びIから選択される)で表されるものが用いられる。
GeSiC膜のCVD前駆体には、C原子とSi原子またはGe原子とにより形成された3員環を有する有機化合物であって、一般式CSiX GeX (式中、X及びXはそれぞれH、F、Cl、Br及びIから選択される)で表されるものが用いられる。
なお、以上の実施の形態は、平坦な下層膜上に形成されたSiCの単層膜の上に成膜を行いSiC膜の平坦膜を形成する場合だけでなく、トレンチやホールを有する下層膜に対し形成されたSiCの単層膜上にSiC膜を埋め込む場合等にも適用することができる。なお、平坦膜のSiC膜は、例えばエッチングのストップ材や反射防止膜など、種々の用途に用いることができる。
本発明は、基板表面に成膜処理を行う基板処理装置に適用できる。
1,2…成膜装置
10…処理容器
11…載置台
20…電気ヒータ
30…上部電極
30a…ガス供給孔
50…ガス供給管
51…処理ガス供給源
52…原料ガス供給部
53…活性化ガス供給部
60…高周波電源

Claims (5)

  1. 被処理体にSiC膜をALD法により形成する成膜方法であって、
    活性化ガスをプラズマ化した活性化ガスプラズマにより、前記被処理体の表面を活性化させる活性化工程と、
    表面が活性化された前記被処理体に、一般式RSiX またはRSiHClX(式中、Rは不飽和結合を有する有機基、XはH、F、Cl、Br及びIから選択され、XはCl、Br及びIから選択される)で表される前駆体を含む原料ガスを供給しSiC膜を形成する膜形成工程と、を含むことを特徴とする成膜方法。
  2. 前記前駆体は、ビニルトリクロロシランまたはビニルシランであることを特徴とする請求項1に記載の成膜方法。
  3. 前記活性化ガスは、水素ガスを含むことを特徴とする請求項1または2に記載の成膜方法。
  4. 被処理体にSiC膜を熱CVDにより形成する成膜方法であって、
    前記被処理体に、C原子とSi原子により形成された3員環を有する前駆体を含む原料ガスを供給しSiC膜を形成する膜形成工程、を含むことを特徴とする成膜方法。
  5. 前記前駆体は、一般式CSiXまたはCHSi(式中、XはH、F、Cl、Br及びIから選択される)で表されることを特徴とする請求項4に記載の成膜方法。
JP2016239716A 2016-12-09 2016-12-09 SiC膜の成膜方法 Active JP6824717B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2016239716A JP6824717B2 (ja) 2016-12-09 2016-12-09 SiC膜の成膜方法
KR1020197018956A KR102233755B1 (ko) 2016-12-09 2017-11-16 SiC막의 성막 방법
US16/467,746 US11041239B2 (en) 2016-12-09 2017-11-16 Film forming method for SiC film
PCT/JP2017/041237 WO2018105349A1 (ja) 2016-12-09 2017-11-16 SiC膜の成膜方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2016239716A JP6824717B2 (ja) 2016-12-09 2016-12-09 SiC膜の成膜方法

Publications (2)

Publication Number Publication Date
JP2018098304A true JP2018098304A (ja) 2018-06-21
JP6824717B2 JP6824717B2 (ja) 2021-02-03

Family

ID=62492014

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016239716A Active JP6824717B2 (ja) 2016-12-09 2016-12-09 SiC膜の成膜方法

Country Status (4)

Country Link
US (1) US11041239B2 (ja)
JP (1) JP6824717B2 (ja)
KR (1) KR102233755B1 (ja)
WO (1) WO2018105349A1 (ja)

Families Citing this family (243)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) * 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
KR20230021993A (ko) * 2021-08-06 2023-02-14 주성엔지니어링(주) SiC 기판의 제조 방법
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20240128075A1 (en) * 2022-10-14 2024-04-18 Applied Materials, Inc. Particle Reduction in Physical Vapor Deposition of Amorphous Silicon

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01234314A (ja) * 1988-03-14 1989-09-19 Fujitsu Ltd 高炭素含有アモルファスシリコン膜の形成方法
JPH0267720A (ja) * 1988-09-02 1990-03-07 Mitsui Toatsu Chem Inc 炭素含有シリコン微結晶薄膜の形成法
JPH0282615A (ja) * 1988-09-20 1990-03-23 Nippon Telegr & Teleph Corp <Ntt> 半導体膜形成方法
JPH03101123A (ja) * 1989-09-13 1991-04-25 Agency Of Ind Science & Technol 非晶質系半導体膜の製造法
JPH05267190A (ja) * 1992-03-23 1993-10-15 Sharp Corp 半導体薄膜の製造方法
JP2014143416A (ja) * 2013-01-10 2014-08-07 Novellus Systems Incorporated 有機金属共反応物を用いた交差メタセシス反応によりSiCおよびSiCN膜を成膜するための装置及び方法
JP2016174141A (ja) * 2015-03-16 2016-09-29 東京エレクトロン株式会社 Cu配線の製造方法
JP2019507956A (ja) * 2016-02-26 2019-03-22 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素含有膜の堆積のための組成物及びそれを使用した方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3450221B2 (ja) 1999-04-21 2003-09-22 Necエレクトロニクス株式会社 半導体装置の製造方法
US20090130414A1 (en) * 2007-11-08 2009-05-21 Air Products And Chemicals, Inc. Preparation of A Metal-containing Film Via ALD or CVD Processes
JPWO2009119583A1 (ja) * 2008-03-26 2011-07-28 Jsr株式会社 化学気相成長法用材料ならびにケイ素含有絶縁膜およびその製造方法
SG11201701362UA (en) 2014-08-25 2017-04-27 Nissan Chemical Ind Ltd Coating composition for pattern reversal on soc pattern
US11453943B2 (en) * 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01234314A (ja) * 1988-03-14 1989-09-19 Fujitsu Ltd 高炭素含有アモルファスシリコン膜の形成方法
JPH0267720A (ja) * 1988-09-02 1990-03-07 Mitsui Toatsu Chem Inc 炭素含有シリコン微結晶薄膜の形成法
JPH0282615A (ja) * 1988-09-20 1990-03-23 Nippon Telegr & Teleph Corp <Ntt> 半導体膜形成方法
JPH03101123A (ja) * 1989-09-13 1991-04-25 Agency Of Ind Science & Technol 非晶質系半導体膜の製造法
JPH05267190A (ja) * 1992-03-23 1993-10-15 Sharp Corp 半導体薄膜の製造方法
JP2014143416A (ja) * 2013-01-10 2014-08-07 Novellus Systems Incorporated 有機金属共反応物を用いた交差メタセシス反応によりSiCおよびSiCN膜を成膜するための装置及び方法
JP2016174141A (ja) * 2015-03-16 2016-09-29 東京エレクトロン株式会社 Cu配線の製造方法
JP2019507956A (ja) * 2016-02-26 2019-03-22 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素含有膜の堆積のための組成物及びそれを使用した方法

Also Published As

Publication number Publication date
KR20190087605A (ko) 2019-07-24
US20200063262A1 (en) 2020-02-27
KR102233755B1 (ko) 2021-03-29
JP6824717B2 (ja) 2021-02-03
US11041239B2 (en) 2021-06-22
WO2018105349A1 (ja) 2018-06-14

Similar Documents

Publication Publication Date Title
WO2018105349A1 (ja) SiC膜の成膜方法
TWI804706B (zh) 氧化矽之拓撲選擇性膜形成之方法
US10699903B2 (en) Two-step process for gapfilling high aspect ratio trenches with amorphous silicon film
US9640387B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
KR102474327B1 (ko) 반도체 패터닝 애플리케이션을 위한 고 건식 에칭 레이트 재료들
JP4893729B2 (ja) 成膜方法、成膜装置及び記憶媒体
WO2018089534A1 (en) Method for high modulus ald sio2 spacer
US20230207312A1 (en) Graphene structure and method of forming graphene structure
WO2006109735A1 (ja) 成膜方法及び成膜装置
US9382625B2 (en) Remote plasma source based cyclic CVD process for nanocrystalline diamond deposition
TW201900919A (zh) 矽氮化膜之成膜方法及成膜裝置
US10774421B2 (en) Semiconductor device manufacturing method, substrate processing apparatus and recording medium
KR20150075362A (ko) 콘택트층의 형성 방법
WO2016099755A1 (en) Ultra-thin dielectric diffusion barrier and etch stop layer for advanced interconnect applications
JP2018059173A (ja) 成膜方法
JP7253943B2 (ja) 六方晶窒化ホウ素膜を形成する方法および装置
JP6431962B2 (ja) 単層膜が媒介する高精度の膜堆積
JP2021118347A (ja) エッチング方法、基板処理装置、及び基板処理システム
JP2007273535A (ja) プラズマ原子層成長方法及び装置
KR20190141256A (ko) 기판들 및 챔버 컴포넌트들 상에서의 금속 실리사이드 층들의 증착
KR102669344B1 (ko) 육방정 질화붕소막을 형성하는 방법 및 장치
WO2021193164A1 (ja) 炭化ケイ素含有膜を形成する方法及び装置
WO2022044817A1 (ja) 成膜方法および成膜装置
TW201606116A (zh) 具低蝕刻率之氧化薄膜之沉積方法及半導體裝置

Legal Events

Date Code Title Description
RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20190201

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20191021

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20201215

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210113

R150 Certificate of patent or registration of utility model

Ref document number: 6824717

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250