JP2016503966A - 高密度プラズマを実施する窒化ケイ素間隙充填 - Google Patents

高密度プラズマを実施する窒化ケイ素間隙充填 Download PDF

Info

Publication number
JP2016503966A
JP2016503966A JP2015551688A JP2015551688A JP2016503966A JP 2016503966 A JP2016503966 A JP 2016503966A JP 2015551688 A JP2015551688 A JP 2015551688A JP 2015551688 A JP2015551688 A JP 2015551688A JP 2016503966 A JP2016503966 A JP 2016503966A
Authority
JP
Japan
Prior art keywords
silicon nitride
nitride layer
watts
substrate
density plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2015551688A
Other languages
English (en)
Inventor
チョン チャン ファ,
チョン チャン ファ,
ヒエン ミン リ,
ヒエン ミン リ,
ヨン リー,
ヨン リー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2016503966A publication Critical patent/JP2016503966A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02301Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment in-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes

Abstract

高密度プラズマ化学気相堆積を使用して、特徴を窒化ケイ素で充填する方法が説明される。狭いトレンチは、圧縮応力を損傷することなく、間隙充填窒化ケイ素で充填され得る。低いがゼロではないバイアス電力が、間隙充填窒化ケイ素の堆積中に使用される。通常は高いバイアス電力により供給されるであろうスパッタリングを供給するために、各対の窒化ケイ素高密度プラズマ堆積ステップ間に、エッチングステップが含まれる。【選択図】図1

Description

[0001] 本出願は、「SILICON NITRIDE GAPFILL IMPLEMENTING HIGH DENSITY PLASMA」と題する2013年1月11日出願の米国仮特許出願第61/751,629号だけではなく、「METAL PROCESSING USING HIGH DENSITY PLASMA」と題する2013年1月2日出願の米国仮特許出願の第61/748,276号の利益を主張する2013年1月29日出願の米国非仮特許出願第13/752,769号に対する優先権を主張する。上記出願の各々は、あらゆる目的のために参照することによって本明細書中に全体として援用される。
[0002] 半導体デバイスの形状寸法は、数十年前の導入以来、サイズが著しく縮小している。現代の半導体製造機器は、32nm、28nm及び22nmの特徴サイズを有するデバイスを定期的に製作し、さらに小さな形状寸法を有するデバイスをつくるために、新たな機器が開発及び実施されている。縮小する特徴サイズにより、短縮される空間的寸法を有するデバイスに構造的特徴がもたらされる。間隙の深さの幅に対するアスペクト比が、間隙を誘電体材料で充填することを困難にするほど十分に高くなる場合、デバイスの間隙及びトレンチの幅は、ある程度狭くなる。堆積誘電体材料は、間隙が完全に充填される前に上部で詰まる傾向があるため、間隙の中央にボイド又はシームが製作される。
[0003] 間隙をボイドレスに充填する従来の方法は、化学気相堆積(CVD)などの前駆体の気相導入を含む。熱CVDプロセスは、表面からの熱が膜を形成するために化学反応を誘導する基板表面に反応性ガスを供給する。堆積速度及びいくつかの膜特性の改善は、化学反応を促進するためにプラズマ源を使用することを通して実現された。プラズマCVD(「PECVD」)技術は、基板表面に近接する反応ゾーンへの高周波(「RF」)エネルギーの適用によって、反応性ガスの励起、分離及びイオン化を促進し、これによりプラズマを発生させる。プラズマの核種の高い反応性により、化学反応を促進するために必要なエネルギーは低下する。高密度プラズマ(「HDP」)CVD技術は、基板に対してプラズマにバイアスをかけることができるように構成される。バイアスは、イオン化した核種を基板に向け、間隙充填特性を強化する。HDP−CVDにより窒化ケイ素を堆積することは、窒化ケイ素が充填されたトレンチ周囲での複雑な特徴を歪める又は損なう可能性のある高圧縮膜を生成するために見い出された。パターンウエハ処理と関連する特質に加え、高密度プラズマで膜を堆積する結果生じる多くの材料変化がある。膜がHDP−CVD方法で堆積されるとき、結果として得られる膜は、他のCVD方法よりも高い密度を処理し得る。
[0004] したがって、間隙充填窒化ケイ素に通常存在する応力がなくても、窒化ケイ素を狭いトレンチに形成するための新たなHDP−CVD技術が必要である。本出願は、このようなニーズ及び他のニーズに応えるものである。
[0005] 高密度プラズマ化学気相堆積を使用して、特徴を窒化ケイ素で充填する方法が説明される。狭いトレンチは、圧縮応力を損傷することなく、間隙充填窒化ケイ素で充填され得る。低いがゼロではないバイアス電力が、間隙充填窒化ケイ素の堆積中に使用される。通常は高いバイアス電力により供給されるであろうスパッタリングを供給するために、各対の窒化ケイ素高密度プラズマ堆積ステップ間に、エッチングステップが含まれる。
[0006] 本発明の実施形態は、窒化ケイ素を基板処理チャンバの基板処理領域のパターン基板上に堆積させる方法を含む。パターン基板は、トレンチを含む。方法は、パターン基板を基板処理領域内に移送することを含む。方法は、第1の窒化ケイ素層をトレンチに形成することを更に含み、第1の窒化ケイ素層は、100ワットから500ワットの間のバイアス電力を使用する高密度プラズマ化学気相堆積(HDP−CVD)を使用して形成される。ケイ素前駆体及び窒素前駆体は、第1の窒化ケイ素層を形成している間に、基板処理領域に流される。方法は、ケイ素を含むプラズマ廃水を基板処理領域から除去することとを更に含む。方法は、トレンチの開口付近の第1の窒化ケイ素層の一部を除去することを更に含む。方法は、高密度プラズマを基板処理領域でスパッタリングガスから形成することと、第1の窒化ケイ素層の一部を除去している間に、500ワットより大きいスパッタリングバイアス電力を印加することとを含む、第1の窒化ケイ素層の一部を除去することを更に含む。方法は、第2の窒化ケイ素層をトレンチに形成することを更に含む。第2の窒化ケイ素層は、100ワットから500ワットの間のバイアス電力を使用する高密度プラズマ化学気相堆積(HDP−CVD)を使用して形成される。方法は、基板を基板処理領域から除去することを更に含む。
[0007] さらなる実施形態と特徴は、以下の記載において部分的に説明され、本明細書を検証すると当業者に部分的に明らかになるか、又は開示される実施形態を実施することによって学ぶことができる。開示される実施形態の特徴と利点は、本明細書に記載される手段、組み合わせ、及び方法を用いて実現及び獲得することができる。
[0008] 開示される実施形態の性質及び利点のさらなる理解は、本明細書の残りの部分及び図面を参照することにより理解され得る。
[0009]
開示される実施形態による窒化ケイ素膜を成長させる際に選択されるステップを示すフローチャートである。[0010] 本発明の実施形態による高密度プラズマ化学気相堆積システムの一つの実施形態の簡略図である。[0011] 図2Aの例示的処理システムと併せて使用され得るガスリングの簡略断面図である。
[0012] 添付図面では、類似の構成要素及び/又は特徴は、同一の参照符号を有し得る。更に、同一種類の様々な構成要素は、参照符号をダッシュ及び類似の構成要素の間で区別される第2の符号により追随することによって区別され得る。第1の参照符号だけが明細書中で使用される場合、説明は、第2の参照符号に関係なく、同一の第1の参照符号を有する類似の構成要素のうちの任意の一つに適用できる。
[0013] 高密度プラズマ化学気相堆積を使用して、特徴を窒化ケイ素で充填する方法が説明される。狭いトレンチは、圧縮応力を損傷することなく、間隙充填窒化ケイ素で充填され得る。低いがゼロではないバイアス電力が、間隙充填窒化ケイ素の堆積中に使用される。通常は高いバイアス電力により供給されるであろうスパッタリングを供給するために、各対の窒化ケイ素高密度プラズマ堆積ステップ間に、エッチングステップが含まれる。
[0014] 窒化ケイ素をパターン基板上に堆積させる方法は、高密度プラズマ技術を使用して開発されてきた。トレンチを間隙充填窒化ケイ素層で充填する方法が、パターン基板用に開発されてきた。堆積中にゼロではないが比較的低いバイアス電力を印加することは、応力を低下させ、なおも窒化ケイ素が高アスペクト比のトレンチの間隙を充填することができるように見い出された。堆積中にスパッタリング不足を補償するために、スパッタリング/エッチングステップを他の隣り合った低いバイアスのSiN HDPステップ間に挟むことが、発明者らにより見い出された。これらの高密度プラズマ化学気相堆積(HDP−CVD)技術は、間隙充填窒化ケイ素を広範囲にわたる用途、例えば、25ナノメーター設計ルールfinFET間の浅いトレンチアイソレーション(STI)の充填などに提供するために使用され得る。
[0015] 本明細書中で使用されるとき、高密度プラズマプロセスは、約1011イオン/cm又はそれより大きなイオン密度を有するプラズマを用いるプラズマCVDプロセスである。高密度プラズマはまた、約10−4又はそれより大きなイオン化率(イオン/中性比)を有し得る。通常、HDP−CVDプロセスは、同時堆積及びスパッタリング構成要素を含む。本発明で実施されるいくつかのHDP−CVDプロセスは、通常、間隙充填に最適化されている従来のHDP−CVDプロセスとは異なる。いくつかのステップ及び実施形態では、間隙充填誘電体膜は、実質的に低下した(100ワットから500ワット)基板バイアス電力で実現され、したがって、かなりのバイアス電力を用いるHDP−CVDプロセスよりも少ないスパッタリングをつくり出す。この従来のHDPプロセスパラメータからの出発に関わらず、スパッタリング及び堆積速度を含むスカラー特性化は、役に立つであろうし、以下のように定義される。
[0016] 高密度プラズマの組み合わされた堆積及びスパッタリング特性の相対的レベルは、ガス状混合物を提供するために使用されるガス流速度、プラズマを維持するために提供されるソース電力レベル、基板に適用されるバイアス電力などの要因に依存し得る。これらの要因の組み合わせは、

Figure 2016503966

として定義される「堆積対スパッタ比」により、便宜上特徴づけられ得る。堆積対スパッタ比は、堆積の増加に伴って増加し、スパッタリングの増加に伴って減少する。堆積対スパッタ比の定義で使用されるとき、「正味の堆積速度」は、堆積及びスパッタリングが同時に行われているときに測定される堆積速度を指す。「ブランケットスパッタ速度」は、堆積ガスを用いることなく(例えば、窒素及び流動体を残して)プロセス方策が実行されるときに測定されるスパッタ速度である。残留ガス間の一定の比を維持しながら、その流量が増大し、通常の処理中に処理チャンバ内に存在する圧力に達する。
[0017] 他の機能的に同等の測定が、当業者に知られているように、HDPプロセスの相対的な堆積及びスパッタリングの貢献を数量化するために使用されてもよい。一般的な代替比は、スパッタリングの増加に伴って増加し、堆積の増加に伴って減少する「エッチング対堆積比」

Figure 2016503966

である。エッチング対堆積比の定義で使用されるとき、「正味の堆積速度」はまた、堆積及びスパッタリングが同時に行われているときに測定される堆積速度を指す。しかしながら、「ソースのみの堆積速度」は、処理方策がスパッタリングを含まずに実行されるときに測定される堆積速度を指す。本発明の実施形態は、堆積対スパッタ比に関して本明細書で説明される。堆積対スパッタ比及びエッチング対堆積比は、正確な逆数でないが、逆相関しており、それらの間の変換は、当業者に理解されるだろう。
[0018] 通常のHDP−CVDプロセスは、HDP窒化ケイ素系の異常な圧縮応力を収容する必要なく、トレンチ形状寸法の間隙充填に合わせて調整される。間隙充填プロセスにおいて、基板バイアスRF電力を用いて、基板に向かってイオンを加速し、狭い範囲の接近軌道を生成する。この狭小化と、スパッタリング作用とを組み合わせることによって、成長しているビアの上側角が一体になりボイドを形成及び維持する前に、間隙を充填できるようになる。そのような間隙充填適用における堆積対スパッタ比(D:S)は、例えば、約3:1から約10:1までに及び得る。本発明の実施形態により成長する誘電体膜は、比較的小さな基板バイアス電力を使用して、HDP−CVDプロセスで生成され得る。これら条件下でのD:Sの特性化に役立つブランケットスパッタリング速度は、低くてもよく、堆積対スパッタ比は、開示される実施形態では、概して、25:1を上回る又は約25:1、50:1を上回る又は約50:1、75:1を上回る又は約75:1、若しくは100:1を上回る又は約100:1であり得る。
[0019] 本発明をより良く理解し認識するために、ここで図1を参照するが、図1は、本発明の実施形態による、間隙充填窒化ケイ素膜を形成する際に選択されるステップを示すフローチャートである。窒化ケイ素形成プロセスは、トレンチを有するパターン基板が、基板処理領域内に移送されると、開始する(工程102)。
[0020] 次いで、第1の間隙充填窒化ケイ素層が、基板処理領域のパターン基板上に形成される(工程104)。窒化ケイ素の形成は、ケイ素源(SiH)及び窒素源(N)を含む堆積プロセスガスから、第1の堆積高密度プラズマを基板処理領域に形成することにより、実現される。第1の堆積高密度プラズマは、100ワットから500ワットの間のバイアス電力を有する。この比較的低い範囲の値は、第1の窒化ケイ素層のまさに十分な間隙充填により、本明細書中で説明される複合間隙充填プロセスを完了させるが、形成された窒化ケイ素層の過度の圧縮応力を生じさせないために、見い出された。第1の堆積高密度プラズマは、実施形態において、50ワットから500ワットの間の範囲を有し得るが、いくつかの例では、低い電力は、維持するのが難しいと判定されている。第1の堆積高密度プラズマは、開示される実施形態において、無炭素、無フッ素及び無酸素であり得る。偶然ではなく、第1の窒化ケイ素層は、本発明の実施形態において、無炭素、無フッ素及び無酸素であり得る。
[0021] スパッタリングステップは、窒化ケイ素層堆積間に導入され、そうでなければ工程104中に大きなバイアス電力を有することにより供給されたかもしれない除去構成要素を供給する。スパッタリングステップの開始に先立ち、ケイ素を含むプラズマ廃水が、基板処理領域から除去される(工程106)。第1の窒化ケイ素層の一部は、スパッタリングガスから、スパッタリング高密度プラズマを基板処理領域に形成することにより、トレンチの開口付近で除去される。スパッタリングガスは、この例では、アルゴンを含み、トレンチの入り口で第1の窒化ケイ素層の一部を除去するのに十分な適切な運動量移動を保証する。スパッタリング高密度プラズマは、第1の窒化ケイ素層の一部の除去中に、50ワットから500ワットの間のスパッタリングバイアス電力を印加することにより、維持される。低スパッタリング高密度プラズマバイアス電力を維持することは、有利には、第1の窒化ケイ素層の応力を制御する。しかしながら、スパッタリングバイアス電力は、トレンチの開口付近での窒化ケイ素蓄積物の除去を早めるために、本実施形態では、500ワット又は1000ワットよりも大きいこともある。スパッタリング高密度プラズマは、本発明の実施形態では、不活性ガス及び/又は窒素から成る。スパッタリング高密度プラズマは、開示される実施形態において、無ケイ素、無炭素、無フッ素及び無酸素であり得る。代替的には、フッ素含有前駆体が、第1の窒化ケイ素層の一部の除去を促進するスパッタリング構成要素に化学成分を提供するために、スパッタリング高密度プラズマに追加され得る。
[0022] 次いで、第2の間隙充填窒化ケイ素層が、基板処理領域のパターン基板上に形成される(工程108)。第2の間隙充填窒化ケイ素層の形成は、ケイ素源(SiH)及び窒素源(N)を含む堆積プロセスガスから、第1の堆積高密度プラズマを基板処理領域に形成することにより、実現される。第1の間隙充填窒化ケイ素層の形成に使用されるこれらの前駆体と同一の代替物及び増大物が、第2の間隙充填窒化ケイ素層に使用され得る。同様に、第2の堆積高密度プラズマは、開示される実施形態では、100ワットから500ワットの間、又は50ワットから500ワットの間のバイアス電力を有する。過度の圧縮応力が、第2の窒化ケイ素層形成時に再び回避されるので、パターン基板上の精巧な特徴は、間隙充填堆積及びそれに続く室温への冷却を切り抜けることができる。トレンチは、実施形態におけるボイドフリー窒化ケイ素で充填される。次いで、基板は、工程110において基板処理領域から除去される。第2の堆積高密度プラズマは、開示される実施形態において、無炭素、無フッ素及び無酸素であり得る。ほぼ直接的な結果として、第2の窒化ケイ素層は、本発明の実施形態において、無炭素、無フッ素及び無酸素であり得る。
[0023] パターン基板を移送するステップ(工程102)、第1の間隙充填窒化ケイ素層を形成するステップ(工程104)、第1の間隙充填窒化ケイ素層の一部を除去するステップ(工程106)、第2の窒化ケイ素層を形成するステップ(工程108)、基板を基板処理領域から除去するステップ(工程110)は、本発明の実施形態において、連続して起こり得る。
[0024] 処理混合ガスは、第1及び/又は第2の間隙充填窒化ケイ素膜を基板上に形成する窒素及びケイ素源を提供する。前駆体ガスは、シラン(SiH)などのケイ素含有ガス、及び窒素分子(N)などの窒素(N)含有ガスを含み得る。他のケイ素及び窒素源が使用されてもよく、一体型ケイ素−窒素源がまた、代わりに又は別々の堆積源を増大させるために使用されてもよい。開示される実施形態では、ケイ素及び窒素源は、反応性領域付近で又はその領域内で混合し始めるように、異なる供給チャネルを通して導入される。不活性ガス又は流動性ガスがまた、プロセス混合ガスの他の構成要素からイオン核種の生成を促進するために、導入され得る。例えば、アルゴンは、Nより簡単にイオン化し、一つの実施形態では、電子をプラズマに供給し、次いで、Nの分離及びイオン化を促進することができる。この効果は、化学反応の可能性及び堆積速度を増加させる。流動体は、ケイ素及び窒素源のどちらか一方又はそれら両方と同一の供給チャネルを通して、若しくは完全に別のチャネルを通して導入され得る。
[0025] 工程104−108でイオンを基板に向かって加速するために、プラズマバイアスが、高密度プラズマと基板との間に印加される。その結果、間隙充填窒化ケイ素が、ボトムアップ式にトレンチに形成される。基板バイアス電力は、間隙充填窒化ケイ素層の成長中に堆積対スパッタ比を制御するために調整され得る。本明細書中で教示されるよりもずっと高いバイアス電力が、堆積中に著しいスパッタリングを発生させることができるであろうし、堆積した間隙充填窒化ケイ素層で著しいボイド形成の機会を軽減するだろう。しかしながら、著しいスパッタリングにより、高圧縮窒化ケイ素が間隙内で形成される。したがって、イオンを基板に向けて加速するために、小さなプラズマバイアスだけが、高密度プラズマと基板との間に印加される。堆積対スパッタ比は、堆積中に25:1を上回り得る。
[0026] 本明細書中の方法により間隙充填誘電体を形成すると、プロセスが比較的低い基板温度で実行できる。通常の熱誘電体堆積プロセスが650℃又はそれを上回る基板温度で実行され得るのに対して、HDP誘電体の形成中に使用される温度は、本発明の実施形態では、500℃未満又は約500℃、450℃未満又は約450℃、若しくは400℃未満又は約400℃であり得る。基板の温度は、様々な方法で制御され得る。本明細書中で説明される方法では、基板は、パターン基板と接触するプラズマを使用して、堆積温度まで加熱され得る。プラズマが基板温度をこれらの範囲を超え上昇させるであろう状況で、基板の裏は、ヘリウムの裏側の流れにより冷却され得る。
[0027] シランは、窒化ケイ素を形成するために役立つ唯一のケイ素源ではない。ジシラン及び高次シランはまた、隣接するケイ素原子間で一つ又は複数の二重結合を有するように、これらの膜を形成することができるであろう。ケイ素(及び一般的にケイ素含有誘電体)を形成するために使用されるシランは、本発明の実施形態では、膜形成の際にハロゲンの結合を回避するために、ハロゲンを含んでいない。一般的に、これらのケイ素源は、単独で又は互いの任意の組み合わせで組み合わされて使用され得、集合的に堆積プロセスガスとも呼ばれ得る。窒素前駆体は、分子の窒素(N)、アンモニア(NH)及びヒドラジン(N)のうちの一つであり得る。他の窒素及び水素含有化合物が、界面高密度プラズマへの入力として効果的であり、窒素−ケイ素−水素含有化合物がまた、間隙充填窒化ケイ素膜を形成するために実行可能であろう。
[0028] 先ほど示されたように、間隙充填材料は、ボトムアップ式にトレンチを充填する窒化ケイ素である。窒化ケイ素は、概して、トレンチ外側で共形となるだろうし、厚さ測定は、例えば、トレンチ外側のおそらく隣接するトレンチ間の領域で明確に確定され得る。トレンチ間の水平面上の間隙充填窒化ケイ素層の厚さは、10ナノメートル未満又は約10ナノメートルであり得る。本明細書中で与えられる厚さにより、開示される実施形態における、第1の窒化ケイ素層、第2の窒化ケイ素層、又は第1及び第2の窒化ケイ素層両方の組み合わせについて説明される。
[0029] 本明細書中で言及されるプロセスガスのうちの任意のものは、高密度プラズマの安定、又は基板全域での間隙充填誘電体堆積の均一性の改善を促進し得る不活性ガスと組み合わせられ得る。アルゴン、ネオン及び/又はヘリウムが、本発明の実施形態において、これらのプロセスガスに加えられ、流動性ガス又は不活性ガスと呼ばれるだろう。流動性ガスは、プラズマ密度又は安定性を変更する(例えば、増加させる)ためのステップのうちの一つ又は複数のステップ中に、導入され得る。プラズマ密度の増加は、プラズマ内でのイオン化及び分離可能性の増加に役立ち得る。
[0030] 基板処理領域の圧力は、開示される実施形態では、50mTorr以下、40mTorr以下、25mTorr以下、15mTorr以下、10mTorr以下、又は5mTorr以下であり得る。これらの圧力の実施形態は、第1の窒化ケイ素層の形成、第1の窒化ケイ素層の一部の除去、又は第2の窒化ケイ素層の形成と独立して適用され得る。以下で概要が述べられる基板温度はまた、本明細書中で説明されるすべての処理ステップに適用される。基板温度は、開示される実施形態では、600℃、500℃又は450℃以下に維持される。両方の堆積高密度プラズマを作り出すために基板処理領域に供給される全RF電力の分配が、後ほどより詳しく説明されるが、全RF電力は、本発明の実施形態において、第1及び第2の窒化ケイ素層形成中には、約5,000ワットより大きく約13,000ワット未満であり得る。これらの電力は、通常の酸化ケイ素堆積状態に対してよりも低く、その差は、高密度プラズマ化学気相堆積により堆積されるときに、窒化ケイ素により示されるより大きな圧縮応力による可能性がある。発明者は、窒化ケイ素層の形成中に5kWから13kWまでの範囲の全RF電力での動作が、膜応力を低下させ、これにより、本明細書中で説明される方法を使用して製作されるデバイスの実行可能性だけではなく、窒化ケイ素層の接着をさらに改善することに気付いた。一つの実施形態では、基板には、誘電体層の形成中に、約100ワットから約500ワットの間の堆積バイアス電力で、堆積高密度プラズマからバイアスをかけられる。
[0031] プロセスの他のステップに関して、スパッタリング高密度プラズマを形成することは、第1の窒化ケイ素層の一部の除去中に、約5,000ワットから約20,000ワットまでの、又は5,000ワットから約13,000ワットまでの全RF電力を、基板処理領域に印加することを含み得る。スパッタリング高密度プラズマ中の形成膜が不足すると、低電力のスパッタリングプラズマでさえ、トレンチの開口付近の窒化ケイ素蓄積物の先端を洗浄することができる。スパッタリング高密度プラズマには、本発明の実施形態では、第1の窒化ケイ素層の一部の除去中に、約50ワットから約500ワットまでの、又は約100ワットから約300ワットまでのスパッタリングバイアス電力を利用して、基板に対してバイアスがかけられ得る。
[0032] 概して、本明細書中で説明されるプロセスは、ケイ素及び窒素(窒化ケイ素だけではなく)を含む膜を説明するために使用され得る。遠隔プラズマエッチングプロセスは、本発明の実施形態において、約30%又はそれを上回るケイ素及び約45%又はそれを上回る窒素の原子濃度を含む窒化ケイ素を除去し得る。遠隔プラズマエッチングプロセスは、開示される実施形態において、約40%又はそれを上回るケイ素及び約55%又はそれを上回る窒素の原子濃度を含む窒化ケイ素を除去し得る。ケイ素及び窒素含有材料はまた、基本的にケイ素及び窒素から成り得、低いドーパント濃度及び他の望ましくない又は望ましい少数の添加物を可能にする。第1の窒化ケイ素層及び第2の窒化ケイ素層は各々、ケイ素及び窒素から成り得る。
[0033] 更なるプロセスパラメータが、例示的処理チャンバ及びシステムを説明する過程で開示される。
例示的な基板処理システム
[0034] 本発明者らは、カリフォルニア州サンタクララのAPPLIED MATERIALS,INC.によって製造されたULTIMA(登録商標)システムを用いて本発明の実施形態を実施しており、その包括的な記述が、Fred C. Redeker、Farhad Moghadam、Hirogi Hanawa、Tetsuya Ishikawa、Dan Maydan、Shijian Li、Brian Lue、Robert Steger、Yaxin Wang、Manus Wong及びAshok Sinhaによって1996年7月15日に出願された「SYMMETRIC TUNABLE INDUCTIVELY COUPLED HDP−CVD REACTOR」と題する本発明の譲受人に譲渡された米国特許第6,170,428号に記載されており、その開示全体は、参照することにより本明細書に援用されている。そのシステムの概説が以下に図2A及び図2Bに関連して提供される。図2Aは、一実施形態のそのようなHDP−CVDシステム1010の構造を概略的に示す。システム1010は、チャンバ1013と、真空システム1070と、ソースプラズマシステム1080Aと、基板バイアスプラズマシステム1080Bと、ガス供給システム1033と、遠隔プラズマ洗浄システム1050とを含む。
[0035] チャンバ1013の上側部分は、ドーム1014を含み、ドームは、酸化アルミニウム又は窒化アルミニウムなどセラミック誘電体材料から作られる。ドーム1014は、プラズマ処理領域1016の上側境界を画定する。プラズマ処理領域1016は、その底部において基板1017の上面及び基板支持体部材1018により境界が示される。
[0036] ヒータ板1023及び冷却板1024がドーム1014に載置され、ドーム1014に熱結合される。ヒータ板1023及び冷却板1024によって、ドーム温度を約100℃から200℃の範囲にわたって約+10℃以内に制御できるようになる。これにより、様々なプロセスに対してドーム温度を最適化できるようになる。例えば、堆積プロセスに対してより、洗浄又はエッチングプロセスに対しての方が、ドームを高温で維持することが望ましい場合がある。ドーム温度の厳密な制御はまた、チャンバ内の断片又は粒子の数を減らし、堆積された層と基板との間の接着を改善する。
[0037] チャンバ1013の下側部分は、本体部材1022を含み、その本体部材は、チャンバを真空システムに接合する。基板支持体部材1018の基部1021が、本体部材1022上に装着され、本体部材とともに連続した内面を形成する。基板は、チャンバ1013の側面の挿入/取出開口(図示せず)を通して、ロボットブレード(図示せず)によってチャンバ1013の内外に移送される。モータ(図示せず)の制御下でリフトピン(同じく図示せず)が持ち上げられ、次に下げられて、上側ローディング位置1057にあるロボットブレードから、基板が基板支持体部材1018の基板受取部1019上に配置される下側処理位置1056まで、基板を移動させる。基板受取部1019は、基板処理中に基板を基板支持体部材1018に固定する静電チャック1020を含む。好ましい実施形態では、基板支持体部材1018は、酸化アルミニウム又はアルミニウムセラミック材料から作製される。
[0038] 真空システム1070は、ツインブレードスロットルバルブ1026を収容し、ゲートバルブ1027及びターボ分子ポンプ1028に取り付けられるスロットル本体1025を含む。スロットル本体1025は、ガス流への障害を最小限に抑え、対称なポンピングを可能にすることに留意されたい。ゲートバルブ1027は、ポンプ1028をスロットル本体1025から分離することができ、また、スロットルバルブ1026が完全に開いているときに、排気流容量を制限することによってチャンバ圧力を制御することもできる。スロットルバルブ、ゲートバルブ及びターボ分子ポンプの配置によって、チャンバ圧力を約1mTorrから約2Torrまで厳密にかつ安定的に制御できるようになる。
[0039] ソースプラズマシステム1080Aは、ドーム1014に装着されるトップコイル1029及びサイドコイル1030を含む。対称な接地シールド(図示せず)が、コイル間の電気的結合を低減する。トップコイル1029がトップソースRF(SRF)発生器1031Aによって電力供給されるのに対して、サイドコイル1030は、サイドSRF発生器1031Bによって電力供給され、それにより、コイルごとに独立した電力レベル及び動作周波数を可能にする。このデュアルコイルシステムによれば、チャンバ1013内の半径方向のイオン密度を制御できるようになり、それにより、プラズマ均一性を改善することができる。サイドコイル1030及びトップコイル1029は通常、誘導的に駆動され、相補電極を必要としない。特定の実施形態では、トップソースRF発生器1031Aは、標準的には2MHzにおいて、5,000ワットまでのRF電力を与え、サイドソースRF発生器1031Bは、標準的には2MHzにおいて、7,500ワットまでのRF電力を供給する。プラズマ発生効率を改善するために、トップRF発生器及びサイドRF発生器の動作周波数は、公称動作周波数から(例えば、それぞれ1.7MHz〜1.9MHz及び1.9MHz〜2.1MHzまで)オフセットされる場合がある。第1の高密度プラズマ及び第2の高密度プラズマは、トップRF電力、サイドRF電力及びバイアスRF電力を含む全RF電力を印加することにより形成され、トップRF電力:サイドRF電力の比は、0.2:1から0.4:1の間であり得る。
[0040] 基板バイアスプラズマシステム1080Bは、バイアスRF(「BRF」)発生器1031C及びバイアス整合回路網1032Cを含む。バイアスプラズマシステム1080Bは、基板部分1017を、相補電極として働く本体部材1022に容量性結合する。バイアスプラズマシステム1080Bは、ソースプラズマシステム1080Aによって作り出されたプラズマ核種(例えば、イオン)の基板表面への輸送を増進する役割を果たす。特定の実施形態では、基板バイアスRF発生器は、約13.56MHzの周波数において、10,000ワットまでのRF電力を提供する。
[0041] RF発生器1031A及び1031Bは、デジタル制御シンセサイザを含む。各発生器は、当業者によって理解されるように、チャンバ及びコイルから反射され、発生器に戻される電力を測定し、動作周波数を調整して、最も低い反射電力を得るRF制御回路(図示せず)を含む。RF発生器は通常、50オームの特性インピーダンスを有する負荷で動作するように設計される。RF電力は、発生器とは異なる特性インピーダンスを有する負荷から反射されることもある。これにより、負荷に移送される電力を低減することができる。更に、負荷から反射され発生器に戻る電力は、発生器に過大な負荷をかけ損傷させることもある。プラズマのインピーダンスは、諸要因のうち、プラズマイオン密度によって、5オーム未満から900オーム以上までの範囲に及ぶこともあり、かつ反射された電力は周波数の関数であることもあるので、反射された電力に従って発生器周波数を調整することは、RF発生器からプラズマに移送される電力を増やし、発生器を保護する。反射される電力を低減し、効率を改善する別の方法は、整合回路網による。
[0042] 整合回路網1032A及び1032Bは、発生器1031A及び1031Bの出力インピーダンスをそれぞれのコイル1029及び1030と整合させる。RF制御回路は、負荷が変化するのに応じて、整合回路網内のコンデンサの値を変更することによって両方の整合回路網を同調させて、発生器を負荷に整合させ得る。RF制御回路は、負荷から反射され、発生器に戻る電力が一定の限界を超えたときに整合回路網を同調させ得る。一定の整合を提供し、実際、RF制御回路を用いることなく整合回路網を同調させる1つの方法は、反射される電力の任意の予測値より高い反射電力限界を設定することである。これは、整合回路網を最新の条件において一定に保持することによって、いくつかの条件下でのプラズマの安定化を促進し得る。
[0043] 他の措置がプラズマの安定化を促進することもある。例えば、RF制御回路は、負荷(プラズマ)に供給される電力を決定するために使用することができ、第1又は第2の窒化ケイ素層の堆積中に供給される電力を実質的に一定に維持するために、発生器の出力電力を増減し得る。
[0044] ガス供給システム1033は、ガス供給ライン1038(そのうちのいくつかのみが図示される)を経由して、いくつかのソース1034A〜1034Eから、基板を処理するためのチャンバにガスを提供する。当業者であれば理解するように、ソース1034A〜1034E用に使用される実際のソース、及びチャンバ1013への供給ライン1038の実際の接続は、チャンバ1013内で実行される堆積及び洗浄プロセス次第で変更される。ガスは、ガスリング1037及び/又はトップノズル1045を通してチャンバ1013に導入される。図2Bは、ガスリング1037の更なる細部を示す、チャンバ1013の簡略化された部分断面図である。
[0045] 一つの実施形態では、第1のガス源1034A及び第2のガス源1034B、並びに第1のガス流コントローラ1035A’及び第2のガス流コントローラ1035B’が、ガス供給ライン1038(そのうちのいくつかのみが図示される)を経由して、ガスリング1037内のリングプレナム1036にガスを供給する。ガスリング1037は、基板全域に均一なガス流を供給する複数のソースガスノズル1039(例示のために、そのうちの1つだけが示される)を有する。ノズル長及びノズル角は、個々のチャンバ内の特定のプロセスに対して均一性プロファイル及びガス利用効率を調整できるように、変更され得る。好ましい実施形態では、ガスリング1037は、酸化アルミニウムセラミックから作られた12個のソースガスノズルを有する。
[0046] ガスリング1037はまた、複数の酸化剤ガスノズル1040(そのうちの1つだけが示される)を有し、酸化剤ガスノズル1040は、一つの実施形態では、ソースガスノズル1039と同一平面をなしソースガスノズル1039より短く、一つの実施形態では、本体プレナム1041からガスを受け取る。いくつかの実施形態では、ガスをチャンバ1013内に注入する前に、ソースガス及び酸化剤ガスを混合しないことが望ましい。他の実施形態では、本体プレナム1041とガスリングプレナム1036との間に開孔(図示せず)を設けることによって、ガスをチャンバ1013に注入する前に、酸化剤ガス及びソースガスが混合されてもよい。一つの実施形態では、第3のガス源1034C、第4のガス源1034D及び第5のガス源1034D’並びに第3のガス流コントローラ1035C及び第4のガス流コントローラ1035D’が、ガス供給ライン1038を経由して、ガスを本体プレナムに供給する。更なるバルブ、例えば、1043Bなど(他のバルブは図示されない)が、ガス流コントローラからチャンバへのガスを遮断し得る。本発明の特定の実施形態を実施する際に、ソース1034Aは、シランSiH源を含み、ソース1034Bは、分子窒素N源を含み、ソース1034Cは、TSA源を含み、ソース1034Dは、アルゴンAr源を含み、ソース1034D’は、ジシランSi源を含む。
[0047] 可燃性ガス、有毒ガス、又は腐食性ガスが用いられる実施形態では、堆積後にガス供給ライン内に残留するガスを除去することが望ましいこともある。これは、チャンバ1013を供給ライン1038Aから分離し、供給ライン1038Aに、例えば、真空フォアライン1044への通気孔を設けるための、バルブ1043Bなど三方バルブを用いて実現され得る。図2Aに示されるように、1043A及び1043Cなど他の類似のバルブは、他のガス供給ライン上に組み込まれ得る。そのような三方バルブは、通気孔のないガス供給ライン(三方バルブとチャンバとの間の)容積を最小化するために、できるだけチャンバ1013に近接して配置され得る。更に、二方(開閉)バルブ(図示せず)は、質量流量コントローラ(「MFC」)とチャンバとの間に、又はガス源とMFCとの間に配置され得る。
[0048] 再び図2Aを参照すると、チャンバ1013はまた、トップノズル1045及びトップベント1046を有する。トップノズル1045及びトップベント1046によって、ガスの上方流及び側方流を独立制御できるようになり、それにより、膜均一性が改善され、膜の堆積及びドーピングパラメータを微調整できるようになる。トップベント1046は、トップノズル1045周囲の環状開口である。一つの実施形態では、第1のガス源1034Aは、ソースガスノズル1039及びトップノズル1045に供給する。ソースノズルMFC1035A’は、ソースガスノズル1039に供給されるガスの量を制御し、トップノズルMFC1035Aは、トップガスノズル1045に供給されるガスの量を制御する。同様に、2つのMFC1035B及び1035B’は、ソース1034Bなど単一の酸素源からトップベント1046及び酸化剤ガスノズル1040の両方への酸素の流れを制御するために、使用され得る。いくつかの実施形態では、酸素は、サイドノズルからチャンバには供給されない。トップノズル1045及びトップベント1046に供給されるガスは、ガスをチャンバ1013に流し込む前に別々にしておいてもよく、又はガスは、チャンバ1013に流入する前に、トッププレナム1048において混合されてもよい。同一のガスの別々のソースが、チャンバの様々な部分に供給するために使用され得る。
[0049] 遠隔マイクロ波発生プラズマ洗浄システム1050が、チャンバ構成要素から堆積残留物を定期的に取り除くために設けられる。洗浄システムは、リアクタキャビティ1053において洗浄ガス源1034E(例えば、分子フッ素、三フッ化窒素、他のフッ化炭素又は同等物)からプラズマを作り出す遠隔マイクロ波発生器1051を含む。このプラズマから生じる反応性核種は、アプリケータチューブ1055を経由して、洗浄ガス流入口1054を通してチャンバ1013に搬送される。洗浄プラズマを含むために用いられる材料(例えば、キャビティ1053及びアプリケータチューブ1055)は、プラズマによる侵蝕に耐えなければならない。リアクタキャビティ1053と流入口1054との間の距離は、望ましいプラズマ核種の濃度がリアクタキャビティ1053からの距離に伴って減少することがあるので、できるだけ短くしておくべきである。遠隔キャビティで洗浄プラズマを発生させることによって、効率的なマイクロ波発生器を使用できるようになり、チャンバ構成要素が、インシトゥで形成されたプラズマ内に存在し得るグロー放電の温度、放射、又は照射にさらされない。その結果、静電チャック1020など比較的感度が高い構成要素を、インシトゥプラズマ洗浄プロセスの場合に必要とされ得るように、ダミーウエハで覆うこと、又は別の方法で保護することは不要である。図2Aにおいて、プラズマ洗浄システム1050は、チャンバ1013の上方に配置されるように示されるが、代替的には、他の位置が使用されてもよい。
[0050] バッフル1061は、トップノズルを通して供給されるソースガスの流れをチャンバ内に誘導し、かつ遠隔発生したプラズマの流れを誘導するために、トップノズルに近接して設けられ得る。トップノズル1045を通して供給されるソースガスが、中央通路1062を通してチャンバ内に誘導される一方で、洗浄ガス流入口1054を通して供給される遠隔発生したプラズマ核種は、バッフル1061によってチャンバの側方に誘導される。
[0051] 基板処理領域の内部をシーズニングすることは、多くの高密度プラズマ堆積処理を改善するために見いだされた。高密度ケイ素含有膜の形成は、例外でない。シーズニングは、堆積基板が基板処理領域内に導入される前に、チャンバ内部に酸化ケイ素を堆積することを含む。実施形態において、基板処理領域内部をシーズニングすることは、酸素源及びケイ素源を含むシーズニングプロセスガスから、基板処理領域の高密度プラズマを生成することを含む。酸素源は二原子酸素(O)、ケイ素源はシラン(SiH)であり得るが、他の前駆体でも十分であり得る。
[0052] 当業者は、処理パラメータが、異なる処理チャンバ及び異なる処理条件で変更され得、異なる前駆体が、本発明の精神から逸脱することなく使用できることを、理解するだろう。適切なケイ素含有前駆体は、シランに加え、トリシリルアミン(TSA、(SiHN)及びジシラン(Si)を含み得る。ケイ素含有前駆体は、開示される実施形態では、ケイ素及び水素から成る任意の前駆体であり得る。ケイ素含有前駆体は、本発明の実施形態では、ケイ素、水素及び窒素から成り得る。他の変形もまた、当業者には明らかであろう。これらの同等物及び代替物は、本発明の範囲内に含まれると意図される。したがって、本発明の範囲は、説明された実施形態に限定されるべきではなく、その代わりに特許請求の範囲により定義されるべきである。
[0053] 用語「トレンチ」は、本明細書全体を通して用いられるが、エッチングされた形状寸法が大きな水平アスペクト比を有することは意味しない。表面の上方から見ると、トレンチは、円形、楕円形、多角形、長方形又は様々な他の形状に見えることがある。用語「ビア」は、垂直な電気的接続を形成するために金属を充填しても充填しなくてもよい、低いアスペクト比のトレンチを指すために用いられる。本明細書において用いられるときに、共形層は、表面と同じ形状を成す、その表面上の概して均一な材料層を指しており、すなわち、その層の表面と、覆われる表面は概して平行である。堆積された材料が100%共形である可能性はなく、それゆえ、用語「概して」は許容範囲を考慮に入れていることが当業者には認識されよう。開示される実施形態では、本明細書中の「共形」層の最も薄い部分は、同一の「共形」層の最も厚い部分の10%又は20%以内であり得る。
[0054] いくつかの実施形態を説明してきたが、本発明の精神から逸脱することなく様々な変更形態、代替構成、又は均等形態を用いることができることが当業者には認識されるだろう。更に、本発明を不必要に曖昧にしないように、いくつかの周知のプロセス及び要素は説明されていない。したがって、上記の説明は本発明の範囲を限定するものと見なされるべきではない。
[0055] ある範囲の値が与えられる場合、文脈において明確に別段の指示がない限り、その範囲の上限と下限の間にある、下限の単位の10分の1まで具体的に開示されると理解されたい。規定された範囲内の任意の規定された値又は介在する値と、その規定された範囲内の任意の他の規定された値又は介在する値との間の各小さな範囲が包含される。これらの狭い方の範囲の上限と下限は、その範囲内に独立して含まれる場合も、除外される場合もあり、規定された範囲における任意の具体的に除外される限界値に応じて、限界値のいずれかが狭い方の範囲内に含まれる場合、限界値のいずれも狭い方の範囲内に含まれない場合、又は両方の限界値が狭い方の範囲内に含まれる場合の各範囲も本発明に包含される。規定された範囲が限界値の一方又は両方を含む場合、それらの含まれる限界値の一方又は両方を除外する範囲もまた含まれる。
[0056] 本明細書及び添付の特許請求の範囲で用いられるとき、文脈において明確に別段の指示がない限り、単数形「一つの」(a、an)及び「その」(the)は、複数の指示物も含む。したがって、例えば「一つのプロセス」への言及は、複数のそのようなプロセスを含み、「その前駆体」への言及は、一つ又は複数の前駆体、及び当業者に知られているその同等物への言及を含み、その他も同様である。
[0057] また、用語「備える、含む」(comprise、comprising)及び「含む」(include、including、includes)は、本明細書において及び添付の特許請求の範囲において用いられるときに、規定される特徴、整数、構成要素、又はステップの存在を明記することを意図しているが、一つ又は複数の他の特徴、整数、構成要素、ステップ、動作又はグループの存在又は追加を除外するものではない。

Claims (15)

  1. 窒化ケイ素を基板処理チャンバの基板処理領域のパターン基板上に堆積させる方法であって、前記パターン基板は、トレンチを備え、
    前記パターン基板を前記基板処理領域内に移送することと、
    第1の窒化ケイ素層を前記トレンチに形成することであって、前記第1の窒化ケイ素層は、100ワットから500ワットの間のバイアス電力を有する第1の高密度プラズマを使用して形成され、ケイ素前駆体及び窒素前駆体は、前記第1の窒化ケイ素層を形成している間に、前記基板処理領域に流される、形成することと、
    ケイ素を含むプラズマ廃水を前記基板処理領域から除去することと、
    前記トレンチの開口付近の前記第1の窒化ケイ素層の一部を除去することであって、前記第1の窒化ケイ素層の前記一部を除去することは、スパッタリング高密度プラズマを前記基板処理領域でスパッタリングガスから形成することと、前記第1の窒化ケイ素層の前記一部を除去している間に、スパッタリングバイアス電力を印加することとを含む、除去することと、
    第2の窒化ケイ素層を前記トレンチに形成することであって、前記第2の窒化ケイ素層は、100ワットから500ワットの間のバイアス電力を有する第2の高密度プラズマを使用して形成される、形成することと、
    前記基板を前記基板処理領域から除去することと
    を含む、方法。
  2. 前記第1の窒化ケイ素層及び前記第2の窒化ケイ素層は、無酸素である、請求項1に記載の方法。
  3. 前記スパッタリングバイアス電力は、50ワットから500ワットの間である、請求項1に記載の方法。
  4. 前記スパッタリングバイアス電力は、500ワットより大きい、請求項1に記載の方法。
  5. 前記第1の窒化ケイ素層及び前記第2の窒化ケイ素層は、ケイ素及び窒素から成る、請求項1に記載の方法。
  6. 前記パターン基板を移送するステップ、前記第1の窒化ケイ素層を形成するステップ、前記第1の窒化ケイ素層の一部を除去するステップ、前記第2の窒化ケイ素層を形成するステップ、及び前記基板を前記基板処理領域から除去するステップは、連続して起こる、請求項1に記載の方法。
  7. 前記第1の窒化ケイ素層及び前記第2の窒化ケイ素層は、無炭素である、請求項1に記載の方法。
  8. 前記トレンチの前記開口の外側で測定される前記第1の窒化ケイ素層の厚さは、10ナノメートル未満又は約10ナノメートルである、請求項1に記載の方法。
  9. 前記第1の高密度プラズマ及び前記第2の高密度プラズマは、前記第1の窒化ケイ素層を形成している間に、約5,000ワットから約13,000ワットの間の全RF電力を前記基板処理領域に印加することにより形成される、請求項1に記載の方法。
  10. 前記第1の高密度プラズマ及び前記第2の高密度プラズマは、トップRF電力、サイドRF電力及びバイアスRF電力を含む全RF電力を印加することにより形成され、トップRF電力:サイドRF電力の比は、0.2:1から0.4:1の間である、請求項1に記載の方法。
  11. 前記スパッタリング高密度プラズマは、5,000ワットより大きく20,000ワットより小さい全RF電力を前記基板処理領域に印加することにより形成される、請求項1に記載の方法。
  12. 前記スパッタリングガスは、アルゴンを含む、請求項1に記載の方法。
  13. 前記スパッタリングガスは、前記トレンチの前記開口付近の窒化ケイ素の除去を更に促進するためにフッ素を含む、請求項1に記載の方法。
  14. 前記基板処理領域内部の圧力は、前記第1の窒化ケイ素層を形成している間、前記第1の窒化ケイ素層の一部を除去している間、又は前記第2の窒化ケイ素層を形成している間は、50mTorr未満又は約50mTorrである、請求項1に記載の方法。
  15. 前記第1の高密度プラズマ、前記第2の高密度プラズマ又は前記スパッタリング高密度プラズマは、約1011イオン/cm又はそれより大きなイオン密度、及び約10−4又はそれより大きなイオン化率(イオン/中性比)を有する、請求項1に記載の方法。
JP2015551688A 2013-01-02 2013-12-16 高密度プラズマを実施する窒化ケイ素間隙充填 Pending JP2016503966A (ja)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201361748276P 2013-01-02 2013-01-02
US61/748,276 2013-01-02
US201361751629P 2013-01-11 2013-01-11
US61/751,629 2013-01-11
US13/752,769 2013-01-29
US13/752,769 US20140187045A1 (en) 2013-01-02 2013-01-29 Silicon nitride gapfill implementing high density plasma
PCT/US2013/075403 WO2014107290A1 (en) 2013-01-02 2013-12-16 Silicon nitride gapfill implementing high density plasma

Publications (1)

Publication Number Publication Date
JP2016503966A true JP2016503966A (ja) 2016-02-08

Family

ID=51017489

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015551688A Pending JP2016503966A (ja) 2013-01-02 2013-12-16 高密度プラズマを実施する窒化ケイ素間隙充填

Country Status (5)

Country Link
US (2) US20140187045A1 (ja)
JP (1) JP2016503966A (ja)
KR (1) KR20150103227A (ja)
TW (2) TW201435116A (ja)
WO (2) WO2014107282A1 (ja)

Families Citing this family (309)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
JP6011420B2 (ja) * 2013-03-29 2016-10-19 東京エレクトロン株式会社 縦型熱処理装置の運転方法、縦型熱処理装置及び記憶媒体
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9627216B2 (en) * 2013-10-04 2017-04-18 Applied Materials, Inc. Method for forming features in a silicon containing layer
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9786542B2 (en) * 2014-01-13 2017-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming semiconductor device having isolation structure
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9837271B2 (en) 2014-07-18 2017-12-05 Asm Ip Holding B.V. Process for forming silicon-filled openings with a reduced occurrence of voids
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9613826B2 (en) * 2015-07-29 2017-04-04 United Microelectronics Corp. Semiconductor process for treating metal gate
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
WO2017048596A1 (en) * 2015-09-18 2017-03-23 Applied Materials, Inc. Low temperature conformal deposition of silicon nitride on high aspect ratio structures
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9767991B2 (en) * 2015-11-04 2017-09-19 Lam Research Corporation Methods and systems for independent control of radical density, ion density, and ion energy in pulsed plasma semiconductor device fabrication
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11011371B2 (en) * 2016-12-22 2021-05-18 Applied Materials, Inc. SiBN film for conformal hermetic dielectric encapsulation without direct RF exposure to underlying structure material
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10460932B2 (en) 2017-03-31 2019-10-29 Asm Ip Holding B.V. Semiconductor device with amorphous silicon filled gaps and methods for forming
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
KR102333217B1 (ko) * 2017-07-25 2021-12-01 어플라이드 머티어리얼스, 인코포레이티드 개선된 박막 캡슐화
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
WO2019028136A1 (en) * 2017-08-04 2019-02-07 Lam Research Corporation SELECTIVE DEPOSITION OF SILICON NITRIDE ON HORIZONTAL SURFACES
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
WO2019173624A1 (en) 2018-03-09 2019-09-12 Applied Materials, Inc. A method for si gap fill by pecvd
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) * 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) * 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11114306B2 (en) * 2018-09-17 2021-09-07 Applied Materials, Inc. Methods for depositing dielectric material
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
JP2021136255A (ja) * 2020-02-25 2021-09-13 東京エレクトロン株式会社 プラズマ処理方法
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11355354B1 (en) * 2021-01-25 2022-06-07 Applied Materials, Inc. Thermal deposition of doped silicon oxide
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5753044A (en) * 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US5976993A (en) * 1996-03-28 1999-11-02 Applied Materials, Inc. Method for reducing the intrinsic stress of high density plasma films
US6136685A (en) * 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US6194038B1 (en) * 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6030881A (en) * 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6547934B2 (en) * 1998-05-18 2003-04-15 Applied Materials, Inc. Reduction of metal oxide in a dual frequency etch chamber
US6355571B1 (en) * 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
US6258676B1 (en) * 1999-11-01 2001-07-10 Chartered Semiconductor Manufacturing Ltd. Method for forming a shallow trench isolation using HDP silicon oxynitride
US6559026B1 (en) * 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US7274038B2 (en) * 2003-06-30 2007-09-25 Semiconductor Energy Laboratory Co., Ltd. Silicon nitride film, a semiconductor device, a display device and a method for manufacturing a silicon nitride film
US7332409B2 (en) * 2004-06-11 2008-02-19 Samsung Electronics Co., Ltd. Methods of forming trench isolation layers using high density plasma chemical vapor deposition
US7501349B2 (en) * 2006-03-31 2009-03-10 Tokyo Electron Limited Sequential oxide removal using fluorine and hydrogen
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
US7704897B2 (en) * 2008-02-22 2010-04-27 Applied Materials, Inc. HDP-CVD SiON films for gap-fill
JP5284438B2 (ja) * 2011-02-09 2013-09-11 キヤノン株式会社 固体撮像装置、及び固体撮像装置の製造方法

Also Published As

Publication number Publication date
WO2014107282A1 (en) 2014-07-10
TW201432085A (zh) 2014-08-16
KR20150103227A (ko) 2015-09-09
WO2014107290A1 (en) 2014-07-10
US20140187045A1 (en) 2014-07-03
TW201435116A (zh) 2014-09-16
US20140186544A1 (en) 2014-07-03

Similar Documents

Publication Publication Date Title
JP2016503966A (ja) 高密度プラズマを実施する窒化ケイ素間隙充填
KR101289795B1 (ko) 개선된 갭필 애플리케이션들을 위한 고-수율 hdp-cvd 프로세스들
US8450191B2 (en) Polysilicon films by HDP-CVD
US7524750B2 (en) Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US6808748B2 (en) Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7329586B2 (en) Gapfill using deposition-etch sequence
JP5197256B2 (ja) Hdpプロセスにおけるエッチングレートドリフトの削減
US7745350B2 (en) Impurity control in HDP-CVD DEP/ETCH/DEP processes
US7064077B2 (en) Method for high aspect ratio HDP CVD gapfill
US8497211B2 (en) Integrated process modulation for PSG gapfill
KR20100043037A (ko) Hdp-cvd 통합 프로세스 조절 sio2 프로세스의 갭필 확장
KR20070011587A (ko) 반도체 프로세싱에서의 마이크로오염물을 감소시키는 방법