KR20150103227A - 고밀도 플라즈마를 구현하는 실리콘 질화물 갭필 - Google Patents

고밀도 플라즈마를 구현하는 실리콘 질화물 갭필 Download PDF

Info

Publication number
KR20150103227A
KR20150103227A KR1020157020851A KR20157020851A KR20150103227A KR 20150103227 A KR20150103227 A KR 20150103227A KR 1020157020851 A KR1020157020851 A KR 1020157020851A KR 20157020851 A KR20157020851 A KR 20157020851A KR 20150103227 A KR20150103227 A KR 20150103227A
Authority
KR
South Korea
Prior art keywords
silicon nitride
substrate processing
substrate
nitride layer
trench
Prior art date
Application number
KR1020157020851A
Other languages
English (en)
Inventor
총 퀴앙 후아
히엔 민 레
영 리
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20150103227A publication Critical patent/KR20150103227A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02301Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment in-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

고밀도 플라즈마 화학 기상 증착을 사용하여 실리콘 질화물로 피쳐들을 충진하는 방법들이 설명된다. 폭이 좁은 트렌치들은 압축 응력을 손상시키지 않고 갭필 실리콘 질화물로 충진될 수 있다. 낮지만 영이 아닌 바이어스 전력이 갭필 실리콘 질화물의 증착동안에 사용된다. 일반적으로 높은 바이어스 전력에 의해 공급될 스퍼터링을 공급하기 위해, 각각의 쌍의 실리콘 질화물 고밀도 플라즈마 증착 단계들 사이에 에칭 단계가 포함된다.

Description

고밀도 플라즈마를 구현하는 실리콘 질화물 갭필{SILICON NITRIDE GAPFILL IMPLEMENTING HIGH DENSITY PLASMA}
[0001] 본 출원은, 2013년 1월 2일에 출원되고 명칭이 "METAL PROCESSING USING HIGH DENSITY PLASMA"인 미국 가 특허 출원 제 61/748,276 호뿐만 아니라, 2013년 1월 11일에 출원되고 명칭이 "SILICON NITRIDE GAPFILL IMPLEMENTING HIGH DENSITY PLASMA"인 미국 가 특허 출원 제 61/751,629 호의 이익 향유를 주장하는, 2013년 1월 29일에 출원된 미국 정규 특허 출원 제 13/752,769 호에 대한 우선권을 주장한다. 이로써, 상기 출원들 중 각각의 출원은 모든 목적들을 위해, 인용에 의해 본원에 전적으로 포함된다.
[0002] 반도체 디바이스의 기하학적 구조들은 수십년전 이들이 소개된 이래 크기가 극적으로 감소해왔다. 현대의 반도체 제조 장비는 일상적으로 32㎚, 28㎚ 및 22㎚의 피쳐 사이즈들을 가진 디바이스들을 생산하며, 심지어 더 작은 기하학적 구조들을 가진 디바이스들을 만들기 위해 새로운 장비가 개발되어 실행되고 있다. 피쳐 사이즈의 감소는 디바이스 상에, 공간적 치수들이 감소된 구조적 피쳐들을 초래한다. 디바이스 상의 트렌치들 및 갭들의 폭들은, 갭의 폭에 대한 갭의 깊이의 종횡비가, 갭을 유전체 물질로 충진(fill)하기 어렵게 할 정도로 충분히 높아지는 지점까지 협소해진다(narrow). 유전체 물질을 증착시키는 것은, 갭이 완전하게 충진되기 전에 최상부에서 막히게 하는 경향이 있어서, 갭의 중간에 보이드(void) 또는 이음매(seam)를 생성한다.
[0003] 보이드가 없게(voidlessly) 갭들을 충진하는 전통적인 방법들은, 화학 기상 증착(CVD)과 같은, 전구체들의 기상 도입(gas phase introduction)을 포함한다. 열 CVD 프로세스들은 반응성 가스들을 기판 표면에 공급하고, 기판 표면에서, 표면으로부터의 열이 화학 반응들을 유도하여 필름을 생성한다. 증착 속도(rate) 및 몇몇 필름 특성들의 개선들은, 화학 반응들을 보조하기 위한 플라즈마 소스들의 사용을 통해 달성되어 왔다. 플라즈마 강화 CVD("PECVD") 기술들은, 기판 표면 근처의 반응 구역에 무선 주파수("RF") 에너지를 인가함으로써, 반응물질 가스들의 여기, 해리, 및 이온화를 촉진시키고, 이에 의해, 플라즈마를 생성한다. 플라즈마의 종의 높은 반응성은 화학 반응을 활성화시키기 위해 요구되는 에너지를 감소시킨다. 고밀도 플라즈마("HDP") CVD 기술들은, 플라즈마가 기판에 대해 바이어싱되는 것을 허용하도록 구성된다. 바이어스는 이온화된 종을 기판을 향해 지향시켜서, 갭필 특성들을 강화시킨다. HDP-CVD에 의해 실리콘 질화물을 증착시키는 것은, 실리콘 질화물로 충진된 트렌치들 주위의 복잡한 피쳐들을 왜곡(distort)시키거나 손상시킬 수 있는 고도의 압축성 필름들을 생성한다는 것이 발견되었다. 패터닝된 웨이퍼 프로세싱과 연관된 차이들(distinctions)뿐만 아니라 고밀도 플라즈마에 의해 필름들을 증착시키는 것으로부터 비롯된 다수의 물질 변화들이 존재한다. 필름들이 HDP-CVD 방법으로 증착되는 경우, 그 결과로서 생기는 필름은 다른 CVD 방법들보다 더 높은 밀도를 가질 수 있다.
[0004] 따라서, 갭필 실리콘 질화물에서 전통적으로 존재하는 응력(stress) 없이, 협소한 트렌치들에서 실리콘 질화물을 형성하기 위한 새로운 HDP-CVD 기술들에 대한 필요가 존재한다. 이러한 그리고 다른 필요들이 본 출원에서 다루어진다.
[0005] 고밀도 플라즈마 화학 기상 증착을 사용하여 실리콘 질화물로 피쳐들을 충진하는 방법들이 설명된다. 협소한 트렌치들은, 압축 응력을 손상시키지 않고 갭필 실리콘 질화물로 충진될 수 있다. 낮지만 영이 아닌(non-zero) 바이어스 전력이, 갭필 실리콘 질화물의 증착 동안 사용된다. 에칭 단계는, 높은 바이어스 전력에 의해 일반적으로 공급될 스퍼터링을 공급하기 위해, 각각의 쌍의 실리콘 질화물 고밀도 플라즈마 증착 단계들 사이에 포함된다.
[0006] 본 발명의 실시예들은 기판 프로세싱 챔버의 기판 프로세싱 영역에서 패터닝된 기판 상에 실리콘 질화물을 증착시키는 방법들을 포함한다. 패터닝된 기판은 트렌치를 포함한다. 방법들은 기판 프로세싱 영역 내로 패터닝된 기판을 이송하는 단계를 포함한다. 방법들은 트렌치에 제 1 실리콘 질화물 층을 형성하는 단계를 더 포함하고, 제 1 실리콘 질화물 층은, 100와트 내지 500와트의 바이어스 전력을 사용하는 고밀도 플라즈마 화학 기상 증착(HDP-CVD)을 사용하여 형성된다. 제 1 실리콘 질화물 층을 형성하는 동안 실리콘 전구체 및 질소 전구체가 기판 프로세싱 영역으로 유동된다. 방법들은 실리콘을 함유하는 플라즈마 배출물들(effluents)을 기판 프로세싱 영역으로부터 제거하는 단계를 더 포함한다. 방법들은 트렌치의 개구 근처의 제 1 실리콘 질화물 층의 일부를 제거하는 단계를 더 포함한다. 방법들은 제 1 실리콘 질화물 층의 일부를 제거하는 단계를 더 포함하고, 상기 제 1 실리콘 질화물 층의 일부를 제거하는 단계는 기판 프로세싱 영역 내에, 스퍼터링 가스들로부터 고밀도 플라즈마를 형성하는 단계, 및 제 1 실리콘 질화물 층의 일부를 제거하는 단계 동안 500와트 초과의 스퍼터링 바이어스 전력을 인가하는 단계를 포함한다. 방법들은 트렌치 내에 제 2 실리콘 질화물 층을 형성하는 단계를 더 포함한다. 제 2 실리콘 질화물 층은, 100와트 내지 500와트의 바이어스 전력을 사용하는 고밀도 플라즈마 화학 기상 증착(HDP-CVD)을 사용하여 형성된다. 방법들은 기판 프로세싱 영역으로부터 기판을 제거하는 단계를 더 포함한다.
[0007] 추가적인 실시예들과 특징들이 일부는 이하의 상세한 설명에 개시되어 있으며, 일부는 당업자가 본 명세서를 검토할 때 명백해질 것이거나, 개시된 실시예들을 실시함으로써 습득될 수 있다. 본 명세서에서 설명되는 수단들, 조합들 및 방법들에 의해, 개시된 실시예들의 특징들과 장점들이 실현되고 달성될 수 있을 것이다.
[0008] 개시된 실시예들의 본질 및 장점들에 대한 추가적인 이해는 본 명세서의 나머지 부분들 및 도면들을 참조함으로써 실현될 수 있다.
[0009] 도 1은 개시된 실시예들에 따른, 실리콘 질화물 필름을 성장시키는데 있어서 선택된 단계들을 나타내는 흐름도이다.
[0010] 도 2a는 본 발명의 실시예들에 따른, 고밀도-플라즈마 화학-기상-증착 시스템의 일 실시예의 단순화된 도면이다.
[0011] 도 2b는 도 2a의 예시적인 프로세싱 시스템과 함께 사용될 수 있는 가스 링의 단순화된 단면도이다.
[0012] 첨부된 도면들에서, 유사한 컴포넌트들 및/또는 피쳐들은 동일한 참조 부호(reference label)를 가질 수 있다. 또한, 동일한 유형의 다양한 컴포넌트들은, 유사한 컴포넌트들 중에서 구별되는 제 2 부호 및 대시를 참조 부호에 뒤따르게 함으로써, 구별될 수 있다. 본 명세서에서 제 1 참조 부호만이 사용되는 경우, 제 2 참조 부호와는 무관하게, 동일한 제 1 참조 부호를 갖는 유사한 컴포넌트들 중 임의의 컴포넌트에 대해 설명이 적용될 수 있다.
[0013] 고밀도 플라즈마 화학 기상 증착을 사용하여 실리콘 질화물로 피쳐들을 충진하는 방법들이 설명된다. 협소한 트렌치들은, 압축 응력을 손상시키지 않고 갭필 실리콘 질화물로 충진될 수 있다. 낮지만 영이 아닌 바이어스 전력이, 갭필 실리콘 질화물의 증착 동안 사용된다. 높은 바이어스 전력에 의해 일반적으로 공급될 스퍼터링을 공급하기 위해, 각각의 쌍의 실리콘 질화물 고밀도 플라즈마 증착 단계들 사이에 에칭 단계가 포함된다.
[0014] 고밀도 플라즈마 기술들을 사용하여, 패터닝된 기판들 상에 실리콘 질화물을 증착시키는 방법들이 개발되었다. 패터닝된 기판들을 위해, 갭필 실리콘 질화물 층들에 의해 트렌치들을 충진하는 방법들이 개발되었다. 증착 동안 영이 아니지만 상대적으로 낮은 바이어스 전력을 인가하는 것은, 응력을 감소시키고, 그러나 여전히, 실리콘 질화물이 고 종횡비 트렌치들의 갭들을 충진할 수 있게 한다는 것이 발견되었다. 다른 인접한 저-바이어스 SiN HDP 단계들 사이에 스퍼터링/에칭 단계를 인터리빙(interleaving)하는 것은, 증착들 그 자체 동안 스퍼터링의 결핍을 보상한다는 것이, 본 발명자들에 의해 발견되었다. 이러한 고 밀도 플라즈마 화학 기상 증착(HDP-CVD) 기술들은, 광범위한 어플리케이션들을 위해, 예를 들어, 25 나노미터 디자인 규칙 핀펫들(finFETs) 사이의 얕은 트렌치 소자 분리(shallow trench isolation; STI) 갭들을 충진하는 것을 위해 갭필 실리콘 질화물을 제공하는 데에 사용될 수 있다.
[0015] 본원에서 사용되는 바와 같이, 고밀도-플라즈마 프로세스는 대략 1011 ions/㎤ 또는 그 초과의 이온 밀도를 갖는 플라즈마를 채용한 플라즈마 CVD 프로세스이다. 또한 고밀도 플라즈마는 대략 10-4 또는 그 초과의 이온화 분율(ionization fraction)(이온/뉴트럴(neutral) 비율)을 가질 수 있다. 전형적으로, HDP-CVD 프로세스들은 동시 증착 및 스퍼터링 컴포넌트들을 포함한다. 본 발명에서 구현된 일부 HDP-CVD 프로세스들은, 전형적으로 갭필에 최적화된 전통적인 HDP-CVD 프로세스들과는 다르다. 몇몇 단계들 및 실시예들에서, 갭필 유전체 필름들은 실질적으로 감소된(100와트 내지 500와트) 기판 바이어스 전력을 사용하여 달성되며, 이에 따라, 상당한 바이어스 전력을 채용하는 HDP-CVD 프로세스들보다 적은 스퍼터링을 생성한다. 전통적인 HDP 프로세스 파라미터들로부터의 이러한 이탈(departure)에도 불구하고, 스퍼터링 및 증착 속도(rate)들을 수반하는 스칼라 특성화(scalar characterization)가 유용할 것이며, 이하에 정의되어 있다.
[0016] 고밀도 플라즈마의 스퍼터링 특성들 및 조합된 증착의 상대적 수준들은 가스 혼합물을 제공하기 위해 사용되는 가스 유량들, 플라즈마를 유지하기 위해 인가되는 소스 전력 수준들, 기판에 인가되는 바이어스 전력 등과 같은 인자들에 좌우될 수 있다. 이러한 인자들의 조합은,
Figure pct00001
로서 정의되는 "증착-대-스퍼터링 비율"에 의해서 편리하게 특성화 수 있다. 증착-대-스퍼터링 비율은, 증착이 증가되면 증가하고 스퍼터링이 증가되면 감소한다. 증착-대-스퍼터링 비율을 정의할 때 사용되는 바와 같이, "순 증착 속도"(net deposition rate)는, 증착과 스퍼터링이 동시에 일어날 때 측정되는 증착 속도를 나타낸다. "블랭킷 스퍼터링 속도"는 (예컨대, 질소와 플루언트(fluent)를 남기는) 증착 가스들 없이 프로세스 레시피가 실행될 때 측정된 스퍼터링 속도이다. 정상 프로세싱 동안에 프로세스 챔버 내에 존재하는 압력을 얻기 위해, 잔류 가스들의 유량들이, 그들 사이의 고정된 비율들을 유지하면서, 증가하게 된다.
[0017] 당업자들에게 알려진 바와 같이, HDP 프로세스의 상대적인 증착 및 스퍼터링 기여도들(relative deposition and sputtering contributions)을 수량화하기 위해 다른 기능적으로 동등한 방안들(measures)이 사용될 수 있다. 일반적인 대안적 비율은
Figure pct00002
인 "에칭 대 증착 비율"이며, 이는 스퍼터링이 증가되면 증가하고 증착이 증가되면 감소한다. 에칭 대 증착 비율의 정의에 사용되는 바와 같이, 다시 "순 증착 속도"는 증착과 스퍼터링이 동시에 일어날 때 측정되는 증착 속도를 나타낸다. 그러나, "소스 - 온리(only) 증착 속도"는 스퍼터링 없이 프로세스 레시피가 실행될 때 측정되는 증착 속도를 나타낸다. 본 발명의 실시예들은 본원에서 증착-대-스퍼터링 비율들의 측면에서 설명된다. 증착-대-스퍼터링 비율과 에칭 대 증착 비율이 정확하게 상응하지는 않지만, 이들은 반비례하고, 당업자들은 이들 사이의 변환을 이해할 것이다.
[0018] 전형적인 HDP-CVD 프로세스들은, HDP 실리콘 질화물과 연계된(affiliated) 이례적인 압축 응력을 수용할 필요없이, 트렌치의 기하학적 구조들의 갭필에 맞추어 설계된다. 갭필 프로세스들에서, 기판 바이어스 RF 전력이 사용되어 기판을 향해 이온들을 가속하며, 이는 협소한 범위의 접근 궤적들을 생성한다. 스퍼터링 활동과 결합된 이러한 협소화(narrowing)는, 성장하는 비아(via)의 최상부 코너들이 합쳐져서 보이드를 형성하고 유지하기 전에, 갭들이 충진될 수 있도록 한다. 이러한 갭필 어플리케이션들에서의 증착-대-스퍼터링 비율들(D:S)은, 예를 들어, 약 3:1 내지 약 10:1의 범위일 수 있다. 본 발명의 실시예들에 따라 성장된 유전체 필름들은, 상대적으로 더 적은 기판 바이어스 전력을 사용하는 HDP-CVD 프로세스에 의해 생산될 수 있다. 이러한 조건들 하에서 D:S의 특성화에 유용한 블랭킷 스퍼터링 속도는 낮을 수 있으며, 개시된 실시예들에서, 증착-대-스퍼터링 비율은 대체로 약 25:1 또는 그 초과, 약 50:1 또는 그 초과, 약 75:1 또는 그 초과, 또는 약 100:1 또는 그 초과일 것으로 예상될 수 있다.
[0019] 이제, 본 발명을 더 잘 이해하고 인식하기 위해, 본 발명의 실시예들에 따른, 갭필 실리콘 질화물 필름을 형성하는데 있어서 선택된 단계들을 나타내는 흐름도인 도 1을 참조한다. 실리콘 질화물 형성 프로세스는, 트렌치를 갖는 패터닝된 기판이 기판 프로세싱 영역으로 이송될 때, 시작된다(동작(102)).
[0020] 그 후에, 기판 프로세싱 영역에서, 패터닝된 기판 상에 제 1 갭필 실리콘 질화물 층이 형성된다(동작(104)). 실리콘 질화물의 형성은, 기판 프로세싱 영역 내에, 실리콘 소스(SiH4) 및 질소 소스(N2)를 포함하는 증착 프로세스 가스로부터 제 1 증착 고 밀도 플라즈마를 형성하는 것에 의해 이루어진다(effected). 제 1 증착 고 밀도 플라즈마는 100와트 내지 500와트의 바이어스 전력을 갖는다. 이러한 상대적으로 낮은 범위의 값들은, 본원에서 설명되는 화합물 갭필 프로세스를 단지 완료할 만큼의 제 1 실리콘 질화물 층의 갭필을 야기하지만, 형성된 실리콘 질화물 층에 과도한 압축 응력을 야기하지는 않는다는 것이 발견되었다. 실시예들에서, 제 1 증착 고 밀도 플라즈마는 50와트 내지 500와트의 범위를 가질 수 있지만, 낮은 전력들은, 몇몇 경우들에서, 유지하기 어려운 것으로 밝혀졌다. 개시된 실시예들에서, 제 1 증착 고밀도 플라즈마는 무-탄소(carbon-free), 무-불소(fluorine-free) 및 무-산소(oxygen-free)일 수 있다. 비동시적으로(not coincidentally), 본 발명의 실시예들에서, 제 1 실리콘 질화물 층은 무-탄소, 무-불소 및 무-산소일 수 있다.
[0021] 제거 컴포넌트를 공급하기 위해, 실리콘 질화물 층 증착들 사이에 스퍼터링 단계가 도입되는데, 그렇지 않을 경우 상기 제거 컴포넌트는, 동작(104) 동안에 큰 바이어스 전력을 가짐으로써 공급되었을 수 있다. 스퍼터링 단계의 개시 이전에, 실리콘을 함유하는 플라즈마 배출물들은 기판 프로세싱 영역으로부터 제거된다(동작(106)). 기판 프로세싱 영역 내에 스퍼터링 가스들로부터 스퍼터링 고밀도 플라즈마를 형성하는 것에 의해, 트렌치의 개구 근처에서 제 1 실리콘 질화물 층의 일부가 제거된다. 이러한 예에서, 스퍼터링 가스들은, 트렌치의 입구에서 제 1 실리콘 질화물 층의 일부를 제거하기에 충분한 적합한 모멘텀 전달(momentum transfer)을 보장하기 위해, 아르곤을 포함한다. 스퍼터링 고밀도 플라즈마는, 제 1 실리콘 질화물 층의 일부를 제거하는 동안 50와트 내지 500와트의 스퍼터링 바이어스 전력을 인가함으로써 유지된다. 저 스퍼터링 고 밀도 플라즈마 바이어스 전력을 유지하는 것은 제 1 실리콘 질화물 층의 응력을 유리하게 제어한다. 그러나, 실시예들에서, 트렌치의 개구 근처의 실리콘 질화물 축적물(accumulation)의 제거를 촉진하기 위해, 스퍼터링 바이어스 전력은 500와트 또는 1000와트보다 더 클 수 있다. 본 발명의 실시예들에서, 스퍼터링 고밀도 플라즈마는 불활성 가스들 및 또는 질소로 이루어진다. 개시된 실시예들에서, 스퍼터링 고밀도 플라즈마는 무-실리콘, 무-탄소, 무-불소 및 무-산소일 수 있다. 대안적으로, 제 1 실리콘 질화물 층의 일부의 제거를 보조하는 화학 컴포넌트를 스퍼터링 컴포넌트에 제공하기 위해, 불소-함유 전구체가 스퍼터링 고밀도 플라즈마에 부가될 수 있다.
[0022] 그 후에, 기판 프로세싱 영역에서, 제 2 갭필 실리콘 질화물 층이, 패터닝된 기판 상에 형성된다(동작(108)). 제 2 갭필 실리콘 질화물 층의 형성은, 기판 프로세싱 영역 내에, 실리콘 소스(SiH4) 및 질소 소스(N2)를 포함하는 증착 프로세스 가스로부터 제 2 증착 고 밀도 플라즈마를 형성하는 것에 의해 이루어진다. 제 1 갭필 실리콘 질화물 층의 형성을 위해 사용되는 이러한 전구체들의 동일한 치환물들(substitutions) 및 첨가물들(augmentations)이, 제 2 갭필 실리콘 질화물 층을 위해 사용될 수 있다. 유사하게, 개시된 실시예들에서, 제 2 증착 고 밀도 플라즈마는 100와트 내지 500와트 또는 50와트 내지 500와트의 바이어스 전력을 갖는다. 제 2 실리콘 질화물 층을 형성할 때, 과도한 압축 응력은 다시 회피되고, 이는, 패터닝된 기판 상의 정교한(delicate) 피쳐들이, 갭필 증착 및 후속하는 상온으로의 냉각을 견딜 수 있게 한다. 실시예들에서, 트렌치는 무-보이드 실리콘 질화물에 의해 충진된다. 그 후에, 동작(110)에서, 기판은 기판 프로세싱 영역으로부터 제거된다. 개시된 실시예들에서, 제 2 증착 고밀도 플라즈마는 무-탄소, 무-불소 및 무-산소일 수 있다. 거의 직접적인 결과로서, 본 발명의 실시예들에서, 제 2 실리콘 질화물 층은 무-탄소, 무-불소 및 무-산소일 수 있다.
[0023] 본 발명의 실시예들에서, 패터닝된 기판을 이송하고(동작(102)), 제 1 갭필 실리콘 질화물 층을 형성하고(동작(104)), 제 1 갭필 실리콘 질화물 층의 일부를 제거하고(동작(106)), 제 2 실리콘 질화물 층을 형성하고(동작(108)), 그리고 기판 프로세싱 영역으로부터 기판을 제거하는(동작(110)) 단계들은 연속적으로(sequentially) 발생할 수 있다.
[0024] 프로세스 가스 혼합물은, 기판 상에 제 1 및/또는 제 2 갭필 실리콘 질화물 필름들을 형성하는 질소 및 실리콘의 소스를 제공한다. 전구체 가스들은, 실란(SiH4)과 같은 실리콘-함유 가스, 및 분자 질소(N2)와 같은 질소(N) 함유 가스를 포함할 수 있다. 실리콘 및 질소의 다른 소스들이 사용될 수 있고, 조합된 실리콘-질소-소스들이 또한, 개별 증착 소스들을 대신해서 또는 개별 증착 소스들을 증대시키도록 사용될 수 있다. 개시된 실시예들에서, 실리콘 및 질소 소스들은, 이들이 반응 영역 근처에서 또는 그 내부에서 혼합을 시작하도록, 상이한 전달 채널들을 통해서 도입된다. 불활성 가스 또는 플루언트 가스가 또한, 프로세스 가스 혼합물의 다른 컴포넌트들로부터 이온 종의 생성을 용이하게 하기 위해, 도입될 수 있다. 예를 들어, 아르곤은 N2보다 더 쉽게 이온화되고, 실시예에서 플라즈마에 전자들을 제공할 수 있는데, 그 후에 전자들은 N2의 해리 및 이온화를 보조한다. 이러한 효과는 증착 속도 및 화학 반응들의 가능성을 증가시킨다. 플루언트는, 실리콘 및 질소 소스들 중 어느 한쪽 또는 양자 모두와 동일한 전달 채널을 통해서, 또는 전체적으로 개별 채널을 통해서 도입될 수 있다.
[0025] 동작들(104-108)에서 기판을 향해 이온들을 가속하기 위해 기판과 고밀도 플라즈마 사이에 플라즈마 바이어스가 인가된다. 결과적으로, 트렌치 내에 갭필 실리콘 질화물이 상향식(bottom-up fashion)으로 형성된다. 갭필 실리콘 질화물 층의 성장 동안에 증착-대-스퍼터링 비율을 제어하기 위해, 기판 바이어스 전력이 조정될 수 있다. 본원에서 교시된 것보다 훨씬 더 높은 바이어스 전력은, 증착 동안에 상당한 스퍼터링이 일어나도록 허용할 것이고, 증착된 갭필 실리콘 질화물 층에 상당한 보이드가 형성될 가능성들을 감소시킬 것이다. 그러나, 상당한 스퍼터링은, 고도의 압축성 실리콘 질화물이 갭에 형성되게 한다. 따라서, 기판을 향해 이온들을 가속하기 위해, 기판과 고밀도 플라즈마 사이에 단지 작은 플라즈마 바이어스만이 인가된다. 증착-대-스퍼터링 비율은 증착 동안에 25:1을 초과할 수 있다.
[0026] 본원의 방법들에 따라 갭필 유전체를 형성하는 것은, 상대적으로 낮은 기판 온도들에서 프로세스가 실시될 수 있게 한다. 전형적인 열 유전체 증착 프로세스들은 650℃ 또는 그 초과의 기판 온도에서 실시될 수 있는 반면, 본 발명의 실시예들에서 HDP 유전체의 형성 동안에 사용되는 기판 온도들은 약 500℃ 또는 그 미만, 약 450℃ 또는 그 미만, 또는 약 400℃ 또는 그 미만일 수 있다. 기판의 온도는 다양한 방식들로 제어될 수 있다. 본원에서 설명되는 방법들에서, 기판은, 패터닝된 기판과 접촉하는 플라즈마를 사용하여 증착 온도로 가열될 수 있다. 플라즈마들이 이러한 범위들을 초과하여 기판 온도를 상승시키는 상황들에서, 기판의 배면은 헬륨의 배면 유동에 의해 냉각될 수 있다.
[0027] 실란은, 실리콘 질화물을 형성하는 데에 유용한, 유일한 실리콘 소스는 아니다. 실리콘-함유 전구체들이 인접한 실리콘 원자들 간에 하나 또는 둘 이상의 이중 결합을 가질 것이기 때문에, 디실란과 더 고차의 실란들이 또한, 이러한 필름들을 형성할 수 있을 것이다. 본 발명의 실시예들에서, 형성 필름에 할로겐들을 포함하는 것을 피하기 위해, 실리콘(및 일반적으로 실리콘-함유 유전체들)을 형성하기 위해 사용되는 실란들에는 할로겐들이 없다. 일반적으로, 이러한 실리콘 소스들은 단독으로 사용되거나 임의의 조합으로 서로 결합될 수 있으며, 증착 프로세스 가스로 통칭될 수 있다. 질소 전구체는 분자 질소(N2), 암모니아(NH3) 및 하이드라진(N2H4) 중 하나일 수 있다. 다른 질소-및-수소-함유 화합물들은, 계면의(interfacial) 고밀도 플라즈마에 대한 입력들(inputs)로서 효과적이고, 질소-실리콘-및-수소 함유 화합물들은 또한, 갭필 실리콘 질화물 필름들을 형성하기 위해 실행 가능(viable)할 것이다.
[0028] 이전에 표시된 바와 같이, 갭필 물질은, 상향식으로 트렌치들을 충진하는 실리콘 질화물이다. 실리콘 질화물은 일반적으로, 트렌치 외부에서 컨포멀(conformal)할 것이고, 두께 측정들은, 예를 들어, 트렌치 외부의 영역들에, 아마도 인접한 트렌치들 사이에서 잘 정의될 수 있다. 트렌치들 사이의 수평한 표면들 상의 갭필 실리콘 질화물 층들의 두께는 약 10 나노미터 또는 그 미만일 수 있다. 본원에서 주어진 두께는, 개시된 실시예들에서, 제 1 실리콘 질화물 층, 제 2 실리콘 질화물 층 또는 제 1 및 제 2 실리콘 질화물 층들 양자 모두의 조합을 설명한다.
[0029] 본원에서 언급되는 프로세스 가스들 중 임의의 프로세스 가스는, 고밀도 플라즈마를 안정화하거나 기판에 걸친 갭필 유전체 증착의 균일성을 개선하는 데에 도움이 될 수 있는 불활성 가스들과 결합될 수 있다. 본 발명의 실시예들에서 아르곤, 네온 및/또는 헬륨이, 이러한 프로세스 가스들에 부가되며, 플루언트 가스들(fluent gases) 또는 불활성 가스들로서 지칭될 것이다. 플루언트 가스들은, 플라즈마 밀도 또는 안정성을 변경(예컨대, 증대)시키기 위해, 단계들 중 하나 또는 둘 이상 동안에 도입될 수 있다. 플라즈마 밀도를 증대시키는 것은 플라즈마 내의 이온화 및 해리 확률들(probabilities)을 증가시키는 데 도움이 될 수 있다.
[0030] 개시된 실시예들에서, 기판 프로세싱 영역에서의 압력은 50mTorr 또는 그 미만, 40mTorr 또는 그 미만, 25mTorr 또는 그 미만, 15mTorr 또는 그 미만, 10mTorr 또는 그 미만, 또는 5mTorr 또는 그 미만일 수 있다. 이러한 압력 실시예들은, 제 1 실리콘 질화물 층을 형성하는 단계, 제 1 실리콘 질화물 층의 일부를 제거하는 단계, 또는 제 2 실리콘 질화물 층을 형성하는 단계에 독립적으로 적용할 수 있다. 이하에서 약술되는 기판 온도들이 또한, 본원에서 설명되는 모든 프로세싱 단계들에 적용된다. 개시된 실시예들에서, 기판 온도는 600℃, 500℃ 또는 450℃ 또는 그 미만에서 유지된다. 증착 고밀도 플라즈마들 양자 모두를 생성하기 위해 기판 프로세싱 영역에 공급되는 전체 RF 전력의 분배는 이후에 더 상세하게 설명될 것이지만, 전체 RF 전력은, 본 발명의 실시예들에서, 제 1 및 제 2 실리콘 질화물 층들을 형성하는 동안 약 5,000와트보다 클 수 있고 약 13,000와트 또는 그 미만일 수 있다. 이러한 전력들은 전형적인 실리콘 산화물 증착 조건들에 대해서보다 더 낮으며, 이러한 차이는 더 큰 압축 응력 탓일 수 있고, 그러한 압축 응력은, 고밀도 플라즈마 화학 기상 증착에 의해 증착될 때 실리콘 질화물에 의해 나타난다. 본 발명자들은, 실리콘 질화물 층의 형성 동안에 5kW 내지 13kW의 범위의 전체 RF 전력들에서 동작시키는 것이, 필름 응력을 감소시키고, 이는, 실리콘 질화물 층들의 접착력뿐만 아니라, 본원에서 설명되는 방법들을 사용하여 생성된 디바이스들의 실현 가능성(viability)을 추가적으로 개선한다는 것을 발견하였다. 실시예에서, 유전체 층을 형성하는 동안, 기판은 약 100와트 내지 약 500와트의 증착 바이어스 전력에 의해 증착 고 밀도 플라즈마로부터 바이어싱된다.
[0031] 프로세스의 다른 단계들에 관하여, 스퍼터링 고밀도 플라즈마를 형성하는 단계는, 제 1 실리콘 질화물 층의 일부를 제거하는 동안 약 5,000와트 내지 약 20,000와트 또는 약 5,000와트 내지 약 13,000와트의 전체 RF 전력을 기판 프로세싱 영역에 인가하는 단계를 포함할 수 있다. 스퍼터링 고 밀도 플라즈마 동안의 형성 필름의 결핍(lack)은, 트렌치의 개구 근처의 실리콘 질화물 축적물의 커스프들(cusps)을 세정하기 위해, 심지어 스퍼터링 플라즈마의 저 전력을 허용한다. 본 발명의 실시예들에서, 스퍼터링 고 밀도 플라즈마는, 제 1 실리콘 질화물 층의 일부를 제거하는 동안, 약 50와트 내지 약 500와트 또는 약 100와트 내지 약 300와트의 스퍼터링 바이어스 전력을 사용하여 기판에 대해 바이어싱될 수 있다.
[0032] 일반적으로 말해서, 본원에서 설명되는 프로세스들은 실리콘 및 질소(그리고 단지 실리콘 질화물만이 아님)를 함유하는 필름들을 설명하는 데에 사용될 수 있다. 본 발명의 실시예들에서, 원격 플라즈마 에칭 프로세스들은 약 30% 또는 그 초과의 원자 농도의 실리콘 및 약 45% 또는 그 초과의 원자 농도의 질소를 포함하는 실리콘 질화물을 제거할 수 있다. 개시된 실시예들에서, 원격 플라즈마 에칭 프로세스들은 약 40% 또는 그 초과의 원자 농도의 실리콘 및 약 55% 또는 그 초과의 원자 농도의 질소를 포함하는 실리콘 질화물을 제거할 수 있다. 실리콘-및-질소-함유 물질은 또한, 본질적으로 실리콘 및 질소로 이루어질 수 있고, 작은 도펀트 농도들 및 다른 바람직하지 않은 또는 바람직한 소수의 첨가물들을 허용한다. 제 1 실리콘 질화물 층 및 제 2 실리콘 질화물 층은 각각 실리콘 및 질소로 이루어질 수 있다.
[0033] 예시적인 프로세싱 챔버 및 시스템을 설명하는 과정에서 부가적인 프로세스 파라미터들이 개시된다.
예시적인 기판 프로세싱 시스템
[0034] 본 발명자들은, 캘리포니아 산타 클라라에 소재한 APPLIED MATERIALS, INC.에 의해 제조되는 ULTIMA™ 시스템을 이용하여 본 발명의 실시예들을 구현하였으며, 이 실시예에 대한 일반적인 설명은, Fred C. Redeker, Farhad Moghadam, Hirogi Hanawa, Tetsuya Ishikawa, Dan Maydan, Shijian Li, Brian Lue, Robert Steger, Yaxin Wang, Manus Wong 및 Ashok Sinha에 의해 1996년 7월 15일자로 출원되고 본원과 양수인이 동일한 U.S. 특허 제6,170,428호인 "SYMMETRIC TUNABLE INDUCTIVELY COUPLED HDP-CVD REACTOR"에서 제공되며, 이 특허의 전체 개시내용은 인용에 의해 본원에 포함된다. 이하, 시스템의 개관(overview)이 도 2a-2b와 관련하여 제공된다. 도 2a는 실시예에서, 그러한 HDP-CVD 시스템(1010)의 구조를 개략적으로 도시한다. 시스템(1010)은, 챔버(1013), 진공 시스템(1070), 소스 플라즈마 시스템(1080A), 기판 바이어스 플라즈마 시스템(1080B), 가스 전달 시스템(1033), 및 원격 플라즈마 세정 시스템(1050)을 포함한다.
[0035] 챔버(1013)의 상부 부분은 돔(1014)을 포함하고, 돔은 알루미늄 산화물 또는 알루미늄 질화물과 같은 세라믹 유전체 물질로 제조된다. 돔(1014)은 플라즈마 프로세싱 영역(1016)의 상부 경계(boundary)를 정의한다. 플라즈마 프로세싱 영역(1016)은, 기판 지지 부재(1018) 및 기판(1017)의 상부 표면에 의해 바닥부에서 경계가 지어진다(bounded).
[0036] 히터 플레이트(1023) 및 냉각 플레이트(cold plate; 1024)가 돔 위에 놓이며, 돔(1014)에 열적으로 커플링된다. 히터 플레이트(1023) 및 냉각 플레이트(1024)는 약 100℃ 내지 200℃의 범위에 걸쳐 약 10℃ 이내로 돔 온도의 제어를 허용한다. 이는 다양한 프로세스들에 대해 돔 온도를 최적화하는 것을 가능하게 한다. 예를 들면, 증착 프로세스들에 대한 것보다 세정 또는 에칭 프로세스들에 대해 더 높은 온도에서 돔을 유지하는 것이 바람직할 수 있다. 돔 온도의 정밀한 제어는 또한, 챔버 내의 박편(flake) 또는 입자 총수들(particle counts)을 감소시키고, 기판과 증착된 층 사이의 점착(adhesion)을 개선한다.
[0037] 챔버(1013)의 하부 부분은, 챔버를 진공 시스템에 결합시키는(join) 본체 부재(1022)를 포함한다. 기판 지지 부재(1018)의 베이스 부분(1021)이 본체 부재(1022) 상에 장착되고, 본체 부재(1022)와 연속적인 내측 표면을 형성한다. 기판들은 챔버(1013)의 측면의 삽입/제거 개구(미도시)를 통하여 로봇 블레이드(미도시)에 의해 챔버(1013)의 내외로 이송된다. 리프트 핀들(미도시)은 모터(또한 미도시)의 제어 하에서 상승되고 그 후 하강되어, 기판을 로봇 블레이드로부터 상부 로딩 포지션(1057)에서 하부 프로세싱 포지션(1056)으로 이동시키며, 하부 프로세싱 위치에서 기판은 기판 지지 부재(1018)의 기판 수용 부분(1019) 상에 배치된다. 기판 수용 부분(1019)은 기판 프로세싱 동안, 기판 지지 부재(1018)에 기판을 고정시키는 정전 척(1020)을 포함한다. 바람직한 실시예에서, 기판 지지 부재(1018)는 알루미늄 산화물 또는 알루미늄 세라믹 물질로 제조된다.
[0038] 진공 시스템(1070)은, 트윈-블레이드 스로틀 밸브(1026)를 하우징하고 게이트 밸브(1027) 및 터보 분자 펌프(1028)에 부착되는 스로틀 본체(1025)를 포함한다. 스로틀 본체(1025)는 가스 유동에 대한 최소의 차단을 제공하며 대칭적 펌핑을 허용하는 것에 주목해야 한다. 게이트 밸브(1027)는 스로틀 본체(1025)로부터 펌프(1028)를 격리시킬 수 있으며, 스로틀 밸브(1026)가 완전히 개방될 때, 배출 유동 용량을 제한함으로써 제어 챔버 압력을 또한 제어할 수 있다. 스로틀 밸브, 게이트 밸브, 및 터보 분자 펌프의 배열은, 약 1mTorr 내지 약 2Torr 까지 챔버 압력들의 정밀하고 안정적인 제어를 가능하게 한다.
[0039] 소스 플라즈마 시스템(1080A)은, 돔(1014) 상에 장착된 최상부 코일(1029) 및 측면 코일(1030)을 포함한다. 대칭적인 접지 실드(미도시)가 코일들 사이의 전기적 커플링을 감소시킨다. 최상부 코일(1029)은 최상부 소스 RF(SRF) 발생기(1031A)에 의해 전력이 공급되는 반면, 측면 코일(1030)은 측면 SRF 발생기(1031B)에 의해 전력이 공급되어서, 각각의 코일에 대해 독립적인 전력 레벨들 및 동작의 주파수들을 가능하게 한다. 이러한 이중 코일 시스템은 챔버(1013) 내의 라디칼 이온 밀도의 제어를 가능하게 하며, 그에 따라 플라즈마 균일성을 개선한다. 측면 코일(1030) 및 최상부 코일(1029)은 전형적으로 유도적으로 구동되며, 이는 보충 전극을 필요로 하지 않는다. 특정 실시예에서, 최상부 소스 RF 발생기(1031A)는 명목상 2MHz에서 RF 전력의 5,000와트까지를 제공하며, 측면 소스 RF 발생기(1031B)는 명복상 2MHz에서 RF 전력의 7,500와트까지를 제공한다. 최상부 및 측면 RF 발생기들의 동작 주파수들은, 플라즈마 발생 효율을 개선하기 위해 명목상 작동 주파수로부터 (예를 들면, 각각 1.7-1.9MHz 및 1.9-2.1MHz로) 오프셋될 수 있다. 제 1 고밀도 플라즈마 및 제 2 고밀도 플라즈마는 최상부 RF 전력, 측면 RF 전력 및 바이어스 RF 전력을 포함하는 전체 RF 전력을 인가함으로써 형성되며, 최상부 RF 전력:측면 RF 전력의 비는 0.2:1 내지 0.4:1일 수 있다.
[0040] 기판 바이어스 플라즈마 시스템(1080B)이 바이어스 RF("BRF") 발생기(1031C) 및 바이어스 매칭 네트워크(1032C)를 포함한다. 바이어스 플라즈마 시스템(1080B)은, 본체 부재(1022)에 기판 부분(1017)을 용량성 커플링시키며, 이는 보충 전극들로서 작용한다. 바이어스 플라즈마 시스템(1080B)은, 소스 플라즈마 시스템(1080A)에 의해 생성된 플라즈마 종들(예를 들면, 이온들)의 기판의 표면으로의 운반을 강화시키는 역할을 한다. 특정 실시예에서, 기판 바이어스 RF 발생기는, 약 13.56MHz의 주파수에서 RF 전력의 10,000와트까지를 제공한다.
[0041] RF 발생기들(1031A 및 1031B)은 디지털 방식으로 제어된 합성장치들(synthesizers)을 포함한다. 당업자에게 이해되는 바와 같이, 각각의 발생기는 챔버 및 코일로부터 다시 발생기로 반사된 전력을 측정하는 RF 제어 회로(미도시)를 포함하고, 최저로 반사된 전력을 획득하기 위해 동작의 주파수를 조정한다. RF 발생기들은 전형적으로, 50 옴(ohms)의 특성 임피던스를 갖는 부하(load)로 동작하도록 설계된다. RF 전력은, 발생기와 상이한 특성 임피던스를 갖는 부하들로부터 반사될 수 있다. 이는 부하에 전달된 전력을 감소시킬 수 있다. 부가적으로, 부하부터 다시 발생기로 반사된 전력은 발생기를 과부하 걸리게 하고 손상시킬 수 있다. 다른 인자들 중에서도, 플라즈마 이온 밀도에 따라, 플라즈마의 임피던스가 5 옴 미만 내지 900 옴 초과의 범위일 수 있기 때문에, 그리고 반사된 전력이 주파수의 함수일 수 있기 때문에, 반사된 전력에 따라 발생기 주파수를 조정하는 것은, RF 발생기로부터 플라즈마로 전달된 전력을 증가시키고 발생기를 보호한다. 반사된 전력을 감소시키고 효율을 개선하기 위한 다른 방법은 매칭 네트워크를 이용하는 것이다.
[0042] 매칭 네트워크들(1032A 및 1032B)은 발생기들(1031A 및 1031B)의 출력 임피던스를 이들 각각의 코일들(1029 및 1030)과 매칭시킨다. RF 제어 회로는, 부하가 변화함에 따라 부하에 대해 발생기를 매칭시키기 위해, 매칭 네트워크들 내의 캐패시터들의 값을 변화시킴으로써 양쪽 매칭 네트워크들을 튜닝할 수 있다. RF 제어 회로는, 부하로부터 발생기로 다시 반사된 전력이 특정 한계를 초과하는 경우, 매칭 네트워크를 튜닝할 수 있다. 일정한 매치(match)를 제공하고 RF 제어 회로가 매칭 네트워크를 효과적으로 튜닝할 수 없게 하는 한가지 방법은, 반사된 전력 한계를 반사된 전력의 임의의 예상 값 위로 설정하는 것이다. 이는 매칭 네트워크 상수를 그 가장 최근의 조건에서 유지함으로써, 플라즈마를 어떤 조건들 하에서 안정시키는 것을 도울 수 있다.
[0043] 다른 수단이 플라즈마를 안정시키는 것을 또한 도울 수 있다. 예를 들면, RF 제어 회로는 부하(플라즈마)에 전달되는 전력을 결정하는데 사용될 수 있으며, 제 1 또는 제 2 실리콘 질화물 층의 증착중에 전달된 전력을 실질적으로 일정하게 유지하기 위해 발생기 출력 전력을 증가시키거나 감소시킬 수 있다.
[0044] 가스 전달 시스템(1033)은 몇 개의 소스들(1034A-334E)로부터, 가스 전달 라인들(1038)(그 일부만이 도시됨)에 의해 기판을 프로세싱하기 위한 챔버로 가스들을 제공한다. 당업자에게 이해되는 바와 같이, 소스들(1034A-1034E)에 대해 사용되는 실제 소스들 및 챔버(1013)에 대한 전달 라인들(1038)의 실제 연결은 챔버(1013) 내에서 실행되는 증착 및 세정 프로세스들에 따라 다르다. 가스들은 가스 링(1037) 및/또는 최상부 노즐(1045)을 통해 챔버(1013) 내로 도입된다. 도 2B는 가스 링(1037)의 부가적인 세부사항들을 도시하는 챔버(1013)의 단순화된 부분 단면도이다.
[0045] 일 실시예에서, 제 1 및 제 2 가스 소스들(1034A 및 1034B) 및 제 1 및 제 2 가스 유동 제어기들(1035A' 및 1035B')은 가스 전달 라인들(1038)(그 일부만 도시됨)에 의해 가스 링(1037) 내의 링 플리넘(1036)에 가스를 제공한다. 가스 링(1037)은 기판 위에 가스의 균일한 유동을 제공하는 복수의 소스 가스 노즐들(1039)(그 일부만이 예시를 위해 도시됨)을 갖는다. 노즐 길이 및 노즐 각도는, 개별적인 챔버 내에서의 특정 프로세스에 대한 가스 활용 효율 및 균일성 프로파일의 변경(tailoring)을 허용하도록 변화될 수 있다. 바람직한 실시예에서, 가스 링(1037)은 알루미늄 산화물 세라믹(aluminum oxide ceramic)으로부터 제조된 12개의 소스 가스 노즐들을 갖는다.
[0046] 가스 링(1037)은 또한 복수의 산화제 가스 노즐들(1040)(그 중 하나만 도시됨)을 가지며, 산화제 가스 노즐들은 일 실시예에서 소스 가스 노즐들(1039)과 동일 평면상에 있고 그보다 더 짧으며, 일 실시예에서는 본체 플리넘(1041)으로부터의 가스를 수용한다. 일부 실시예들에서, 소스 가스들과 산화제 가스들을 챔버(1013) 내로 주입하기 전에 소스 가스들과 산화제 가스들을 혼합하지 않는 것이 바람직하다. 다른 실시예들에서, 산화제 가스 및 소스 가스는, 본체 플리넘(1041)과 가스 링 플리넘(1036) 사이에 개구들(미도시)을 제공함으로써, 가스들을 챔버(1013) 내로 주입하기 전에 혼합될 수 있다. 일 실시예에서, 제 3, 제 4, 및 제 5 가스 소스들(1034C, 1034D, 및 1034D') 및 제 3 및 제 4 가스 유동 제어기들(1035C 및 1035D')이 가스 전달 라인들(1038)에 의해 본체 플리넘에 가스를 제공한다. 1043B와 같은 부가적인 밸브들(다른 밸브들은 미도시)이 유동 제어기들로부터 챔버로의 가스를 차단할 수 있다. 본 발명의 특정 실시예들을 구현할 때, 소스(1034A)는 실란(SiH4) 소스를 포함하고, 소스(1034B)는 분자 질소(N2) 소스를 포함하며, 소스(1034C)는 TSA 소스를 포함하고, 소스(1034D)는 아르곤(Ar) 소스를 포함하며, 소스(1034D')는 디실란(Si2H6) 소스를 포함한다.
[0047] 가연성, 유독성, 또는 부식성 가스들이 사용되는 실시예들에서, 증착 후에 가스 전달 라인들 내에 남아 있는 가스를 제거하는 것이 바람직할 수 있다. 이는, 예를 들면, 전달 라인(1038A)으로부터 챔버(1013)를 격리시키고 전달 라인(1038A)을 진공 포어라인(1044)으로 배기(vent)시키기 위해, 밸브(1043B)와 같은 3-방향(3-way) 밸브를 사용하여 이루어질 수 있다. 도 2a에 도시된 바와 같이, 1043A 및 1043C와 같은 다른 유사한 밸브들이 다른 가스 전달 라인들에 포함될 수 있다. 그러한 3-방향 밸브들은 (3-방향 밸브와 챔버 사이의) 배기되지 않은 가스 전달 라인의 용적을 최소화하기 위해, 실행가능한 한 챔버(1013)에 가깝게 배치될 수 있다. 부가적으로, 2-방향(온-오프) 밸브들(미도시)이 질량 유동 제어기("MFC")와 챔버 사이에, 또는 가스 소스와 MFC 사이에 배치될 수 있다.
[0048] 도 2a를 다시 참조하면, 챔버(1013)는 또한 최상부 노즐(1045) 및 최상부 배기부(top vent; 1046)를 갖는다. 최상부 노즐(1045) 및 최상부 배기부(1046)는 가스들의 최상부 유동 및 측면 유동들의 독립적인 제어를 허용하며, 이는 필름 균일성을 개선하고 도핑 파라미터들 및 필름의 증착의 미세한 조정을 가능하게 한다. 최상부 배기부(1046)는 최상부 노즐(1045) 둘레의 환형 개구이다. 일 실시예에서, 제 1 가스 소스(1034A)는 소스 가스 노즐들(1039) 및 최상부 노즐(1045)에 공급한다. 소스 노즐 MFC(1035A')는 소스 가스 노즐들(1039)에 전달되는 가스의 양을 제어하고, 최상부 노즐 MFC(1035A)는 최상부 가스 노즐(1045)에 전달되는 가스의 양을 제어한다. 유사하게, 2개의 MFC들(1035B 및 1035B')은, 소스(1034B)와 같은 단일의 산소 소스로부터 최상부 배기부(1046) 및 산화제 가스 노즐들(1040) 둘 모두로의 산소 유동을 제어하는데 사용될 수 있다. 일부 실시예들에서, 산소는 어떠한 측면 노즐들로부터도 챔버로 공급되지 않는다. 최상부 노즐(1045) 및 최상부 배기부(1046)에 공급되는 가스들은, 챔버(1013) 내로 가스들을 유동시키기 전에 분리되어 유지될 수 있거나, 가스들은 챔버(1013) 내로 유동하기 전에 최상부 플리넘(1048) 내에서 혼합될 수 있다. 동일한 가스의 분리된 소스들은 챔버의 다양한 부분들을 공급하기 위해 사용될 수 있다.
[0049] 원격 마이크로파-발생 플라즈마 세정 시스템(1050)이 제공되어, 챔버 컴포넌트들로부터의 증착 잔류물들을 주기적으로 세정한다. 세정 시스템은 원격 마이크로파 발생기(1051)를 포함하며, 원격 마이크로파 발생기는, 반응기 공동(1053) 내에서 세정 가스 소스(1034E)로부터의 플라즈마(예를 들면, 분자 불소, 삼불화질소, 다른 불화탄소(fluorocarbons) 또는 등가물들)를 생성한다. 이러한 플라즈마로부터 야기된 반응성 종들은 세정 가스 피드 포트(1054)를 통하여 애플리케이터 튜브(1055)에 의해 챔버(1013)로 운반된다. 세정 플라즈마를 포함하기 위해 사용되는 물질들(예를 들면, 공동(1053) 및 애플리케이터 튜브(1055))은 플라즈마에 의한 공격에 내성이 있어야 한다. 반응기 공동(1053)과 피드 포트(1054) 사이의 거리는 실행가능한 한 짧게 유지되어야 하는데, 이는 희망 플라즈마 종의 농도가 반응기 공동(1053)으로부터의 거리에 따라 감소할 수 있기 때문이다. 멀리 떨어진 공동에 세정 플라즈마를 발생시키는 것은, 효율적인 마이크로파 발생기의 사용을 가능하게 하며, 챔버 컴포넌트들이 인 시츄로 형성된 플라즈마에 존재할 수 있는 글로 방전(glow discharge)의 충격, 복사, 또는 온도에 시달리지 않게 한다. 결과적으로, 정전 척(1020)과 같은 비교적 민감한 컴포넌트들은, 인 시츄 플라즈마 세정 프로세스에 대해 요구될 수 있는 바와 같이, 더미 웨이퍼로 커버되거나 또는 다른 방식으로 보호될 필요가 없다. 도 2a에서, 플라즈마 세정 시스템(1050)은 챔버(1013) 위에 배치된 것으로 도시되지만, 다른 포지션들이 대안적으로 사용될 수 있다.
[0050] 최상부 노즐을 통해 공급된 소스 가스들의 유동들을 챔버 내로 지향시키고 원격으로 발생된 플라즈마의 유동들을 지향시키기 위해, 배플(1061)이 최상부 노즐에 근접하여 제공될 수 있다. 최상부 노즐(1045)을 통해 제공된 소스 가스들은 중심 통로(1062)를 통해 챔버 내로 지향되는 반면, 세정 가스 피드 포트(1054)를 통해 제공된 원격으로 발생된 플라즈마 종들은 배플(1061)에 의해 챔버의 측면들로 지향된다.
[0051] 기판 프로세싱 영역의 내부를 시즈닝하는 것(seasoning)은 많은 고밀도 플라즈마 증착 프로세스들을 개선하는 것을 알게 되었다. 고밀도 실리콘 함유 필름들의 형성도 예외는 아니다. 시즈닝하는 것은, 증착 기판이 기판 프로세싱 영역 내로 도입되기 전에, 챔버 내부에 대한 실리콘 산화물의 증착을 포함한다. 실시예들에서, 기판 프로세싱 영역의 내부를 시즈닝하는 것은, 산소 소스 및 실리콘 소스를 포함하는 시즈닝 프로세스 가스로부터 기판 프로세싱 영역에 고밀도 플라즈마를 형성하는 것을 포함한다. 산소 소스는 이원자 산소(O2)일 수 있으며, 실리콘 소스는 실란(SiH4)일 수 있지만, 다른 전구체들이면 또한 충분할 수 있다.
[0052] 당업자들은, 프로세싱 파라미터들이 상이한 프로세싱 챔버들 및 상이한 프로세싱 조건들에 대해 달라질 수 있으며, 상이한 전구체들이 본 발명의 사상으로부터 벗어나지 않고 사용될 수 있음을 인식할 것이다. 적절한 실리콘 함유 전구체들은, 실란에 더하여 트리실릴아민(TSA, (SiH3)3N) 및 디실란(Si2H6)을 포함할 수 있다. 실리콘 함유 전구체는 개시된 실시예들에서 수소 및 실리콘으로 이루어진 임의의 전구체일 수 있다. 실리콘 함유 전구체는 본 발명의 실시예들에서, 실리콘, 수소 및 질소로 이루어질 수 있다. 다른 변형들이 당업자들에게 또한 자명할 것이다. 이러한 등가물들 및 대안들은 본 발명의 범위 이내에 포함되는 것으로 의도된다. 그러므로, 본 발명의 범위는 설명된 실시예들에 제한되지 않아야 하며, 그 대신 청구항들에 의해 정의되어야 한다.
[0053] "트렌치"라는 용어는, 에칭된 기하학적 구조가 큰 수평적 종횡비를 갖는 것을 암시하지 않고 전체적으로 사용된다. 표면 위로부터 볼 때, 트렌치들은 원형, 타원형, 다각형, 직사각형, 또는 다양한 다른 형상들을 나타낼 수 있다. "비아"라는 용어는, 수직한 전기적 연결을 형성하기 위해 금속으로 충진될 수 있거나 충진될 수 없는, 낮은 종횡비의 트렌치를 지칭하는데 사용된다. 본원에서 사용되는 바와 같이, 컨포멀한 층(conformal layer)은 표면과 동일한 형상으로 표면 상의 재료로 된 대체로 균일한 층을 지칭하며, 즉 커버되는 표면 및 층의 표면은 대체로 평행하다. 당업자는, 증착된 물질이 100% 컨포멀하지 못할 가능성이 있을 수 있으며 그에 따라 "대체로"라는 용어가 용인가능한 허용 오차들(acceptable tolerances)을 허용함을 인식할 것이다. 개시된 실시예들에서, 본원에서의 "컨포멀한" 층들의 가장 얇은 부분들은 동일한 "컨포멀한" 층의 가장 두꺼운 부분들의 10% 또는 20% 이내일 수 있다.
[0054] 몇몇 실시예들이 설명되었지만, 다양한 변형예들, 대안적인 구성들, 및 등가물들이 본 발명의 사상으로부터 벗어나지 않고 사용될 수 있음이 당업자들에게 인식될 것이다. 부가적으로, 본 발명을 불필요하게 모호하게 하는 것을 피하기 위해, 다수의 널리 공지된 프로세스들 및 엘리먼트들은 설명되지 않았다. 따라서, 상기 설명은 본 발명의 범위를 제한하는 것으로 간주되어서는 안된다.
[0055] 수치들의 범위가 제공된 경우, 그러한 수치 범위의 상한들과 하한들 사이에 존재하는 각각의 값은, 달리 명백히 표시되어 있지 않는 한 하한의 단위의 소수점 이하 추가 한 자리까지(to the tenth) 또한 구체적으로 기재된 것으로 해석된다. 명시된 범위 내의 임의의 명시된 값 또는 그 범위에 속하는 값과 그러한 명시된 범위 내의 임의의 다른 명시된 값 또는 그 범위에 속하는 다른 값 사이에 존재하는 각각의 소범위가 포함된다. 이러한 소범위들의 상한들과 하한들은 독립적으로 그러한 범위에 포함되거나 그러한 범위에서 제외될 수 있고, 각각의 범위는, 상한과 하한 중 하나 또는 둘 모두가 그러한 소범위에 포함되든지 그러한 소범위에서 제외되든지 간에, 임의의 한계값이 명시된 범위에서 구체적으로 제외된 것이 아닌 한, 또한 본 발명에 포함된다. 명시된 범위가 한계값들 중 하나 또는 둘 모두를 포함하는 경우, 그렇게 포함된 한계값들 중 하나 또는 둘 모두를 제외한 범위들이 또한 포함된다.
[0056] 본원 및 첨부된 청구항들에서 사용되는 바와 같이, 단수 형태들("a", "an" 및 "the")은, 문맥에서 명백하게 달리 지시되어 있지 않는 한, 복수의 지시대상들을 포함한다. 따라서, 예를 들어, "프로세스(a process)"라는 언급은 복수의 그러한 프로세스들을 포함하며, "상기 전구체(the precursor)"라는 언급은 당업자에게 알려진 하나 또는 그 초과의 전구체 및 그 등가물들에 대한 언급을 포함하며, 기타의 경우도 마찬가지이다.
[0057] 또한, "포함하는"("comprise," "comprising," "include," "including," 및 "includes")이라는 단어들은, 본 명세서 및 이하의 청구항들에서 사용될 때, 언급된 특징들, 정수들, 컴포넌트들, 또는 단계들의 존재를 특정하도록 의도되지만, 이들은 하나 또는 그 초과의 다른 특징들, 정수들, 컴포넌트들, 단계들, 작용들, 또는 그룹들의 존재 또는 부가를 배제하는 것은 아니다.

Claims (15)

  1. 기판 프로세싱 챔버의 기판 프로세싱 영역에서, 트렌치를 포함하는 패터닝된 기판 상에 실리콘 질화물을 증착하는 방법으로서:
    상기 기판 프로세싱 영역 내로 상기 패터닝된 기판을 이송하는 단계;
    상기 트렌치 내에 제 1 실리콘 질화물 층을 형성하는 단계 ― 상기 제 1 실리콘 질화물 층은 100와트 내지 500와트의 바이어스 전력을 갖는 제 1 고밀도 플라즈마를 사용하여 형성되고, 실리콘 전구체 및 질소 전구체는 상기 제 1 실리콘 질화물 층을 형성하는 동안 상기 기판 프로세싱 영역으로 유동됨 ―;
    상기 기판 프로세싱 영역으로부터, 실리콘을 포함하는 플라즈마 배출물들을 제거하는 단계;
    상기 트렌치의 개구 근처의 상기 제 1 실리콘 질화물 층의 일부를 제거하는 단계 ― 상기 제 1 실리콘 질화물 층의 일부를 제거하는 단계는, 상기 기판 프로세싱 영역 내에 스퍼터링 가스들로부터 스퍼터링 고밀도 플라즈마를 형성하고 그리고 상기 제 1 실리콘 질화물 층의 일부를 제거하는 단계동안 스퍼터링 바이어스 전력을 인가하는 단계를 포함함 ―;
    상기 트렌치 내에 제 2 실리콘 질화물 층을 형성하는 단계 ― 상기 제 2 실리콘 질화물 층은 100와트 내지 500와트의 바이어스 전력을 갖는 제 2 고밀도 플라즈마를 사용하여 형성됨 ―; 및
    상기 기판 프로세싱 영역으로부터 상기 기판을 제거하는 단계;를 포함하는
    기판 프로세싱 챔버의 기판 프로세싱 영역에서, 트렌치를 포함하는 패터닝된 기판 상에 실리콘 질화물을 증착하는 방법.
  2. 제 1 항에 있어서,
    상기 제 1 실리콘 질화물 층 및 상기 제 2 실리콘 질화물 층은 무산소(oxygen-free)인
    기판 프로세싱 챔버의 기판 프로세싱 영역에서, 트렌치를 포함하는 패터닝된 기판 상에 실리콘 질화물을 증착하는 방법.
  3. 제 1 항에 있어서,
    상기 스퍼터링 바이어스 전력은 50와트 내지 500와트인
    기판 프로세싱 챔버의 기판 프로세싱 영역에서, 트렌치를 포함하는 패터닝된 기판 상에 실리콘 질화물을 증착하는 방법.
  4. 제 1 항에 있어서,
    상기 스퍼터링 바이어스 전력은 500와트보다 큰
    기판 프로세싱 챔버의 기판 프로세싱 영역에서, 트렌치를 포함하는 패터닝된 기판 상에 실리콘 질화물을 증착하는 방법.
  5. 제 1 항에 있어서,
    상기 제 1 실리콘 질화물 층 및 상기 제 2 실리콘 질화물 층은 실리콘 및 질소로 이루어지는
    기판 프로세싱 챔버의 기판 프로세싱 영역에서, 트렌치를 포함하는 패터닝된 기판 상에 실리콘 질화물을 증착하는 방법.
  6. 제 1 항에 있어서,
    상기 패터닝된 기판을 이송하는 단계, 상기 제 1 실리콘 질화물 층을 형성하는 단계, 상기 제 1 실리콘 질화물 층의 일부를 제거하는 단계, 상기 제 2 실리콘 질화물 층을 형성하는 단계, 및 상기 기판 프로세싱 영역으로부터 상기 기판을 제거하는 단계는 순차적으로 일어나는
    기판 프로세싱 챔버의 기판 프로세싱 영역에서, 트렌치를 포함하는 패터닝된 기판 상에 실리콘 질화물을 증착하는 방법.
  7. 제 1 항에 있어서,
    상기 제 1 실리콘 질화물 층 및 상기 제 2 실리콘 질화물 층은 무탄소(carbon-free)인
    기판 프로세싱 챔버의 기판 프로세싱 영역에서, 트렌치를 포함하는 패터닝된 기판 상에 실리콘 질화물을 증착하는 방법.
  8. 제 1 항에 있어서,
    상기 트렌치의 개구 외부에서 측정된 제 1 실리콘 질화물 층의 두께는 약 10 나노미터 또는 그 미만인
    기판 프로세싱 챔버의 기판 프로세싱 영역에서, 트렌치를 포함하는 패터닝된 기판 상에 실리콘 질화물을 증착하는 방법.
  9. 제 1 항에 있어서,
    상기 제 1 고밀도 플라즈마 및 상기 제 2 고밀도 플라즈마는, 상기 제 1 실리콘 질화물 층을 형성하는 동안, 상기 기판 프로세싱 영역에 약 5,000와트 내지 약 13,000와트의 전체 RF 전력을 인가함으로써 형성되는
    기판 프로세싱 챔버의 기판 프로세싱 영역에서, 트렌치를 포함하는 패터닝된 기판 상에 실리콘 질화물을 증착하는 방법.
  10. 제 1 항에 있어서,
    상기 제 1 고밀도 플라즈마 및 상기 제 2 고밀도 플라즈마는, 최상부 RF 전력, 측면 RF 전력 및 바이어스 RF 전력을 포함하는 전체 RF 전력을 인가함으로써 형성되며, 상기 최상부 RF 전력:측면 RF 전력의 비는 0.2:1 내지 0.4:1인
    기판 프로세싱 챔버의 기판 프로세싱 영역에서, 트렌치를 포함하는 패터닝된 기판 상에 실리콘 질화물을 증착하는 방법.
  11. 제 1 항에 있어서,
    상기 스퍼터링 고밀도 플라즈마는 5,000와트 초과 및 20,000와트 미만의 전체 RF 전력을 상기 기판 프로세싱 영역에 인가함으로써 형성되는
    기판 프로세싱 챔버의 기판 프로세싱 영역에서, 트렌치를 포함하는 패터닝된 기판 상에 실리콘 질화물을 증착하는 방법.
  12. 제 1 항에 있어서,
    상기 스퍼터링 가스들은 아르곤을 포함하는
    기판 프로세싱 챔버의 기판 프로세싱 영역에서, 트렌치를 포함하는 패터닝된 기판 상에 실리콘 질화물을 증착하는 방법.
  13. 제 1 항에 있어서,
    상기 스퍼터링 가스들은 상기 트렌치의 개구 근처의 실리콘 질화물을 제거하는 것을 더 보조하기 위해, 불소를 포함하는
    기판 프로세싱 챔버의 기판 프로세싱 영역에서, 트렌치를 포함하는 패터닝된 기판 상에 실리콘 질화물을 증착하는 방법.
  14. 제 1 항에 있어서,
    상기 기판 프로세싱 영역 내의 압력은, 상기 제 1 실리콘 질화물 층을 형성하는 단계, 상기 제 1 실리콘 질화물 층의 일부를 제거하는 단계, 또는 상기 제 2 실리콘 질화물 층을 형성하는 단계 동안, 약 50 mTorr 또는 그 미만인
    기판 프로세싱 챔버의 기판 프로세싱 영역에서, 트렌치를 포함하는 패터닝된 기판 상에 실리콘 질화물을 증착하는 방법.
  15. 제 1 항에 있어서,
    상기 제 1 고밀도 플라즈마, 상기 제 2 고밀도 플라즈마, 또는 상기 스퍼터링 고밀도 플라즈마는, 약 1011 ions/㎤ 또는 그 초과의 이온 밀도 및 약 10-4 또는 그 초과의 이온화 분율(이온/뉴트럴(neutral) 비율)을 갖는
    기판 프로세싱 챔버의 기판 프로세싱 영역에서, 트렌치를 포함하는 패터닝된 기판 상에 실리콘 질화물을 증착하는 방법.
KR1020157020851A 2013-01-02 2013-12-16 고밀도 플라즈마를 구현하는 실리콘 질화물 갭필 KR20150103227A (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201361748276P 2013-01-02 2013-01-02
US61/748,276 2013-01-02
US201361751629P 2013-01-11 2013-01-11
US61/751,629 2013-01-11
US13/752,769 US20140187045A1 (en) 2013-01-02 2013-01-29 Silicon nitride gapfill implementing high density plasma
US13/752,769 2013-01-29
PCT/US2013/075403 WO2014107290A1 (en) 2013-01-02 2013-12-16 Silicon nitride gapfill implementing high density plasma

Publications (1)

Publication Number Publication Date
KR20150103227A true KR20150103227A (ko) 2015-09-09

Family

ID=51017489

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020157020851A KR20150103227A (ko) 2013-01-02 2013-12-16 고밀도 플라즈마를 구현하는 실리콘 질화물 갭필

Country Status (5)

Country Link
US (2) US20140187045A1 (ko)
JP (1) JP2016503966A (ko)
KR (1) KR20150103227A (ko)
TW (2) TW201435116A (ko)
WO (2) WO2014107282A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190090026A (ko) * 2016-12-22 2019-07-31 어플라이드 머티어리얼스, 인코포레이티드 기저 구조 재료에 대한 직접적인 rf 노출 없이 등각성의 밀폐 유전체 캡슐화를 위한 sibn 필름

Families Citing this family (321)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP6011420B2 (ja) * 2013-03-29 2016-10-19 東京エレクトロン株式会社 縦型熱処理装置の運転方法、縦型熱処理装置及び記憶媒体
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9627216B2 (en) * 2013-10-04 2017-04-18 Applied Materials, Inc. Method for forming features in a silicon containing layer
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9786542B2 (en) * 2014-01-13 2017-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming semiconductor device having isolation structure
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9837271B2 (en) 2014-07-18 2017-12-05 Asm Ip Holding B.V. Process for forming silicon-filled openings with a reduced occurrence of voids
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9613826B2 (en) * 2015-07-29 2017-04-04 United Microelectronics Corp. Semiconductor process for treating metal gate
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
CN108028171A (zh) * 2015-09-18 2018-05-11 应用材料公司 氮化硅在高深宽比结构上的低温保形沉积
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9767991B2 (en) * 2015-11-04 2017-09-19 Lam Research Corporation Methods and systems for independent control of radical density, ion density, and ion energy in pulsed plasma semiconductor device fabrication
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10460932B2 (en) 2017-03-31 2019-10-29 Asm Ip Holding B.V. Semiconductor device with amorphous silicon filled gaps and methods for forming
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
KR102569956B1 (ko) * 2017-07-25 2023-08-22 어플라이드 머티어리얼스, 인코포레이티드 개선된 박막 캡슐화
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10615169B2 (en) 2017-08-04 2020-04-07 Lam Research Corporation Selective deposition of SiN on horizontal surfaces
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
WO2019173624A1 (en) * 2018-03-09 2019-09-12 Applied Materials, Inc. A method for si gap fill by pecvd
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) * 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) * 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11114306B2 (en) * 2018-09-17 2021-09-07 Applied Materials, Inc. Methods for depositing dielectric material
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
JP2021136255A (ja) * 2020-02-25 2021-09-13 東京エレクトロン株式会社 プラズマ処理方法
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11355354B1 (en) * 2021-01-25 2022-06-07 Applied Materials, Inc. Thermal deposition of doped silicon oxide
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230178370A1 (en) * 2021-12-06 2023-06-08 International Business Machines Corporation Sam formulations and cleaning to promote quick depositions

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5753044A (en) * 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US5976993A (en) * 1996-03-28 1999-11-02 Applied Materials, Inc. Method for reducing the intrinsic stress of high density plasma films
US6136685A (en) * 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US6194038B1 (en) * 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6030881A (en) * 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6547934B2 (en) * 1998-05-18 2003-04-15 Applied Materials, Inc. Reduction of metal oxide in a dual frequency etch chamber
US6355571B1 (en) * 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
US6258676B1 (en) * 1999-11-01 2001-07-10 Chartered Semiconductor Manufacturing Ltd. Method for forming a shallow trench isolation using HDP silicon oxynitride
US6559026B1 (en) * 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US7274038B2 (en) * 2003-06-30 2007-09-25 Semiconductor Energy Laboratory Co., Ltd. Silicon nitride film, a semiconductor device, a display device and a method for manufacturing a silicon nitride film
US7332409B2 (en) * 2004-06-11 2008-02-19 Samsung Electronics Co., Ltd. Methods of forming trench isolation layers using high density plasma chemical vapor deposition
US7501349B2 (en) * 2006-03-31 2009-03-10 Tokyo Electron Limited Sequential oxide removal using fluorine and hydrogen
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
US7704897B2 (en) * 2008-02-22 2010-04-27 Applied Materials, Inc. HDP-CVD SiON films for gap-fill
JP5284438B2 (ja) * 2011-02-09 2013-09-11 キヤノン株式会社 固体撮像装置、及び固体撮像装置の製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190090026A (ko) * 2016-12-22 2019-07-31 어플라이드 머티어리얼스, 인코포레이티드 기저 구조 재료에 대한 직접적인 rf 노출 없이 등각성의 밀폐 유전체 캡슐화를 위한 sibn 필름

Also Published As

Publication number Publication date
US20140187045A1 (en) 2014-07-03
WO2014107282A1 (en) 2014-07-10
US20140186544A1 (en) 2014-07-03
TW201432085A (zh) 2014-08-16
WO2014107290A1 (en) 2014-07-10
TW201435116A (zh) 2014-09-16
JP2016503966A (ja) 2016-02-08

Similar Documents

Publication Publication Date Title
KR20150103227A (ko) 고밀도 플라즈마를 구현하는 실리콘 질화물 갭필
KR101289795B1 (ko) 개선된 갭필 애플리케이션들을 위한 고-수율 hdp-cvd 프로세스들
US8450191B2 (en) Polysilicon films by HDP-CVD
US7524750B2 (en) Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7972968B2 (en) High density plasma gapfill deposition-etch-deposition process etchant
US6808748B2 (en) Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7867921B2 (en) Reduction of etch-rate drift in HDP processes
US7745350B2 (en) Impurity control in HDP-CVD DEP/ETCH/DEP processes
WO2007001878A2 (en) Gapfill using deposition-etch sequence
US7189639B2 (en) Use of germanium dioxide and/or alloys of GeO2 with silicon dioxide for semiconductor dielectric applications
US7064077B2 (en) Method for high aspect ratio HDP CVD gapfill
US8497211B2 (en) Integrated process modulation for PSG gapfill
US20080299775A1 (en) Gapfill extension of hdp-cvd integrated process modulation sio2 process
JP4808716B2 (ja) 半導体処理におけるマイクロコンタミネーションの削減
US11655537B2 (en) HDP sacrificial carbon gapfill

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid