TW201432085A - 使用高密度電漿之金屬處理 - Google Patents

使用高密度電漿之金屬處理 Download PDF

Info

Publication number
TW201432085A
TW201432085A TW102148446A TW102148446A TW201432085A TW 201432085 A TW201432085 A TW 201432085A TW 102148446 A TW102148446 A TW 102148446A TW 102148446 A TW102148446 A TW 102148446A TW 201432085 A TW201432085 A TW 201432085A
Authority
TW
Taiwan
Prior art keywords
substrate
density plasma
high density
gas
dielectric layer
Prior art date
Application number
TW102148446A
Other languages
English (en)
Inventor
Qiang Hua Zhong
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201432085A publication Critical patent/TW201432085A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02301Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment in-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

敘述一種利用高密度電漿化學氣相沉積形成介電層的方法。介電層形成於金屬膜上。在進入高密度電漿處理室前,金屬膜即存在於基板上。處理金屬膜以移除氧化且可選地促進介電層黏著於金屬膜上。

Description

使用高密度電漿之金屬處理
本申請案主張於2013年1月29日所提出之美國非臨時專利申請案申請號第13/752520號之優先權之效益,美國非臨時專利申請案申請號第13/752520號主張於2013年1月2日所提出之美國臨時專利申請案申請號第61/748276號發明名稱「使用高密度電漿之金屬處理」以及2013年1月11日所提出之美國臨時專利申請案申請號第61/751629號之發明名稱「實施高密度電漿的氮化矽間隙填充」之權益。上述各申請案之全文在此以用於所有目的之參考形式併入本文。
本發明係關於使用高密度電漿之金屬處理。
傳統熱化學氣相沉積製程提供反應氣體至基板表面,在該處,來自表面的熱引起化學反應而產生薄膜。藉由使用電漿源協助化學反應,已可實現沉積速率及薄膜特性的改良。藉由應用射頻(RF)能量至接近基板表面之反應區,電漿增強化學氣相沉積(PECVD)技術促進反應氣體之激發、解離及離子化,進而產生電漿。電漿中成分的高反應性降低了活化化學反應所需的能量。相較於傳統熱化學氣相沉積製 程,這有效地降低電漿增強化學氣相沉積製程所需之基板溫度。因為降低基板溫度降低擴散或其他質量傳輸效應的可能性,而擴散或其他質量傳輸效應可能造成在製造過程中產量之減少,所以降低基板溫度是吸引人的。
藉由高密度電漿(HDP)化學氣相沉積技術(其中,稠密電漿形成於低真空壓力下),讓電漿物種更加具有反應性,而使能達成進一步的改良。高密度電漿化學氣相沉積容許在維持較高離子濃度的同時使用低分壓之反應氣體。高密度電漿化學氣相沉積也允許獨立於離子化能量來控制加速能量。除了關於圖案化晶圓處理之差別外,有許多材料的改變係肇因於使用高密度電漿來沉積薄膜。相較其他化學氣相沉積方法,當利用高密度電漿化學氣相沉積方法沉積薄膜時,所產生之薄膜可具有較高的密度。
在用以停止蝕刻、用以停止拋光及處理或是操作積體電路時抵抗分子擴散的密封,高密度薄膜皆係有用的。舉例而言,氮化矽已被用作位於前金屬介電層及半導體基板之間的阻障層。需要新的處理技術以擴展高密度薄膜應用的範圍。
敘述一種利用高密度電漿化學氣相沉積形成介電層的方法。介電層形成於金屬膜上。在進入高密度電漿處理室前,金屬膜即存在於基板上。處理金屬膜以移除氧化且促進介電層黏著於金屬膜上。
本發明之實施例包含一種在基板處理室之基板處理 區中沉積介電層於基板之金屬表面上的方法。該方法包含傳送基板至基板處理區中的依序步驟。該方法更包含利用脫氧(deoxidation)高密度電漿將基板脫氧以移除氧化層。脫氧高密度電漿包括氫(H),但脫氧高密度電漿為無矽、無碳、無氮、無氟及無氧。該方法更包含藉由從包括矽源之沉積處理氣體於基板處理區中形成沉積高密度電漿,以在用以處理該基板之相同的該基板處理區中形成該介電層於該基板上。該方法更包含自基板處理區移除基板。
部分額外之實施例及特徵將在下文的敘述中說明,且本發明所屬技術領域中具有通常知識者藉由研究說明書可容易明瞭,或透過實施所揭露之實施例而可認識部分額外之實施例及特徵。藉由說明書中所述之工具之手段、組合及方法,可理解或實現所揭露實施例之特徵及優點。
102~110‧‧‧處理步驟
1010‧‧‧高密度電漿化學氣相沉積系統
1013‧‧‧腔室
1014‧‧‧拱頂
1016‧‧‧電漿處理區
1017‧‧‧基板
1018‧‧‧基板支承構件
1019‧‧‧基板接收部
1020‧‧‧靜電夾具
1021‧‧‧基底部
1022‧‧‧主體構件
1023‧‧‧加熱板
1024‧‧‧冷卻板
1025‧‧‧節流閥主體
1026‧‧‧節流閥閥門
1027‧‧‧閘閥
1028‧‧‧渦輪分子泵
1029‧‧‧頂線圈
1030‧‧‧側線圈
1031‧‧‧射頻產生器
1032‧‧‧匹配網路
1033‧‧‧氣體分配系統
1034‧‧‧氣體來源
1035‧‧‧氣流控制器
1036‧‧‧環氣室
1037‧‧‧氣環
1038‧‧‧氣體分配線
1039‧‧‧來源氣體噴嘴
1040‧‧‧氧化器氣體噴嘴
1041‧‧‧主體氣室
1043‧‧‧閥門
1044‧‧‧真空前級管道
1045‧‧‧頂噴嘴
1046‧‧‧頂排氣孔
1048‧‧‧頂氣室
1050‧‧‧電漿清洗系統
1051‧‧‧微波產生器
1053‧‧‧反應器腔體
1054‧‧‧清洗氣體饋送通口
1055‧‧‧供給管
1056‧‧‧下處理位置
1057‧‧‧上裝載位置
1061‧‧‧阻流板
1062‧‧‧中央通道
1070‧‧‧真空系統
1080‧‧‧電漿系統
藉由參照說明書其餘部分及附圖,可更了解所揭露之實施例的本質及優點。
第1圖係根據揭露之實施例說明生長氮化矽膜之選定步驟的流程圖。
第2A圖係根據本發明之實施例之高密度電漿化學氣相沉積系統之一個實施例的簡化圖。
第2B圖係可與第2A圖之例示性處理系統共同使用之氣環的簡化剖面圖。
在所附圖式中,相似的元件及/或特徵可具有相同的元件符號。此外,相同類型的各種元件可藉由在該元件符 號後附上破折號及可區分相似元件之第二符號而加以分辨。如果說明書中只有使用第一元件符號,則不論第二元件符號為何,敘述適用於具有相同之第一元件符號的相似元件中任一個。
敘述一種利用高密度電漿化學氣相沉積形成介電層的方法。介電層形成於金屬膜上。在進入高密度電漿處理室前,金屬膜即存在於基板上。處理金屬膜以移除氧化且促進介電層黏著於金屬膜上。
已透過利用高密度電漿技術發展沉積介電層於基板之金屬表面的方法。已發展共形地沉積介電層於圖案化基板的方法,以避免分子移動至金屬表面,使得可維持利用這些技術所製造之元件的導電性及可靠性。已發現在沉積期間施加零或相對低之偏壓功率,可減少應力及使高深寬比溝槽能夠共形覆蓋。已發現在形成介電層前之氫電漿處理可從基板之金屬表面移除氧化。因此,氫電漿處理增加介電層之黏著且改善所完成之元件的性能。這些高密度電漿化學氣相沉積(HDP-CVD)技術可用以提供密閉式密封,並且可創造期望的鈍化層,該鈍化層保護金屬表面不受腐蝕或其他劣化。
如同在此所使用的,高密度電漿製程是一種採用具有1011離子/立方公分(ions/cm3)或更高之量級的離子密度之電漿的電漿化學氣相沉積製程。高密度電漿也可具有10-4或更高之離子化分數(離子/中性粒子比)。一般而言,高密度電漿化學氣相沉積製程包含同時沉積及濺射組成。本發明 實施例中部分高密度電漿化學氣相沉積製程係不同於傳統之高密度電漿化學氣相沉積製程,傳統之高密度電漿化學氣相沉積製程通常優化以用於間隙填充。在部分步驟及實施例中,以實質上降低之基板偏壓功率(<10%之總電漿功率)而達成共形之介電薄膜,並且因此相較於使用顯著偏壓功率之高密度電漿化學氣相沉積製程產生較少之濺射。儘管這偏離了傳統高密度電漿製程參數,但涉及濺射及沉積速率之數量特徵將為有用的且定義如下。
高密度電漿之綜合沉積及濺射特徵的相對值可取決於如下之因子:用於提供氣體混合物之氣體流動速率、施加以維持電漿之源功率位準、施加至基板之偏壓功率及其類似因子。可方便地將這些因子的結合特徵化為「沉積濺射比」,其定義為:
當沉積增加時沉積濺射比升高,當濺射增加時沉積濺射比降低。如同沉積濺射比之定義中所用的,「淨沉積速率」指的是當沉積及濺射同時發生時所測量的沉積速率。「總濺射速率」指的是當不使用沉積氣體(舉例而言,剩下氮及流動氣體(fluent))執行製程製作方法時所測量的濺射速率。剩餘氣體之流動速率增加並維持其間之固定比率,以達到在正常處理期間處理室中存在的壓力。
如同本發明所屬技術領域中具有通常知識者所了解 的,其他功能性相等之測量方法可用於定量高密度電漿製程中沉積及濺射之相對貢獻。一種常用之替代比率為「蝕刻沉積比」:
蝕刻沉積比會隨著濺射增加而增加且會隨著沉積增加而減少。如同蝕刻沉積比之定義中所用的,「淨沉積速率」再次指的是當沉積及濺射同時發生時所測量的沉積速率。然而,「來源唯一沉積速率」指的是當執行沒有濺射之製程配方時所測量的沉積速率。本發明之實施例於此係以沉積濺射比進行敘述。當沉積濺射比與蝕刻沉積比不是準確的倒數時,它們之間為負相關且本發明所屬技術領域中具有通常知識者將可了解它們之間的轉換。
典型之高密度電漿化學氣相沉積製程係用於溝槽幾何形狀之間隙填充。在間隙填充製程中,基板偏壓射頻功率係用於加速朝向基板的離子,該基板產生狹窄範圍之接近軌道。這樣的狹窄加上濺射活性使間隙在成長的介層窗之頂角會合之前可被填滿,而形成且維持孔洞。舉例而言,在這樣間隙填充應用中之沉積濺射比(D:S)可為自約3:1至約10:1之範圍之間,而例如部分其他之應用則具有約25:1之沉積濺射比。根據本發明之實施例所生長的介電膜可由利用相對低基板偏壓功率之高密度電漿化學氣相沉積製程所產生。在這些條件下適用於特徵化沉積濺射比之總濺射速率可 為低的,且在所揭露之實施例中沉積濺射比通常可期待為高於或約25:1、高於或約50:1、高於或約75:1或是高於或約100:1。
為了能更加理解及明白本發明,現請參照第1圖,第1圖為根據本發明之實施例說明形成共形氮化矽介電膜之選定步驟的流程圖。氮化矽形成製程係開始於傳送具有溝槽之圖案化基板至基板處理區中(操作102)。引入氫氣(H2)至基板處理區,並且形成高密度電漿(操作104),以在共形氮化矽沉積前,前處理圖案化基板之表面。此前處理將圖案化基板上暴露之金屬表面脫氧,並且促進氮化矽鈍化層所產生之密閉式密封。薄金屬氧化層的脫氧被認為是由於高密度電漿中存在有活化氫的關係。活化氫可與表面存在的氧或接近表面的氧結合,而產生自基板處理區脫附及排氣之複合物。氧化能夠移除部分是肇因於脫氧高密度電漿中缺少沉積前驅物。脫氧高密度電漿為無矽、無碳、無氮、無氟及無氧。在本發明之實施例中,脫氧高密度電漿係僅由氫及惰性氣體所組成。
在所揭露之實施例中,氧化層(在操作104中被移除)下的金屬表面可包括銅、鋁或鎢。更普遍地,在本發明之實施例中,金屬表面可具有一電阻係數,該電阻係數為低於或約100奈歐姆-公尺(nanoohm-meters)、低於或約75奈歐姆-公尺、低於或約50奈歐姆-公尺或是低於或約25奈歐姆-公尺。
可選地,在基板脫氧後包含介面準備步驟(步驟 106),基板脫氧發生於步驟104。介面準備步驟包含利用介面高密度電漿處理基板,其中介面高密度電漿包括形成自介面準備處理氣體之氫(H)及氮(N)。發明人已發現介面高密度電漿應該為無氟,以改善與底層金屬表面之化學可相容性。因此,在前一個脫氧步驟中也是無氟。在本發明之實施例中,氨(ammonia)或聯氨(hydrazine)可流入基板處理區(高密度電漿區)作為氫(H)及氮(N)的來源。或者,氫分子(H2)及氮分子(N2)可流入高密度電漿區,以形成包含氫(H)及氮(N)之介面高密度電漿。
在與用於脫氧前處理步驟及可選的介面準備步驟二者之相同的基板處理區中,接著形成氮化矽介電層於基板上(步驟108)。透過在基板處理區中自包括矽源(SiH4)及氮源(N2)的沉積處理氣體形成沉積高密度電漿,而造成氮化矽形成。可使用其他矽及氮的來源,也可使用合併之矽氮源替代前述來源或增加不同沉積源。接著,在步驟110中,將基板自基板處理區移除。
處理氣體混合物提供了於基板上形成氮化矽膜之氮與矽的來源。前驅物氣體可包含如甲矽烷(SiH4)之含矽氣體,以及如氮分子(N2)之含氮(N)氣體。當然可使用其他氣體。包含矽及氮二者之分子亦為可用的,並且可用以作為一或多種前驅物氣體。在揭露之實施例中,矽源及氮源是藉由不同的傳送通道而引入,因此它們在接近反應區或反應區中開始混合。也可引入惰性氣體或流動氣體,以促進自處理氣體混合物之其他成分產生離子物種。舉例而言,氬比氮分 子(N2)更容易離子化,並且在一實施例中可提供電子至電漿,而電漿接著協助氮分子的解離及離子化。此效應增加了化學反應之可能性及沉積速率。流體可透過與矽源及氮源中其一或二者相同的傳送通道或透過不同的通道一同引入。
在操作108中,少量或無電漿偏壓功率施加於高密度電漿及基板之間以加速離子朝向基板。因此,共形(或以共形為主)之氮化矽形成於基板上。在揭露之實施例中,基板偏壓功率可為零、低於100瓦、低於200瓦、低於300瓦或低於500瓦。發明人僅發現少量或無電漿偏壓功率(如這些實施例中所表示)造成基板上所形成的共形氮化矽鈍化。已發現這些偏壓功率達到先前討論第1圖時所列出之沉積濺射比。以此方式在具有高深寬比金屬襯裡之溝槽(如MRAM或各種其他應用中所發現的)的圖案化基板上執行氮化矽沉積,產生共形保護之氮化矽層,而該氮化矽層保護金屬不遭受化學物質傷害或遷移。
根據此方法形成共形介電質使此製程能夠在相對低之基板溫度下進行。雖然典型熱介電質沉積製程可在650℃或更高之基板溫度下進行,在本發明之實施例中,當形成高密度電漿介電質時所使用之基板溫度可低於或約500℃、低於或約450℃或是低於或約400℃。可以多種不同的方式控制基板的溫度。在此所述的方法中,利用氫電漿,基板可加熱至沉積溫度。在電漿會提高基板溫度至高於這些範圍的狀況下,基板背面可藉由背側流通氦氣而冷卻。
甲矽烷不是唯一有用於形成如氮化矽之矽基 (silicon-based)介電膜的矽源。乙矽烷及更高級之矽烷也能用於形成這些膜,在相鄰矽原子之間具有一或多個雙鍵的矽烷也能。在本發明之實施例中,用於形成矽(且一般而言,含矽介電質)的矽烷為不含鹵素的,以避免鹵素摻入所形成之薄膜中。一般而言,這些矽源可單獨使用或與彼此之任意組合結合使用,而皆統稱為沉積處理氣體。
已發現氨(NH3)在介面準備步驟中是有用的氮源。發明人也已發現利用聯氨(N2H4)及其他含氫及氮化合物作為介面高密度電漿之輸入物。也已發現氮分子(N2)可提供後續之氮化矽介電層與基板之金屬表面之間值得生產的黏著。氮分子也可與氫源結合,以產生與氨或聯氨化學相似的結果。
關於形成介電層,介電層可為氧化矽、氧氮化矽、氧碳化矽、氮化矽、碳化矽或氮化矽碳之含矽介電層。在實施例中,介電層可大致上為共形,並且厚度為低於或約10奈米。高密度電漿對用於供應電漿之化學物質類型並不敏感,並且因此用於形成各該些含矽介電膜之前驅物的選擇有相當大的自由度。沉積處理氣體可包含氮源、氧源或碳源中至少一者。含碳膜可利用多種烴類的其中任一種而形成,例如CH4、C2H6、C3H8等。含氧膜可利用多種氧前驅物的其中任一種所形成,例如O2、O3、H2O及其類似物。在揭露之實施例中,當形成以上所列之三級介電層時,可使用含氧及氮、含氧及碳、或含氮及碳的前驅物。總的來說,在以上例子中之氮化矽可為含矽介電層。
在此所提到之任何一種處理氣體皆可與惰性氣體結 合,而惰性氣體可幫助穩定高密度電漿或改善橫跨基板之共形介電質沉積的均勻性。在本發明之實施例中,氬氣、氖氣及/或氦氣會被添加至這些處理氣體,且將被稱為流體氣體或惰性氣體。在該等步驟之一或多個步驟中,可引入流體氣體以改變(例如:增加)電漿密度或穩定性。增加電漿密度可幫助增加電漿中之離子化及解離之可能性。
降低含矽介電層中之氫含量已與抵抗化學物質遷移移至金屬表面的較佳之密封能力相關聯。可藉由減少傳遞至高密度電漿之前驅物中可取得之氫而降低氫含量。舉例而言,在形成介電層期間,可使用氮分子(N2)來替代氨(NH3)。在反應區中維持低壓也可幫助維持低氫含量。壓力增加減少了平均自由徑,而因此改變了離子化分數及氣相之動態,阻礙了形成過程中氫自氮化矽網絡中的移除。在揭露之實施例中,反應區中之壓力可為50毫托(mTorr)或低於50毫托、為40毫托或低於40毫托、為25毫托或低於25毫托、為15毫托或低於15毫托、為10毫托或低於10毫托,或是為5毫托或低於5毫托。這些基板處理區中之壓力也可形成用於脫氧步驟及介面處理步驟之製程壓力實施例。以下所列之基板溫度也可應用至於此所述之所有處理步驟。
在揭露之實施例中,基板溫度維持於或低於600℃、500℃或450℃。供應至基板處理區以產生高密度電漿之射頻功率將在後面更詳細地描述,然而,在本發明之實施例中,當形成介電層時,總射頻功率可為大於約5000瓦且低於或約 13000瓦。這些功率係低於用於典型氧化矽沉積條件之功率,且此差異可歸因於當藉由高密度電漿化學氣相沉積進行沉積時,氮化矽所展現之較大的壓縮應力。發明人已發現在氮化矽層形成之期間,將總射頻功率操作於5千瓦至13千瓦範圍內減少了薄膜應力,而進一步改善氮化矽層之黏著。在實施例中,基板係以無沉積偏壓功率或至少相對小之偏壓功率(例如,小於約500瓦)偏壓於沉積高密度電漿。
關於製程中之其他步驟,形成脫氧高密度電漿可包含當將基板脫氧時,施加介於約5000瓦至約20000瓦之間的射頻功率至基板處理區。較寬廣的製程裕度(process window)是藉由缺少形成膜而實現。因此,沒有應力的考量存在,並且較高之電漿功率將不會對製程產生負面影響。在本發明之實施例中,當將基板脫氧時,利用0瓦、低於或約100瓦、低於或約200瓦、低於或約300瓦或是低於或約500瓦之脫氧偏壓功率,可將脫氧高密度電漿相對基板偏壓。相似地,以介面準備步驟處理基板可包含當處理基板時,施加具有介於約5000瓦至約20000瓦之總電漿功率之射頻頻率的介面高密度電漿至基板處理區。在本發明之實施例中,當將基板脫氧時,利用0瓦、低於或約100瓦、低於或約200瓦、低於或約300瓦或是低於或約500瓦之介面偏壓功率,可將介面高密度電漿相對於基板偏壓。
一般來說,於此所述之製程可用以描述含有矽及氮的薄膜,而不僅是氮化矽。在本發明之實施例中,遠端電漿蝕刻製程可移除下述之氮化矽:該氮化矽中包含約30%或更 高之矽原子濃度以及約45%或更高之氮原子濃度。在揭露之實施例中,遠端電漿蝕刻製程可移除下述之氮化矽:該氮化矽中包含約40%或更高之矽原子濃度以及約55%或更高之氮原子濃度。含矽氮材料也可基本上由矽及氮所組成,允許少量之摻雜劑濃度及其他非期望或期望之微量添加物。
額外之製程參數在描述例示性處理室及系統的過程中揭露。
例示性基板處理系統
發明人已藉由APPLIED MATERIALS,INC.(Santa Clara,Calif.)所製造之ULTIMATM系統實施本發明之實施例,該系統之概述係提供於1996年7月15日由Fred C.Redeker、Farhad Moghadam、Hirogi Hanawa、Tetsuya Ishikawa、Dan Maydan、Shijian Li、Brian Lue、Robert Steger、Yaxin Wang、Manus Wong及Ashok Sinha所提出之共同讓渡的美國專利第6170428號「對稱可調諧電感耦合高密度電漿化學氣相沉積反應器」,其全文在此以參考形式併入本文。連同第2A~2B圖,於以下提供系統之概觀。第2A圖概略性地繪示了一實施例中之此高密度電漿化學氣相沉積系統1010的結構。系統1010包含腔室1013、真空系統1070、源電漿系統1080A、基板偏壓電漿系統1080B、氣體分配系統1033以及遠端電漿清洗系統1050。
腔室1013之上部包含拱頂1014,拱頂1014是由陶瓷介電材料所製成,例如氧化鋁或氮化鋁。拱頂1014界定了電漿處理區1016之上邊界。基板1017之上表面及基板支承 構件1018界定電漿處理區1016之底部。
加熱板1023及冷卻板1024裝在拱頂1014上且熱耦合於拱頂1014。加熱板1023及冷卻板1024使拱頂溫度能控制在約100℃至200℃範圍之約10℃內。此舉讓拱頂溫度可對應各種製程而優化。舉例而言,相較於沉積製程,在清洗或蝕刻製程時維持拱頂為較高溫度可為理想的。拱頂溫度之準確控制也減少腔室中之剝落或顆粒量,並且改善沉積層與基板之間的黏著。
腔室1013之下部包含主體構件1022,主體構件1022將腔室連接真空系統。基板支承構件1018之基底部1021係固定於主體構件1022上,並且與主體構件1022形成了連續內表面。基板係藉由機器葉片(圖未示)傳送通過位於腔室1013一側之進入/移除開口(圖未示)而進出腔室1013。在馬達(圖亦未示)的控制下舉起及降低升舉銷(圖未示),以將基板自位於上裝載位置1057之機器葉片移動至下處理位置1056,在下處理位置1056中基板係放置於基板支承構件1018之基板接收部1019上。基板接收部1019包含靜電夾具1020,靜電夾具1020在基板處理過程中將基板固定至基板支承構件1018。在較佳實施例中,基板支承構件1018係由氧化鋁或鋁陶瓷材料所製成。
真空系統1070包含節流閥主體1025,節流閥主體1025容納有雙葉片節流閥閥門1026且連接至閘閥1027及渦輪分子泵1028。應注意的是,節流閥主體1025提供對氣體流動之最小阻礙,並且允許對稱泵送。閘閥1027可將泵1028 自節流閥主體1025分離,並且當節流閥閥門1026全開時,也可藉由限制排氣流動能力而控制腔室壓力。節流閥閥門、閘閥及渦輪分子泵的排列允許腔室壓力可準確且穩定地控制到多達約1毫托至約2毫托。
源電漿系統1080A包含固定於拱頂1014上之頂線圈1029及側線圈1030。對稱的接地屏蔽(圖未示)減少了線圈之間的電耦合。頂線圈1029是由頂源射頻(SRF)產生器1031A供電,而側線圈1030是由側源射頻產生器1031B供電,使各線圈有獨立之操作功率位準及頻率。此雙線圈系統容許控制腔室1013中之徑向離子密度,進而改善了電漿均勻性。側線圈1030及頂線圈1029為典型的感應驅動,而不需要互補電極。在特定實施例中,頂源射頻產生器1031A在公稱2MHz提供多達5000瓦之射頻功率,而側源射頻產生器1031B在公稱2MHz提供多達7500瓦之射頻功率。頂射頻產生器及側射頻產生器之操作頻率可自公稱操作頻率位移(例如,分別至1.7~1.9MHz及1.9~2.1MHz),以改善電漿產生效率。
基板偏壓電漿系統1080B包含偏壓射頻(BRF)產生器1031C及偏壓匹配網路1032C。偏壓電漿系統1080B將基板部1017電容性地耦合至主體構件1022,作為互補電極。偏壓電漿系統1080B係用於促進源電漿系統1080A所產生之電漿物種(例如,離子)移動至基板的表面。在一特定實施例中,基板偏壓射頻產生器在約13.56MHz之頻率提供多達10000瓦之射頻功率。
射頻產生器1031A、1031B包含數位控制合成器。如本發明所屬技術領域中具有通常知識者所了解,各產生器包含射頻控制電路(圖未示),射頻控制電路測量自腔室及線圈回到產生器之反射功率並且調整操作頻率,以得到最低反射功率。射頻產生器係典型地設計為運行成為具有50歐姆之特性阻抗的負載。射頻功率可反射自與產生器具有不同特性阻抗的負載。這可以降低傳送至負載的功率。此外,自負載反射回產生器之功率可能會過載且傷害產生器。由於電漿之阻抗的範圍可自低於5歐姆至超過900歐姆(在眾多因子中,這特別取決於電漿離子濃度),並且由於反射功率可為頻率的函數,所以根據反射功率調整產生器頻率會增加自射頻產生器傳送至電漿的功率並且保護了產生器。減少反射功率及改善效率之另一方法為匹配網路。
匹配網路1032A、1032B將產生器1031A、1031B之輸出阻抗與其分別之線圈1029、1030匹配。射頻控制電路可藉由改變匹配網路中之電容值,以當負載改變時將產生器與負載匹配,進而可調諧匹配網路二者。當自負載反射回產生器之功率超過特定極限時,射頻控制電路可調諧匹配網路。一種提供固定匹配且有效地使射頻控制電路無法調諧匹配網路的方法為,設定反射功率極限超過反射功率之任何期待值。此舉藉由使匹配網路維持恆定於其最近之條件,而在部分情況下可幫助穩定電漿。
其他方法也可幫助穩定電漿。舉例而言,射頻控制電路可用於決定傳遞至負載(電漿)之功率,並且可增加或 減少產生器輸出功率,以在沉積一層之期間維持傳遞功率實質上恆定。
氣體分配系統1033透過氣體分配線1038(僅顯示部分)之方式自數個來源1034A~1034E提供氣體至用於處理基板之腔室。如同本發明所屬技術領域中具有通常知識者所能理解的,用於來源1034A~1034E之實際來源及分配線1038至腔室1013之間的實際連結,係根據在腔室1013中執行之沉積及清洗製程而有所不同。氣體係藉由氣環1037及/或頂噴嘴1045而引入腔室1013。第2B圖為腔室1013之簡化部分剖面圖,顯示了氣環1037之額外細節。
在一個實施例中,第一及第二氣體來源1034A、1034B以及第一及第二氣流控制器1035A'、1035B'係藉由氣體分配線1038(僅顯示部分)的方式,提供氣體至氣環1037中之環氣室1036。氣環1037具有複數個來源氣體噴嘴1039(出於說明的目的,僅顯示一個),來源氣體噴嘴1039提供均勻的氣流於整個基板上。可改變噴嘴長度及噴嘴角度,使能夠為個別腔室中之特定製程設計均勻性分布及氣體利用率。在較佳實施例中,氣環1037具有12個由氧化鋁陶瓷所製成之來源氣體噴嘴。
氣環1037也具有複數個氧化器氣體噴嘴1040(僅顯示一個),氧化器氣體噴嘴1040在一個實施例中與來源氣體噴嘴1039共平面且短於來源氣體噴嘴1039,並且在一個實施例中自主體氣室1041接收氣體。在部分實施例中,在氣體注入腔室1013前不混合來源氣體及氧化器氣體是理想的。在 其他實施例中,藉由在主體氣室1041及氣環氣室1036之間提供孔洞(圖未示),氧化器氣體及來源氣體可在氣體注入腔室1013前混合。在一個實施例中,第三、第四及第五氣體來源1034C、1034D、1034D'以及第三及第四氣流控制器1035C、1035D'係藉由氣體分配線1038的方式,提供氣體至主體氣室1041。例如1043B之(其他閥門未示)之額外閥門可關閉自氣流控制器至腔室的氣體。在實施本發明之特定實施例中,來源1034A包括甲矽烷(SiH4)源,來源1034B包括氮分子(N2)源,來源1034C包括TSA源,來源1034D包括氬(Ar)源以及來源1034D'包括乙矽烷(Si2H6)源。
在使用具可燃性、毒性或腐蝕性氣體之實施例中,在沉積後清除氣體分配線中殘留的氣體是理想的。舉例而言,這可利用如閥門1043B之三向閥門完成,以自分配線1038A隔離腔室1013並且將分配線1038A排氣至真空前級管道1044。如第2A圖中所顯示,如1043A、1043C之其他相似的閥門,可納入至其他氣體分配線上。這樣的三向閥門可盡可能接近腔室1013放置較為實用,以最小化未排氣之氣體分配線(在三向閥門與腔室之間)的體積。此外,雙向(開-關)閥門(圖未示)可放置於質量流量控制器(MFC)與腔室之間或氣體來源與質量流量控制器之間。
再次參照第2A圖,腔室1013也具有頂噴嘴1045及頂排氣孔(top vent)1046。頂噴嘴1045及頂排氣孔1046使得能夠獨立控制頂部與側面之氣體流動,進而改善了薄膜均勻性以及使得能夠微調薄膜之沉積及摻雜參數。頂排氣孔 1046是圍繞頂噴嘴1045之環形開口。在一個實施例中,第一氣體來源1034A供給來源氣體噴嘴1039及頂噴嘴1045。來源噴嘴質量流量控制器1035A'控制傳遞至來源氣體噴嘴1039之氣體量,而頂噴嘴質量流量控制器1035A控制傳遞至頂氣體噴嘴1045之氣體量。相似地,二個質量流量控制器1035B、1035B'可用於控制自如來源1034B之氧的單一來源至頂排氣孔1046與氧化器氣體噴嘴1040二者的氧流量。在部分實施例中,氧不會自任何側噴嘴供給至腔室。在氣體流動至腔室1013中前,供應至頂噴嘴1045及頂排氣孔1046的氣體可保持分開,或是氣體可在流入腔室1013前即在頂氣室1048混合。相同氣體的獨立來源可用以供應腔室之不同部分。
遠端微波產生電漿清洗系統1050係提供以定期從腔室部件洗掉沉積殘留物。清洗系統包含遠端微波產生器1051,遠端微波產生器1051自反應器腔體1053中之清洗氣體來源1034E(例如,氟分子、三氟化氮、其他碳氟化合物或等效物)產生電漿。自此電漿產生之反應物種係藉由供給管1055之方式,透過清洗氣體饋送通口1054輸送至腔室1013。用於容納清洗電漿(例如,腔體1053及供給管1055)之材料必須可以抵抗電漿之攻擊。由於理想電漿物種之濃度可隨著與反應器腔體1053之間的距離而下降,所以反應器腔體1053及饋送通口1054之間的距離應保持越短越實用。在遠端腔體中產生清洗電漿使得能夠使用高效能微波產生器,並且不會使腔室部件受到電漿在原位形成時可能存在之輝光放電的溫度、輻射或轟擊。因此,如靜電夾具1020之相對敏感之部件 便不需要覆蓋有虛擬晶圓或以其他方式受到保護,虛擬晶圓(或其他保護)是在原位電漿清洗製程中可能需要的。在第2A圖中,雖然可替代使用其他位置,但圖中顯示電漿清洗系統1050設置在腔室1013上。
阻流板1061可提供於頂噴嘴之近端,以導引透過頂噴嘴供應至腔室中之來源氣體的流動,或是導引遠端產生之電漿的流動。透過頂噴嘴1045供應之來源氣體係導引至通過中央通道1062而進入腔室,而透過清洗氣體饋送通口1054所提供之遠端產生的電漿物種係藉由阻流板1061導引至腔室之側邊。
已發現陳化(seasoning)基板處理區之內壁可改善許多高密度電漿沉積製程。高密度含矽膜的形成也不例外。陳化涉及在沉積基板引入基板處理區前,將氧化矽沉積於腔室內壁上。在實施例中,陳化基板處理區之內部包括自包括氧源及矽源之陳化處理氣體於基板處理區中形成高密度電漿。氧源可為雙原子氧(O2)且矽源可為甲矽烷(SiH4),但其他前驅物也可滿足此需求。
本發明所屬技術領域中具有通常知識者將了解對於不同反應腔室及不同處理條件而言製程參數可能有所不同,並且瞭解在不脫離本發明之精神下可使用不同的前驅物。除甲矽烷之外,適合之含矽前驅物可包含三矽烷基胺(TSA,(SiH3)3N)及乙矽烷(Si2H6)。在揭露之實施例中,含矽前驅物可為由矽及氫所組成之任何前驅物。在本發明之實施例中,含矽前驅物可由矽、氫及氮所組成。相似地,在揭露之 實施例中,含矽前驅物可由矽、氫及碳所組成,或是含矽前驅物可由矽、氫及氧所組成。其它變化對本發明所屬技術領域中具有通常知識者將是顯而易見的。申請人希望這些等效物及替代物涵蓋於本發明之範疇內。因此,本發明之範疇不應限制於所敘述之實施例,而是應由以下之申請專利範圍所界定。
全文中「溝槽」之用語並非用於暗示經蝕刻之幾何形狀具有高水平長寬比(horizontal aspect ratio)。自表面上方觀察,溝槽可顯示環狀、橢圓形、多角形、矩形或是各種其他形狀。「通孔」之用語係用於指低深寬比之溝槽,且該溝槽可能會或可能不會填充有金屬而形成垂直電連接。如此所使用的,共形層指的是在表面上之大致上均勻的一層材料,具有與表面相同之形狀,也就是該層之表面與被覆蓋之表面係大致上平行。本發明所屬技術領域中具有通常知識者將理解沉積材料可能無法100%共形,而因此「大致上」之用語允許可接受的公差。在揭露之實施例中,在此「共形」層最薄的部分可為相同「共形」層最厚部分的10%或20%內。
已經描述了數個實施例,本發明所屬技術領域中具有通常知識者應了解在不脫離本發明之精神下,可使用各種修改、替代之結構及等效物。此外,一些習知之製程及元件並未敘述,以避免不必要地模糊本發明。因此,以上敘述不應解釋為限制本發明之範疇。
當提供一範圍時,應瞭解除非上下文有明確指出,否則範圍上下限之間之到下限單位十分之一的各個中間值也 已明確揭露。在所述範圍中之任何陳述數值(或居中值)與所述範圍中之任何其他陳述數值(或居中值)之間的各個較小範圍皆已涵蓋。這些較小範圍之上下限可獨立地包括或排除於該範圍,並且「上下限之任一者、無一者、或兩者包括於該較小範圍中」的每一範圍也涵蓋於本發明中,取決於在所述範圍中任何特別排除之極限。當所述範圍包含該等極限之一者或兩者時,排除那些包含界限中任一或二者的範圍也被包含。
如同在此及所附之申請專利範圍中所用,除非上下文另有明確規定,否則單數形式「一」及「該」包含了複數形式。因此,舉例而言,「一製程」包含了複數個這樣的製程,並且「該前驅物」包含一或多個前驅物及其本發明所屬技術領域中具有通常知識者所知之等效物等。
此外,當「包括」及「包含」之用語用於此說明書及隨後的申請專利範圍時,是用於指出所述特徵、整體、成分或步驟的存在,但並非用於排除一或多個其他特徵、整體、成分、步驟、動作或群組的存在或增加。
102~110‧‧‧處理步驟

Claims (15)

  1. 一種在一基板處理室之一基板處理區中沉積一介電層於一基板之一金屬表面上的方法,該方法依序包括以下步驟:傳送該基板至該基板處理區中;利用一脫氧高密度電漿將該基板脫氧以移除一氧化層,其中該脫氧高密度電漿包括氫(H)但該脫氧高密度電漿為無矽、無碳、無氮、無氟及無氧;藉由從包括一矽源之一沉積處理氣體於該基板處理區中形成一沉積高密度電漿,以在用以處理該基板之相同的該基板處理區中形成該介電層於該基板上;以及自該基板處理區移除該基板。
  2. 如請求項1所述之方法,其中該脫氧高密度電漿係由氫及一惰性氣體所組成。
  3. 如請求項1所述之方法,其中該沉積處理氣體更包括一氮源、一氧源或一碳源之至少一者。
  4. 如請求項1所述之方法,其該金屬表面包括銅、鋁或鎢。
  5. 如請求項1所述之方法,其中該金屬表面具有低於100奈歐姆-公尺之一電阻係數。
  6. 如請求項1所述之方法,其中該介電層為氧化矽、氧氮化矽、氧碳化矽、氮化矽、碳化矽或氮化矽碳之其中一者。
  7. 如請求項1所述之方法,其中該介電層係為共形且具有低於或約10奈米之一厚度。
  8. 如請求項1所述之方法,其中形成該沉積高密度電漿包括 以下步驟:當形成該介電層時,施加介於約5000瓦至約13000瓦之間之一總射頻功率至該基板處理區。
  9. 如請求項1所述之方法,其中當形成該介電層時,該基板係以低於或約500瓦之一沉積偏壓功率電偏壓於該沉積高密度電漿。
  10. 如請求項1所述之方法,其中形成該脫氧高密度電漿包括當將該基板脫氧時,施加介於約5000瓦至約13000瓦之間之射頻功率至該基板處理區。
  11. 如請求項1所述之方法,其中當將該基板脫氧時,該基板係以低於或約500瓦之一脫氧偏壓功率電偏壓於該脫氧高密度電漿。
  12. 如請求項1所述之方法,其中該介電層為一氮化矽層。
  13. 如請求項1所述之方法,更包括在將該基板脫氧及形成該介電層之間的一介面準備步驟,其中該介面準備步驟包括以下步驟:利用一介面高密度電漿處理該基板,其中該介面高密度電漿包括形成自一介面準備處理氣體之氫(H)及氮(N),其中該介面高密度電漿為無氟。
  14. 如請求項13所述之方法,其中該介面準備處理氣體包括氨。
  15. 如請求項13所述之方法,其中當處理該基板、將該基板脫氧或形成該介電層時,該基板處理區中之一壓力係低於或約50毫托(mTorr)。
TW102148446A 2013-01-02 2013-12-26 使用高密度電漿之金屬處理 TW201432085A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361748276P 2013-01-02 2013-01-02
US201361751629P 2013-01-11 2013-01-11
US13/752,520 US20140186544A1 (en) 2013-01-02 2013-01-29 Metal processing using high density plasma

Publications (1)

Publication Number Publication Date
TW201432085A true TW201432085A (zh) 2014-08-16

Family

ID=51017489

Family Applications (2)

Application Number Title Priority Date Filing Date
TW102147207A TW201435116A (zh) 2013-01-02 2013-12-19 以高密度電漿實施氮化矽間隙塡充
TW102148446A TW201432085A (zh) 2013-01-02 2013-12-26 使用高密度電漿之金屬處理

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW102147207A TW201435116A (zh) 2013-01-02 2013-12-19 以高密度電漿實施氮化矽間隙塡充

Country Status (5)

Country Link
US (2) US20140187045A1 (zh)
JP (1) JP2016503966A (zh)
KR (1) KR20150103227A (zh)
TW (2) TW201435116A (zh)
WO (2) WO2014107282A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI809674B (zh) * 2021-01-25 2023-07-21 美商應用材料股份有限公司 經摻雜的氧化矽的熱沉積

Families Citing this family (309)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
JP6011420B2 (ja) * 2013-03-29 2016-10-19 東京エレクトロン株式会社 縦型熱処理装置の運転方法、縦型熱処理装置及び記憶媒体
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9627216B2 (en) * 2013-10-04 2017-04-18 Applied Materials, Inc. Method for forming features in a silicon containing layer
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US9786542B2 (en) * 2014-01-13 2017-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms for forming semiconductor device having isolation structure
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9837271B2 (en) 2014-07-18 2017-12-05 Asm Ip Holding B.V. Process for forming silicon-filled openings with a reduced occurrence of voids
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9613826B2 (en) * 2015-07-29 2017-04-04 United Microelectronics Corp. Semiconductor process for treating metal gate
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
CN108028171A (zh) * 2015-09-18 2018-05-11 应用材料公司 氮化硅在高深宽比结构上的低温保形沉积
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US9767991B2 (en) * 2015-11-04 2017-09-19 Lam Research Corporation Methods and systems for independent control of radical density, ion density, and ion energy in pulsed plasma semiconductor device fabrication
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
WO2018118288A1 (en) * 2016-12-22 2018-06-28 Applied Materials, Inc. Sibn film for conformal hermetic dielectric encapsulation without direct rf exposure to underlying structure material
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10460932B2 (en) 2017-03-31 2019-10-29 Asm Ip Holding B.V. Semiconductor device with amorphous silicon filled gaps and methods for forming
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
CN115172631A (zh) * 2017-07-25 2022-10-11 应用材料公司 改良的薄膜包封
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
WO2019028136A1 (en) * 2017-08-04 2019-02-07 Lam Research Corporation SELECTIVE DEPOSITION OF SILICON NITRIDE ON HORIZONTAL SURFACES
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11361991B2 (en) 2018-03-09 2022-06-14 Applied Materials, Inc. Method for Si gap fill by PECVD
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) * 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) * 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11114306B2 (en) * 2018-09-17 2021-09-07 Applied Materials, Inc. Methods for depositing dielectric material
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
JP2021136255A (ja) * 2020-02-25 2021-09-13 東京エレクトロン株式会社 プラズマ処理方法
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5753044A (en) * 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
US5976993A (en) * 1996-03-28 1999-11-02 Applied Materials, Inc. Method for reducing the intrinsic stress of high density plasma films
US6136685A (en) * 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US6194038B1 (en) * 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6030881A (en) * 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6547934B2 (en) * 1998-05-18 2003-04-15 Applied Materials, Inc. Reduction of metal oxide in a dual frequency etch chamber
US6355571B1 (en) * 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
US6258676B1 (en) * 1999-11-01 2001-07-10 Chartered Semiconductor Manufacturing Ltd. Method for forming a shallow trench isolation using HDP silicon oxynitride
US6559026B1 (en) * 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
US6596653B2 (en) * 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US7274038B2 (en) * 2003-06-30 2007-09-25 Semiconductor Energy Laboratory Co., Ltd. Silicon nitride film, a semiconductor device, a display device and a method for manufacturing a silicon nitride film
US7332409B2 (en) * 2004-06-11 2008-02-19 Samsung Electronics Co., Ltd. Methods of forming trench isolation layers using high density plasma chemical vapor deposition
US7501349B2 (en) * 2006-03-31 2009-03-10 Tokyo Electron Limited Sequential oxide removal using fluorine and hydrogen
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US7678715B2 (en) * 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
US7704897B2 (en) * 2008-02-22 2010-04-27 Applied Materials, Inc. HDP-CVD SiON films for gap-fill
JP5284438B2 (ja) * 2011-02-09 2013-09-11 キヤノン株式会社 固体撮像装置、及び固体撮像装置の製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI809674B (zh) * 2021-01-25 2023-07-21 美商應用材料股份有限公司 經摻雜的氧化矽的熱沉積

Also Published As

Publication number Publication date
WO2014107290A1 (en) 2014-07-10
JP2016503966A (ja) 2016-02-08
US20140186544A1 (en) 2014-07-03
US20140187045A1 (en) 2014-07-03
WO2014107282A1 (en) 2014-07-10
TW201435116A (zh) 2014-09-16
KR20150103227A (ko) 2015-09-09

Similar Documents

Publication Publication Date Title
TW201432085A (zh) 使用高密度電漿之金屬處理
TWI359459B (en) Low wet etch rate silicon nitride film
US8450191B2 (en) Polysilicon films by HDP-CVD
TWI328618B (en) High-throughput hdp-cvd processes for advanced gapfill applications
US9018108B2 (en) Low shrinkage dielectric films
US7524750B2 (en) Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
CN107408493B (zh) 脉冲氮化物封装
TW201411721A (zh) 用於流動性膜之經改良的緻密化作用
US7972968B2 (en) High density plasma gapfill deposition-etch-deposition process etchant
US7329586B2 (en) Gapfill using deposition-etch sequence
US20050221000A1 (en) Method of forming a metal layer
JP5197256B2 (ja) Hdpプロセスにおけるエッチングレートドリフトの削減
TWI352390B (en) Impurity control in hdp-cvd dep/etch/dep processes
US7064077B2 (en) Method for high aspect ratio HDP CVD gapfill
TWI278531B (en) Microcontamination abatement in semiconductor processing
JP7033999B2 (ja) ボロン系膜の成膜方法および成膜装置
US11655537B2 (en) HDP sacrificial carbon gapfill